"uuid","repository link","title","author","contributor","publication year","abstract","subject topic","language","publication type","publisher","isbn","issn","patent","patent status","bibliographic note","access restriction","embargo date","faculty","department","research group","programme","project","coordinates"
"uuid:e44fa728-4b95-4435-9a17-da29c3359418","http://resolver.tudelft.nl/uuid:e44fa728-4b95-4435-9a17-da29c3359418","Reuse of previously captured knowledge in current and future projects","Thimmapa, Pradeepthi (TU Delft Civil Engineering & Geosciences)","van Os, M. (mentor); Koolwijk, J.S.J. (graduation committee); Bakker, H.L.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Contemporary project-based organisations recognise the importance of leveraging past project knowledge for competitive advantage. By leveraging the lessons learned from previous and ongoing projects, organisations can benefit from managing learning within and across projects effectively. Despite these benefits, challenges persist in effectively reusing captured knowledge. This qualitative study addresses this gap by investigating knowledge reuse in project-based organisations, with a focus on social learning mechanisms. The results underline the pivotal role of collaboration and social interactions in facilitating tacit knowledge sharing and reuse, along with the significance of learning on the job.
The research identifies drivers, barriers, and guiding principles for promoting knowledge reuse, addressing barriers such as motivation, resistance to change, and knowledge silos. Recommendations include establishing comprehensive databases, fostering collaboration, and strengthening Communities of Practice aligned with organisational goals. Moreover, the study emphasises that true organisational learning primarily occurs through social interactions, advocating for a shift from 'learning from repositories' to 'learning through social means.' It underscores the role of organisational culture, particularly trust, in enhancing learning and knowledge reuse, promoting personal networks, communities of practice, and blame-free cultures. Lastly, guiding principles advocate for both top-down and bottom-up approaches to foster knowledge reuse across all organisational levels.","Knowledge Reuse; Social learning; Project-based learning; communities of practice (COP); Collaboration; Atlas.ti","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:c5864f99-426b-4377-8eaf-244bb0f29bf4","http://resolver.tudelft.nl/uuid:c5864f99-426b-4377-8eaf-244bb0f29bf4","Re:/Dis:/Assembling Droixhe: Post-war Social Housing Urban Ground Transformation","Kirilov, Konstantin (TU Delft Architecture and the Built Environment)","Ronner, E.I. (mentor); Reinders, L.G.A.J. (graduation committee); Lafeber, J.W. (graduation committee); Stalker, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","The post-war architectural and urban design paradigm’s characteristic trait of spatial and functional determination limits the possibility for spatial adaptation and flexibility in urban spaces, struggling to face ever-steadily occurring social, economic, and climate challenges. In Droixhe - the largest post-war social housing ensemble in Liège, Belgium, the ineffective reach of previously executed housing requalification and increasing mismanagement overlook the urban public space on the housing blocks’ ground level, leading to its progressive deterioration and alienating fixed spatial ambience.
Drawing from the assemblage thinking theory in urban design and the notion of non-static built environments, the thesis project ‘Re:/Dis:/Assembling Droixhe’ incorporates architectural and urban design interventions within the ensemble’s urban ground level, allowing for ever-evolving compositions of elements with changing interrelationships, while challenging the pre-existing Modernist static built entities. Underlining the notion of “the incomplete”, the established design framework suggests the (re-)evaluation and upgrade of existing compositions through flexible building elements, which create the possibility to be critically reviewed and eventually recalibrated over time in response to occurring challenges. Applying a holistic multi-method approach, this design research aims to unveil the potential and challenges of urban transformation in static post-war urban environments as a point of departure to a more community-engaging and environmentally conscious architectural practice.
transponders, multilateration (MLAT) technique incorporates time difference of arrival (TDOA) measurements at multiple ground-based receivers to estimate the corresponding distances between those and the aircraft. This approach requires precise time synchronization among receivers that can not always be guaranteed. Alternatively, received signal strength (RSS) measurements can be utilized to derive these distances. In this paper, crowdsourced RSS measurements from 43 receivers were used to construct parameterized signal propagation models that capture the relationship between RSS and distance. The quality of these models
was evaluated by examination of model parameter and estimated distance errors in both 2D and 3D. The results show that at most 26.3% of available RSS measurements could be represented by the models given the cut-off criteria for model parameter errors. Moreover, the models with higher parameter errors demonstrated poor ability to capture RSS measurements at greater distances. The localization errors in MLAT with TDOA were compared to MLAT with RSS where the later resulted in more accurate position estimation in cases where the receiver clocks were not synchronized. However, MLAT with TDOA generally produced significantly more accurate position estimation given the reliable timestamps of signal arrival. The assessment of localization accuracy using crowdsourced data resulted in root mean square errors of 118.1 meters in MLAT with TDOA and 9858.6 meters in MLAT with RSS in 2D, representing the best results obtained.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:4423b7e9-caff-46b3-9185-339d65a5b8c1","http://resolver.tudelft.nl/uuid:4423b7e9-caff-46b3-9185-339d65a5b8c1","On Non-Stationarity in Reinforced Deep Markov Models with Applications in Portfolio Optimization","Chin-A-Pauw, Laurens (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yu, F. (mentor); Papapantoleon, A. (graduation committee); Derumigny, Alexis (graduation committee); Delft University of Technology (degree granting institution)","2024","In this thesis, we aim to improve the application of deep reinforcement learning in portfo- lio optimization. Reinforcement learning has in recent years been applied to a wide range of problems, from games to control systems in the physical world and also to finance. While reinforcement learning has shown success in simulated environments (e.g. matching or exceeding human performance in games), its adoption in practical applications (non- simulated environments) has lagged. Dulac-Arnold et al. [2019] suggest this is caused by a discrepancy in the experimental set-up in research and the conditions in practice. Specifically, they present a list of challenges that make the application of reinforcement learning in real-world settings more difficult. One of these challenges is non-stationary environments, which is common in financial environments. It is a challenge since, given an observed state, the optimal action may not always be the same as it may change over time due to non-stationarity. Therefore, more specifically, the goal of this thesis is to overcome the challenge of non-stationarity in the application of reinforcement learning to portfolio optimization. In this thesis, we use reinforced deep Markov models (RDMM) introduced by Ferreira [2020] (applied to an optimal execution problem and later used by Cartea et al. [2021] for statistical arbitrage on simulated price movements of an FX triplet) for its data efficiency and ability to handle complex environments. RDMM involve a partially observ- able Markov decision process (POMDP) which is also the setting used by Xie et al. [2021] to model non-stationarity in reinforcement learning. We extend RDMM to incorporate non-stationarity, using the framework suggested by Xie et al. [2021], and apply it to port- folio optimization. Our implementation is sample efficient which allows for quick learning, by doing this we attempt to improve on another challenge of reinforcement learning — i.e. sample-inefficiency [Dulac-Arnold et al., 2019]. Moreover, our implementation can handle continuous state and action spaces.
We compare the performance of our algorithms to classical portfolio optimization tech- niques such as Mean-Variance (MV) and Equal Risk Contribution (ERC), and to popular reinforcement learning techniques such as Deep Deterministic Policy Gradient (DDPG) and Soft Actor-Critic (SAC). We observe our implementation has higher sample-efficiency compared DDPG and SAC, and higher cumulative returns on the test set compared to MV, ERC, DDPG, and SAC.
This thesis conducts a Social Cost-Benefit Analysis to assess the viability of subsidizing hydrogen fuel cell heavy-duty trucks in the Netherlands. By examining both costs and benefits, it aims to offer insights into the societal implications of transitioning from diesel to hydrogen trucks. Using a mixed-methods approach including stakeholder interviews and data analysis, the study evaluates emission reductions and social gains associated with this subsidy program.
The analysis considers two scenarios based on the future market share of hydrogen trucks and three subsidy program initiation dates (2024, 2030, and 2040), extending the assessment until 2050. Results indicate that initiating the subsidy program in 2024 yields the most favourable outcomes, yielding positive Net Present Values of 1.8 billion euros and 2.4 billion euros for the respective scenarios. Nevertheless, substantial subsidy costs are anticipated, with estimated expenses of 4 billion euros and 12 billion euros for each of the two 2024 scenarios, surpassing the government's proposed budget.
The study highlights uncertainties related to infrastructure costs and assumptions regarding economies of scale, underscoring the need for further investigation before implementing the subsidy program. Addressing these uncertainties is crucial for achieving a more precise understanding and ensuring the social viability of transitioning to hydrogen fuel cell heavy-duty trucks in the Netherlands.
Existing approaches in literature for optimising needle placement in patient-tailored BT applicators for cervical cancer treatment have employed various algorithms. However, these approaches often rely on significant approximations. For instance, some assume that all needles are inserted in parallel or focus solely on optimising geometric coverage as opposed to optimising the dose distribution. Moreover, the few methods in literature incorporating needle path planning in the optimisation process require manually pre-specified dwell positions. To improve dose conformity and minimise the dependence on the clinician’s expertise and time, there is a need for the development of software that optimises the needle placement and paths without resorting to these severe assumptions.
This work proposes and validates a novel three-stage approach to generate a patient-tailored applicator configuration without resorting to fully geometric optimisation. First, a high-potential set of dwell positions is obtained by running a modified version of the dwell time optimisation software BiCycle (Erasmus Medical Centre, Rotterdam, the Netherlands) on a grid of possible dwell positions. This results in a resolution optimal treatment plan when not considering geometry and applicator constraints. The positions with the highest dwell times according to the resolution optimal treatment plan are selected in the high-potential set. Next, a weighted set cover problem is used iteratively to find a combination of feasible needle segments to cover all dwell points in the high-potential set at a minimum distance. Lastly, needle channels to steer the needle to these segments are simultaneously optimised to be of minimum curvature and mutually collision-free.
To evaluate our approach, a virtual configuration and planning study was performed in a cohort of 22 locally advanced cervical cancer patients previously treated with the Venezia (Elekta AB, Stockholm, Sweden). The resulting treatment plans of the clinically used configuration were compared with the resulting treatment plans of the proposed patient-tailored and grid configurations on clinically relevant dose-volume histogram parameters, dwell times, conformity index and number of interstitial needles. Statistical significance is assessed with Wilcoxon signed-rank tests.
The proposed workflow was demonstrated to be feasible, and for every patient, a configuration could be generated in clinically acceptable time. All treatment plans generated for the grid configuration, the patient-tailored configuration and the clinical configuration were acceptable following the EMBRACE ll aims. Planning aims, however, were met more frequently with both the grid configurations (145/151 instances) and the patient-tailored configurations (137/151 instances) in comparison with the clinically used configurations (119/151 instances). The treatment plans generated with the grid configurations obtained significantly better (p < 0.01) median normalised CTVIR D98 dose with respect to the clinical configurations. Moreover, with the grid configurations, there was a significant improvement in median normalised D2cm3 dose for the bladder (p < 0.001), rectum (p < 0.001), sigmoid (p < 0.01) and bowel (p < 0.001) compared to treatment plans obtained with the clinical configurations. The treatment plans generated with the patient-tailored configurations obtained comparable target doses, but median normalised D2cm3 doses for the bladder (p < 0.001), rectum (p < 0.01) and bowel (p < 0.01) were significantly better for the patient-tailored configurations compared to the clinical configurations.
The proposed automated patient-tailored BT source channel configuration planning method was demonstrated to be clinically feasible. The resulting treatment plans have dosimetric advantages over the treatment plans generated with the clinical applicator configuration. Improvements to the intracavitary dwell position placement are expected to further increase dose conformity.
parameters include the number of LH2 fuel trucks, LH2 flow rate, LH2 aircraft penetration rate, LH2 refueling safety zone diameter and the level of restricted parallel turnaround processes during LH2 refueling. Through varying these parameters in experiments using an agent-based simulation model, meaningful results could be obtained which are useful to consult airports and airlines on what operational and infrastructural measures need to be accommodated to ensure competitive ground operations in terms of efficiency involving LH2-powered aircraft. The impact of the different experiments on the efficiency was expressed in terms of the turnaround time, number of aircraft delays and on-time performance of both Jet-A1 and LH2-powered aircraft. As a case-study, the ZEROe turbofan was selected to operate at the regional airport Rotterdam The Hague Airport, featuring remote aircraft stands. The results show that the LH2 penetration rate has the greatest influence on the efficiency of the ground operations,
and increasing this rate must be carefully coordinated by the involved stakeholders in accordance with technological developments of the remaining four parameters. For a LH2 penetration rate of up to 25%, at least 50% of the turnaround processes must be allowed to be executed during refueling, the safety zone diameter must not be greater than 30 meter and a single LH2 fuel truck with a flow rate of at least 5 kg/s is required to ensure efficient ground operations. To accommodate LH2 penetration rates up to 50%, there must be no restrictions on parallel turnaround processes, the safety zone diameter should be 15 meter and finally at least two LH2 fuel trucks are required with a flow rate of at least 10 kg/s each.","Hydrogen; LH2; Ground Operations; Turnaround procedure; Refueling flow rate; Safety zone; ABM; Airbus ZEROe; Rotterdam The Hague Airport; Penetration rate; Fuel trucks","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:8bccc23b-4554-4ce0-8c5e-856257f306ae","http://resolver.tudelft.nl/uuid:8bccc23b-4554-4ce0-8c5e-856257f306ae","Two-layer gravity inversion on Mars: Three different inversion methods to obtain a global density model of the crust and upper mantle of Mars","van den Bogaard, Fenna (TU Delft Aerospace Engineering)","Root, B.C. (mentor); van der Wal, W. (graduation committee); De Teixeira Da Encarnação, J. (graduation committee); Delft University of Technology (degree granting institution)","2024","The origin of the Martian dichotomy is subject to question and no substantial evidence exists. Some surface and interior features that are not visible in, e.g., topography data, can show up in gravity data. Therefore, this research inverts gravity data to find a crustal and mantle global density model. Previous research performed a one-layer inversion, assuming equal mass in all columns. Also, missions like InSight do not provide global interior information, but only at the landing site. The aim of this research is to provide a global density model of both the Martian crust and upper mantle. The inversion is performed using a weighted, regularized least-squares algorithm. The gravity input consists of the residual between the MRO120F data set and the state-of-the-art gravity field model of the TU Delft. The design matrix is built using Green’s functions, which define the influence of a mass element in all different directions on a measurement point. Using this least-squares algorithm, three different methods for inversion are used. The separate two-layer inversion, the combined independent two-layer inversion and the combined dependent two-layer inversion. All three inversion methods are performed on synthetic planets as well, for verification purposes. By performing all inversions on the synthetic planets, it was found that the combined independent two-layer inversion results in a strong decoupling of short and long wavelength signals, but is not able to attribute gravity signals to different features in the crust and mantle. The combined dependent two-layer inversion does lead to a result that shows decoupling of crust and mantle features. The hypothesis is that adding different gravity components to the combined dependent two-layer inversion will further increase its accuracy. The results of the inversion methods applied to Mars are in agreement with existing research in terms of standard deviations of the crust and mantle density anomalies. The maps were also analysed geologically, where the most important conclusion is the evidence of potential impact basins in the north polar region. These can be evidence to accept the several impact theory for the origination of the Martian dichotomy. Increasing the resolution and refining the third inversion method with multiple gravity components will increase the potential of gravity inversion to define geological features of Mars.","Mars; Gravity field data; Two-layer model; Inversion; Density variations","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:0f05d52f-3b1a-4bf5-b234-8f943b4192f6","http://resolver.tudelft.nl/uuid:0f05d52f-3b1a-4bf5-b234-8f943b4192f6","Multiplicative contrast source inversion method in electrical properties tomography based on Jacobi matrix inversion","Helfferich, Florens (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Signal Processing Systems)","Remis, R.F. (mentor); Kooij, B.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","The tissue electrical properties of conductivity and permittivity affect the interactions of electromagnetic fields in the body. These properties vary throughout the different tissues as the tissue structure and composition varies. In this thesis, medical imaging and diagnosis is used as primary example to motivate exploration of a novel regularization approach to an MRI-based electrical properties tomography (EPT) method.
Total variation (TV) regularization has been shown to perform noise reduction in the iterative Contrast Source Inversion EPT (CSI-EPT) method. The Jacobi matrix inversion regularization, an alternative to the known conjugate gradient formulation, is elaborated and applied to an E-polarized MRI fields scenario such that this thesis presents the Jacobi step regularized CSI-EPT.
The alternative regularization method outperforms the known regularization method in the reconstruction qualities of noise-suppression and edge-preservation in the simulated MRI experiments using a virtual body model. Further advancements are also described, such as multiple inner-iterations Jacobi regularization and an anatomical prior initialization of the contrast function. Important future research topics are the incorporation and evaluation of the Jacobi step regularization into more advanced CSI-EPT versions, which are the three-dimensional and transceive phase based algorithms to correct realistic MRI data.","Imaging; MRI; Electrical Property Tomography; Regularization; contrast source inversion","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:06177b20-7dd8-4a7f-9165-4fe18d10454c","http://resolver.tudelft.nl/uuid:06177b20-7dd8-4a7f-9165-4fe18d10454c","Social Prioritization for the Energy Grid: Energy Justice Evaluation and Impact Analysis","Pavlov, Max (TU Delft Technology, Policy and Management)","Mouter, N. (graduation committee); Dobbe, R.I.J. (mentor); De Winkel, E. (graduation committee); Ranganathan, A (graduation committee); Fugers, H. (graduation committee); Delft University of Technology (degree granting institution)","2024","The electricity grid in the Netherlands is highly congested and poses a significant challenge due to increasing demand and the transition to sustainable energy sources, which overwhelms the existing infrastructure. To address this issue, Distribution System Operators (DSOs) like Alliander are tasked with managing waiting lists for power connections and transport capacity requests. The traditional First-Come-First-Serve (FCFS) principle unintentionally delays transport requests that can be argued are more important to society. This motivated the policymakers to propose a prioritization framework based on societal importance. This framework prioritizes clients in categories such as congestion softeners, safety, basic needs, and sustainability, aiming to benefit society as a whole.
However, the introduction of this framework raises several implications, particularly concerning distributive justice. To systematically identify and deliberate these implications, a Design Science Research methodology was used. This methodology involved a comprehensive literature review across various research areas including Energy Justice, Waiting List Management, and Distributive Justice. Subsequently, a qualitative and quantitative analysis was conducted to understand the impacts on waiting times for both priority and non-priority clients.
Stakeholder opinions were analyzed across different industries, revealing concerns and suggestions regarding the social prioritization framework. The quantitative analysis estimated the real impact on waiting lists, considering technical constraints and proposing distributive justice metrics such as time equity disparity and change in the estimated time-to-solution ratio.
The analysis of real waiting lists demonstrated mixed outcomes, with priority clients experiencing decreases in waiting times while non-priority clients faced delays. Some non-priority clients were needlessly delayed. Distributive justice metrics highlighted disproportionate burdens on non-priority clients. Moreover, the framework's impacts extended beyond delays to financial, and psychological effects.
Recommendations for future research include incorporating historical data, obtaining more client information, improving stakeholder feedback loops, and further analyzing other aspects of Energy Justice. Additionally, connecting quantitative implications to societal values could enhance understanding of the framework's benefits to society.
Overall, this research provides valuable insights into the implications of implementing a social prioritization framework for electricity grid waiting lists, highlighting the importance of considering distributive justice and societal impacts in policy development and decision-making processes.","Energy grid; Social Prioritization; Energy Justice; Distributive Justice; Waiting List; Energy Grid Congestion","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:6757ca2e-85f5-4f02-ad6e-51bce105fbea","http://resolver.tudelft.nl/uuid:6757ca2e-85f5-4f02-ad6e-51bce105fbea","Leveraging Indirect Indicators: Correlating Ransomware Attacks to Threat Actors","Kho, Ricky (TU Delft Technology, Policy and Management)","Zhauniarovich, Y. (mentor); Ding, Aaron Yi (graduation committee); Delft University of Technology (degree granting institution)","2024","The increasing dependence on technology has made society vulnerable to cyber threats, with ransomware becoming a major concern. The complex nature of the Ransomware-as-a-Service (RaaS) model makes identifying the attackers challenging. Traditional methods often rely on direct indicators, but these may not be readily available or reliable. This research explores the potential of utilizing indirect indicators to improve correlating ransomware attacks to specific threat actors. This results in the formulation of the following research question:
""If and to what extent can the analysis of indirect indicator be utilized to improve correlating ransomware attacks with cyber-threat actors?""
The research employs a mixed research approach. A literature review examines the techniques, indicators, and taxonomies used for attributing cyber-threat actors in general. Additionally, expert interviews explore differences in the attribution of ransomware threat actors compared to general cyber-threat actors. Furthermore, it highlights the need to use indirect indicators in the attribution process of ransomware threat actors. Therefore, a cybersecurity company's 2023 ransomware incident reports are analysed to understand how the ransomware attacks are investigated and how the conclusions are drawn.
This study identifies differences in attributing ransomware and general cyber-threat actors. While indirect indicators are crucial for attributing general cyber-threat actors, ransomware attackers often directly identify themselves through ransomware notes. These notes often provide access to communication channels and leak sites, offering substantial evidence for attribution.
The study also finds that Tactics, Techniques, and Procedures (TTPs) tend to be generic in ransomware attacks, offering limited value for differentiating between different actors. However, based on the interviews, there is a need for a central database of observed indirect indicators to facilitate future research and attribution efforts. Consequently, the research finds some promising results for using indirect indicators in ransomware threat actor attribution. The first finding is that the TTPs are less generic as initially thought as 32\% of the techniques and 47\% of the sub-techniques were unique. In addition, analysing the specific tools and techniques used by different actors, such as Blackcat's use of ""nltest"" for domain trust discovery, can help identify and differentiate them. Furthermore, threat actors observed only once in ransomware attacks of 2023 often used unique techniques, potentially allowing for differentiation based on this factor.
In conclusion, this study demonstrates that analysing indirect indicators can be a valuable tool in correlating ransomware attacks to specific threat actors. While certain limitations exist, continued research and development of this approach have the potential to significantly improve our ability to identify and track ransomware attackers.","Ransomware; Attribution; Cyber Threat; Ransomware Threat Actor","en","master thesis","","","","","","","","2025-04-03","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:41347a67-22e2-4fc9-a80a-5b82b50bd8f1","http://resolver.tudelft.nl/uuid:41347a67-22e2-4fc9-a80a-5b82b50bd8f1","Automated electrocardiogram interpretation for the detection of postoperative junctional ectopic tachycardia at the pediatric intensive care unit","Raaijmakers, Gini (TU Delft Mechanical Engineering)","van Twist, Eris (mentor); Bruining, Nico (mentor); Sylva, Marc (mentor); Delft University of Technology (degree granting institution)","2024","Background: Postoperative junctional ectopic tachycardia (JET) is an arrhythmia associated with increased morbidity and mortality rates in children with congenital heart disease. Developing an automated detection algorithm could aid in early identification and timely treatment of JET.
Methods: A retrospective study was conducted using monitor electrocardiogram (ECG) data of pediatric patients who experienced JET during their admission to the pediatric intensive care unit. A manual decision tree was developed that aimed to differentiate between JET and sinus rhythm based on distinctive characteristics. These features were derived using signal analysis on both two-dimensional vectorcardiograms and ECG data. For the latter, ECG metrics were detected in a fictive lead that was created in the direction with the highest amplitudes. Metrics were identified within adaptive intervals that were dependent on ECG morphology rather than relying on fixed time intervals.
Results: A classification performance was achieved with a sensitivity of 96.3%, specificity of 71.4%, positive predictive value (PPV) of 86.7% and an accuracy of 87.8%. R peaks, Q peaks, S peaks, T peaks and P waves were detected with an accuracy of respectively 99.9%, 95.7%, 89.7%, 98.1% and 54.8%. The computational time of the classification of 41 minutes of data was 4 minutes and 48 seconds.
Conclusion: A manual decision tree algorithm for JET detection was developed, using signal analysis for feature extraction based on JET characteristics. This method with a low computational time and a high sensitivity and PPV holds potential for clinical application as a bedside tool. Implementing this proposed algorithm would allow for treatment in an earlier phase, thereby potentially reducing JET associated morbidity and mortality rates.","Junctional ectopic tachycardia; Arrhythmia detection; Signal analysis; Decision tree","en","master thesis","","","","","","","","","","","","Technical Medicine | Sensing and Stimulation","TM30004; 35 ECTS",""
"uuid:7fc13bf4-fc61-411a-8f60-0333cc255b4f","http://resolver.tudelft.nl/uuid:7fc13bf4-fc61-411a-8f60-0333cc255b4f","Synthesis and Verification of Neural Control Barrier Functions for Safe Reinforcement Learning with Guarantees","Wang, Sunny (TU Delft Mechanical Engineering)","Alonso-Mora, J. (mentor); Knödler, L. (graduation committee); Pek, Christian (graduation committee); Mathiesen, Frederik Baymler (graduation committee); Delft University of Technology (degree granting institution)","2024","While learning-based control techniques often outperform classical controller designs, safety requirements limit the acceptance of such methods in many applications. Recent developments address this issue through Certified Learning (CL), which combines a learning-based controller with formal methods to provide safety guarantees. This thesis focuses on the CL based on Control Barrier Functions (CBFs), as CBFs have been widely used for safety-critical systems. However, it is non-trivial to design a CBF. Utilizing neural networks as CBFs has
shown great success, but it necessitates their certification as CBFs. In this work, we leverage bound propagation techniques and the Branch-and-Bound scheme to efficiently verify that a neural network satisfies the conditions to be a CBF over the continuous state space. To accelerate training, we further present a framework that embeds the verification scheme into the training loop to synthesize and verify a neural CBF simultaneously. In particular, we
employ the verification scheme to identify partitions of the state space that are not guaranteed to satisfy the CBF conditions and expand the training dataset by incorporating additional data from these partitions. The neural network is then optimized using the augmented dataset to meet the CBF conditions. We show that for a non-linear control-affine system, our framework can efficiently certify a neural network as a CBF and render a larger safe set than state-of-the-art neural CBF works. We further employ our learned neural CBF to derive a safe controller to illustrate the practical use of our framework.","cbf; verification; safe learning","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:db3c8752-12d2-41d8-84de-51c3cd3332c8","http://resolver.tudelft.nl/uuid:db3c8752-12d2-41d8-84de-51c3cd3332c8","Privacy: the more, the merrier?: A case study of how Amazon uses privacy protection to expand its power over IoT manufacturers","van Gend, Thijmen (TU Delft Technology, Policy and Management)","Bertulfo, D.J. (graduation committee); Gürses, F.S. (graduation committee); van Eeten, M.J.G. (mentor); de Reuver, Mark (graduation committee); Delft University of Technology (degree granting institution)","2024","Privacy-enhancing technologies (PETs) have historically been used for safeguarding individual privacy from both public and private interference. But lately, tech companies have started using PETs as one instrument for the expansion of their power over different actors, as appears to be unfolding in the case of Amazon’s Sidewalk service: a United States-only privacy-preserving crowdsourced service that promises connectivity to Internet of Things (IoT) devices manufactured by third parties in smart-home, logistics, and utilities use-cases. Compatible IoT devices (‘endpoints’) are granted connectivity by ‘gateways’, namely smart-home devices from Amazon’s Echo (smart speakers) and Ring (smart cameras and doorbells) series that donate a portion of their bandwidth to endpoints that might be owned by others. Amazon pushed a software update to these Echo and Ring devices, that turned them from smart-home devices to contributors to the Sidewalk network, unless users actively opted out, yielding a coverage of at least 90% of the US population. With Sidewalk, Amazon leverages PETs (namely end-to-end encryption and device identifier obfuscation) to mitigate privacy concerns that the crowdsourced architecture yields. However, this necessitates significant investments from third-party manufacturers to make their devices Sidewalk-compatible, suggesting a power emergence shaped by PETs.
I answered the research question “How does Amazon’s use of privacy-enhancing technologies in Sidewalk affect its power over IoT manufacturers?” by reviewing grey literature, analysing the Sidewalk technology, and elite interviewing with high-ranking employees of Sidewalk-adopting manufacturers. I have shown that Amazon leveraged PETs to mitigate public security concerns, but in the meantime reshapes how manufacturers produce their devices. Part of this ploy is cementing AWS in their production processes. Amazon also uses this leverage to mobilise manufacturers’ and silicon providers’ resources to improve Sidewalk’s public reception, technology, and governance.
These reconfigurations are expensive and complicated to realise, but manufacturers stressed the importance of Sidewalk adoption to leverage Amazon’s reputation vis-à-vis suppliers and customers, and “befriend the giant” for they rely on Amazon’s Marketplace, cloud, and logistics.
Meanwhile, Amazon’s reductionist framing of privacy and security as protecting user identity and data confidentiality, means that confidentiality of manufacturers’ business-sensitive information is not discussed. With this vantage point, Amazon can learn which endpoint types are popular and how they work; but Sidewalk might also be a vehicle for Amazon to attract more IoT developers to AWS.
In sum, I have demonstrated that strictly pursuing user privacy (or confidentiality) in digital services may have unforeseen effects on production. Therefore, I call upon privacy and competition scholars, advocates, and regulators to question how privacy protection actually augments companies’ power, and stepping away from their narrow “consumer harm” lenses. These actors should debate a right to personal control over devices. A mere consumer focus in studying these developments is insufficient: I established that business-to-business relations and businesses’ production processes are more significantly affected than consumers. The production focus of this work lays bare the novel power dynamics between Amazon and manufacturers, shaped by PETs.","privacy; privacy-enhancing technologies; power; case study; Amazon; production; cloud computing; edge computing; computational infrastructure; mesh networks","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","Programmable Infrastructures Project",""
"uuid:4e5f58cb-3b0c-471e-a06b-680abcb51b2a","http://resolver.tudelft.nl/uuid:4e5f58cb-3b0c-471e-a06b-680abcb51b2a","Dynamic Adaptive Epidemic Control: A case study of anticipatory action to cholera outbreaks in Cameroon","Brouwer, Annemieke (TU Delft Technology, Policy and Management)","Comes, M. (mentor); Warnier, Martijn (graduation committee); Sirenko, M. (mentor); van den Homberg, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Responding rapidly to epidemic outbreaks presents significant challenges, due to resource, capacity and time limitations. Anticipatory Action (AA) is a newly emerging strategy in the field of humanitarian aid, designed to preemptively address potential crises. By taking impact-reducing actions before a disaster strikes, AA seeks to minimize human loss. However, AA frameworks currently use static prepared-in-advance plans. As a result, AA is not sufficiently able to deal with the uncertainty levels in the onset and spread of epidemics. Effective epidemic control requires plans that can adapt to a constantly changing environment and incoming information, such as the number and location of suspected cases, weather forecasts and population movement, while balancing flexibility with an effective management approach. We show how the (DAPP) framework for decisionmaking under deep uncertainty can be adapted to enhance the common anticipatory action approach with flexibility and effective management for epidemic control. More specifically, we show how DAPP allows to take into account newly available information and change the strategy to minimize human loss. We illustrate it with a case study of cholera in Cameroon, for which the French, Netherlands, and Cameroon Red Cross, supported by EHESP, are developing an early action protocol and a model that assesses the cost-effectiveness of actions for different risk levels and external shocks. Our results suggest that DAPP increase flexibility and coordination in anticipatory action for epidemics and helps optimizing early action strategies, which could have larger implications for global disease control.","Dynamic Adaptive Policy Pathways (DAPP); Anticipatory action; cholera; epidemic control; Decision Making under Deep Uncertainty","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:ed1adf57-aa4f-4e1e-98bf-d6c2e86723ed","http://resolver.tudelft.nl/uuid:ed1adf57-aa4f-4e1e-98bf-d6c2e86723ed","Condition monitoring of railway transition zones through axle box accelerations using multi body simulation software","van Gent, Tjeerd (TU Delft Civil Engineering & Geosciences)","Markine, V.L. (mentor); Yang, Z. (graduation committee); Anupam, K. (graduation committee); Delft University of Technology (degree granting institution)","2024","Transition zones in railway tracks are locations with an abrupt change in stiffness in the vertical rail supporting structure. These locations are typically located as approaches near engineering structures where there is a sudden change in track substructure. Due to these abrupt changes the dynamic forces of the wheel-rail interaction on the railway track are significantly amplified resulting in the deterioration of track geometry. With higher operational velocities, the degradation process of the track is accelerated. The goal of this research is the investigation of the relationship between vertical acceleration from the axle box of the vehicle bogie (axle box accelerations - ABA) and track geometry changes at transition zones. While most studies investigate the behavior of railway transition zones making use of finite element models, this study focuses on the use of a more computational efficient multi body simulation (MBS) software (VI-Rail flextrack).
After the software is tested and the results validated, different stages of the service life of a transition zone (new - used - heavily used) are simulated. From the results two main conclusion can be drawn. First, the vertical acceleration is able to show the changes in differential settlement and stiffness that are occurring at transition zones. The indicators for these changes are the frequency responses with a wavelength between 1.2 < 𝜆 < 5 meters. This shows that ABA is a powerful non-invasive monitoring technique for long wavelength track irregularities. Second, the multi body simulation software is able to model complex railway tracks. The software shows the same characteristic frequencies as the measurement data does.
The results of this investigation could especially be of interest for asset owners and contractors. By showing that ABA measurements tends to be an effective way of monitoring transition zones, predictive maintenance could be implemented which saves time and high costs.","Axle Box Accelerations; Multi body simulation software; Railway transition zones; VI-Rail","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:d3a29b80-b195-4acc-9d01-3de3929e03af","http://resolver.tudelft.nl/uuid:d3a29b80-b195-4acc-9d01-3de3929e03af","Deepfake detection technologies: Business strategies for deepfake detection companies, within the Netherlands, to maintain or gain a competitive advantage","Peeters, Willemijn (TU Delft Technology, Policy and Management)","Gartner, J. (mentor); Annema, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","In today’s climate of the increasing use of fake news for which deepfakes may be used, and their widespread distribution through social media, the challenge of distinguishing reality from manipulation is growing. The development of deepfake detection technologies (DFDTs) is therefore crucial. The number of deepfake detection companies (DFDCs) is growing rapidly, changing the competitive landscape. Literature review shows that little is known about strategic opportunities for DFDCs. Therefore, the aim of this research is to provide business strategies, for DFDCs in the emerging DFDT market, in order to maintain or gain a competitive advantage. The knowledge gap lies in the fact that research is done into business strategies for emerging technologies, however, not specifically for DFDTs. To generate concrete and valuable results, the focus is on the Netherlands...","","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:b3bb6e24-4deb-44dc-af0b-410dc0f9864a","http://resolver.tudelft.nl/uuid:b3bb6e24-4deb-44dc-af0b-410dc0f9864a","Fostering collective self-reliance in elderly care: A value-based approach to the intake conversation in homecare at Surplus","van Alkemade, Ianthe (TU Delft Industrial Design Engineering)","van der Bijl-Brouwer, M. (mentor); de Vos, Marina (graduation committee); Delft University of Technology (degree granting institution)","2024","This project responds to the increasing challenges in Dutch elderly care amid an undeniable ageing demographic. Between now and 2040, the number of individuals aged 80 and over will nearly double, and one in four people will need to work in the healthcare sector to satisfy the increasing demands.
The current system of elderly care is very much solution-focused, where professional caregivers often provide the answers and lead the discussions. Organisations like Surplus, a care and welfare organisation in West Brabant, struggle to continue to meet the expectations of (new) clients and their relatives, placing an additional burden on professional caregivers.
The increasing pressure highlights a need for greater autonomy and shared responsibility in elderly care. The urgent and multifaceted nature of the current challenges calls for a ‘new way’ of caring, with a greater reliance on answers from society. This project envisions a shift towards a caring society that focuses on what is still possible rather than on limitations, aiming for a meaningful life. Where self-reliance is a collective effort, and each individual is truly recognised.
Through a systemic design approach, this project seeks to navigate these challenges by uncovering key personal values in receiving and providing care and mapping out the elderly care system to identify opportunities to intervene. An intervention that incorporates these values is designed to initiate a shift towards the desired direction.
To facilitate this change, I have developed a new approach for Surplus to have value-oriented conversations, to match what we find important in life. Inviting people to think beyond the care question and to look together at what is possible instead of what is no longer possible. Transitioning from a traditional “intake” in home care to “acquaintance”, it introduces the T-Doos (Tijd voor gesprek, Thee voor twee, Langer Thuis: Time for Conversation, Tea for Two, Staying Home Longer) personal preparation package with a conversation box and a conversation framework. This invites the elderly in need of support to think together with their informal carers about what is important to them in daily life, who they are in contact with, what makes them happy and how they look ahead. It sets the stage for meaningful discussions with district nurses to collaboratively explore possibilities. The professional caregiver adopts a coaching role, and a transition is started in mindset and practice within care organisations and among healthcare professionals.","elderly care; value-based care; systemic design; collective self-reliance","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:80ac2796-4a89-4935-8119-39c71230d876","http://resolver.tudelft.nl/uuid:80ac2796-4a89-4935-8119-39c71230d876","Solvent-free approach for processing hybrid solid electrolytes","Macray, Laurence (TU Delft Electrical Engineering, Mathematics and Computer Science)","Wagemaker, M. (mentor); Ganapathy, S. (mentor); Bouwman, W.G. (graduation committee); Zhang, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","An all-solid-state battery represents a promising solution for overcoming current lithium-ion batteries ’technological and safety limitations. However, the individual limitations of both inorganic and organic solid electrolytes hinder technological progression. Hybrid solid electrolytes hold the potential to surpass these limitations by integrating both the inorganic and organic phases. A comparative assessment was conducted between hybrid solid electrolytes produced via solvent and dry synthesis, to address potential solvent interactions during hybrid solid electrolyte production and prioritise sustainability.
At 30°C, the comparative analysis demonstrates that the dry-processed PEO13LPSC10 hybrid solid electrolyte achieves a higher ionic conductivity of 1.61×10−5 S/cm, exceeding that of its solvent pro-cessed counterpart, which exhibits a conductivity of 1.51×10−5 S/cm. Conversely, for the PEO18LPSC10 hybrid solid electrolytes, the solvent processing method leads to a higher ionic conductivity, measured at 8.37×10−6 S/cm, in contrast to 7.61×10−6 S/cm observed for the dry-processed method. Thermal analysis indicates that heating above the polymer’s melting transition temperature leads to slow crystallisation in hybrid solid electrolytes using the dry method, resulting in two crystalline phases, as opposed to the single crystalline phase, which was observed using the solvent method. Both processing methods demonstrate homogeneity when comparing the top and bottom surfaces; however, an analysis of surface compositions between the two synthesis methods reveals distinct differences, as identified through. X-ray photoelectron spectroscopy. Moreover, decomposition is observed in both synthesis approaches but is more significant in solvent synthesis. The chemical stability of hybrid solid electrolytes produced by dry synthesis surpasses the solvent-based method.
Further analysis through the dry method investigation reveals that an ethylene oxide to Li+ ratio of 10:1, and a Li6PS5Cl ratio of 10 wt%, yield the highest ionic conductivity among all studied hybrid solid electrolytes. This combination achieves an ionic conductivity of 3.35×10−5 S/cm at 30° C. Additionally, adding Li6PS5Cl and the alkali salt lithium bis(trifluoromethanesulfonyl)imide enhances the amorphous nature and mobility of the polymer, due to a plasticising effect on the organic matrix.
In this work, we propose EON-1, an Edge ONline Learning SCNN (Spiking Convolutional Neural Network) processor with 1-bit synaptic weights, 1-spike per neuron and 1-neuron updated per input, which we have benchmarked for both ASIC and FPGA platforms. Our key contribution is proposing a binary and stochastic SDTP rule which, benchmarked in an ASIC node, achieves less than 1% energy overhead for inference. To our knowledge, our solution incurs the least energy overhead for inference, compared to state-of-the-art solutions, showing a better efficiency by at least a factor of 10x. We also report 94% and 77.65% accuracy on the MNIST and Fashion-MNIST classification tasks, and we achieve 0.09pJ/SOP and 1.5pJ/SOP energy efficiency during inference and learning, respectively. We extend our solution to demonstrate a practical use-case of performing inference in real-time UHD videos while coping with streaming data and we showcase 60 FPS UHD video processing.","Brain-Inspired; SNN; edge AI; Efficient Feature Extraction; Online Learning; On-device Learning; binary STDP; stochastic STDP","en","master thesis","","","","","","","","2025-03-29","","","","Computer Engineering","",""
"uuid:21090579-3843-4769-8ce8-bde17e515355","http://resolver.tudelft.nl/uuid:21090579-3843-4769-8ce8-bde17e515355","Thermal Energy Storage for Industrial Applications","Gelling, Pauline (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy)","Hooman, K. (mentor); Delft University of Technology (degree granting institution)","2024","The growing consumption of fossil energy resources increases greenhouse gas emissions (GHG). To meet the industry sector's target of reducing GHG emissions by 49% in 2030, relative to the levels in 1990, there is a need for energy storage. Energy storage offers a potential solution to enhance the utilization of renewable energy, by addressing the intermittent nature of these sources. This study includes a conceptual design for three Thermal Energy Storage (TES) materials, steel slag, phase change salt, and molten salt, able to generate steam in the industry (4-20 bar). Moreover, this study attempts to contribute to faster commercialization of the phase change materials, by aiming to contribute to a thermal conductivity improvement method. The thermal conductivity limits the discharging and charging ranges nowadays.
The concept designs are based on a fluctuating steam demand pattern provided by Arcadis. Two storing modes are extracted, a stand-alone thermal energy source which can meet a 12-hour steam demand and an energy source intended for peak shaving. Based on literature, the storage configurations, with the associated key parameters are extracted, for each material, to attain an estimation of the dimensions and losses. Due to the inflexibility of literature on phase change salt, a semi-empirical model is developed. With this model, the temperature of the heat transfer fluid (HTF) temperature at the outlet of the storage can be calculated based on various input parameters. The input parameters include the type of phase change salt, type of HTF, mass flow rate of the HTF, and the dimensions of the storage. The HTF outlet temperature in combination with the mass flow determines the discharge power. Based on the output of the TES, an economically optimized design, of a shell and tube steam generator, using AspenEDR software, is conducted.
The results for the stand-alone case showed almost similar volumes for the steel slag and phase change salt. The molten salt storage solution was larger based on the requirement of two tanks, a hot and a cold one. Furthermore, phase change salts resulted in the highest total stored energy, which is a measure of the inability to completely discharge the phase change storage in combination with a maximum temperature drop of the HTF outlet temperature. An increase in the thermal conductivity of the phase change salt can reduce this problem. Another advantage is the possibility of using any HTF for charging and discharging the phase change salt. Due to diffusion inside the TES upon partly charging and discharging, steel slag and phase change salt seemed not suitable for peak shaving.
Next, the focus is on increasing the thermal conductivity of the phase change salt. A readily available, stainless steel, wire mesh implemented in the phase change salt, at the shell side of a shell and tube storage, already yields a 10% increase in overall discharging performance. A graphite coating, applied on the mesh, could enhance the corrosion resistance, thereby enabling the the use of a higher conductive steel, such as carbon steel. Furthermore, could a graphite coating increase the overall thermal performance, by generating highly conductive pathways parallel to the mesh. A suitable graphite coating is obtained by exploring graphite coatings in various application areas. Together with the requirement of this coating, the first choices are made regarding the components of the coating. In addition, various experimental results are conducted to determine a potential coating composition and application method.
Results showed that a graphite coating consisting of graphite, Polyvinylidene Fluoride (PVDF) and N-Methylpyrrolidone (NMP), applied with the use of a dip coater, followed by drying, could be attached to the carbon steel with a thickness of 2 mm in four layers. The influence of the graphite coating is estimated with the use of a resistance model.
Further research should focus on quantitatively determining the influence of the graphite coating application in combination with the evaluation of the corrosion resistance of the coating.","Thermal Storage; Industrial application; Phase Change Material (PCM)","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:2c11c923-56dc-4b50-8544-fe899ef3f933","http://resolver.tudelft.nl/uuid:2c11c923-56dc-4b50-8544-fe899ef3f933","Congestion Detection Through Velocity Estimation Using a Monocular Camera","Baltus, Jelle (TU Delft Mechanical Engineering)","Grammatico, S. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis report aims to answer the following research question: “Is it possible to estimate relative velocities of vehicles surrounding the ego vehicle using a monocular camera with such an accuracy that meaningful conclusions can be made about the current traffic state?” To answer this question, a velocity estimation algorithm is developed in three major parts: object detection, object tracking with detections and velocity estimation using tracked 2D objects. For the detection part, a version of the YOLOv3 (You Only Look Once version 3) single shot detection neural network is used. For object tracking with detections, the Simple Online and Realtime Tracking (SORT) algorithm is used. The last part, velocity estimation using tracked 2D objects, a state-of-the-art method using a neural network is compared to a novel proposed method, using a 2D to 3D map in combination with a kalman filter using a constant velocity model. The results of the detection and tracking parts were good enough to reason that they are used as a base of the velocity estimation algorithm. When comparing the-state-of-the-art velocity estimation algorithm and the novel approach, the errors of the novel approach were significantly higher, and the results of the state-of-the-art methods could not be replicated. This means that the research question of this thesis can be answered with yes, it is possible to estimate relative velocities of surrounding vehicles, however the resulting estimation errors are too high to make meaningful conclusions about the current traffic state.
The proposed approach is based on a graph-time convolutional neural network (GTCNN) that relates available PMU measurements inside the distribution network on a graph structure 𝒢GTCNN. The graph 𝒢GTCNN is obtained by taking a modified line graph of the graph representation of the power system and is expanded using the Cartesian product graph rule to include the temporal dependencies of nodes on their past values. The inputs of the equivalent model are the voltage magnitude |V| and angle θ at the PCC and the initial power injections P0 and Q at non-PCC nodes, while the model outputs the active P and reactive Q power at the PCC nodes. The GTCNN explicitly considers the initial power injections P0 and Q0 at non-PCC nodes to help the model learn how different operating conditions and topological changes impact the dynamic response. The DSO trains the equivalent model using simulation data or collected PMU measurements. The model is exchanged with the TSO every month, who can use the equivalent in co-simulation with their transmission network model to perform transient stability studies.
The GTCNN-based equivalent model showed promising performance as an equivalent model for transient stability. The GTCNN was benchmarked against two state-of-the-art Long Short-Term Memory (LSTM)-based equivalent models and a hybrid GTCNN-LSTM model. The evaluation was performed on a real Dutch distribution network using three datasets, each focussing on a different system condition: different fault events, different operating conditions and different hidden topological changes. The GTCNN-based equivalent model had a mean-squared error (MSE) below 0.02 for each dataset, which means it can accurately reproduce the dynamics. This accuracy is comparable to the LSTM-based equivalent models, but the GTCNN could train 4x faster. The GTCNN also showed good generalisation performance, as its accuracy did not decrease on the validation and test sets. A study on scaling performance suggested that the MSE of the GTCNN-based equivalent model increases slower than that of the LSTM-based models while its training time increases faster. Therefore, the GTCNN-based equivalent model trains faster for smaller ADNs but will be more accurate with more measurement nodes. However, the proposed GTCNN has difficulty learning the response at different close-by PCC terminals if the dynamics are different.
The developed GTCNN-based equivalent model can predict the dynamic response accurately under changing topologies and operating conditions at a similar performance level to existing LSTM-based approaches. However, its training time is much faster, which can result in a more accurate equivalent model by a more frequent model exchange between the DSO and TSO or a more extensive dataset being used to train the model. In future research, the GTCNN performance will be evaluated on a more comprehensive dataset containing all three system conditions to establish how much data is needed to train the equivalent model accurately. Also, the system frequency will be considered as an additional input. Moreover, its scaling performance will be evaluated more extensively and with a more efficient coding implementation. Furthermore, a heterogenous graph convolutional operator will be implemented to learn the connection per relational type (source node - edge type - target node). Finally, the co-simulation interface between the equivalent model and popular simulation tools will be explored.
The aim of the project is threefold:
Create social impact in the domain of value exchange in the public space of Kampala,
Apply the Vision in Product design (ViP) method for the first time in the designer’s career and
Evaluate the responsibility of the designer in relation to a cross-cultural design project.
After establishing the ViP domain as ‘value exchange in the public space’ and performing two deconstructions (one by the designer and one by Dwb) extensive research is performed.
It focuses on a time 10 years ahead (2034) and collects 215 factors (statements about the domain): 161 from literature, and 54 from interviews with 35 vendors in Kampala. The factors are clustered into 16 driving forces of the future context.
With the driving forces a framework is constructed of 12 cells, the directions the future context can move into. The axes of the framework reveal the root of the problems around street vending.
(1*) the organization of public space: Kampala’s public space receives meaning from many, misaligned origins. Government planning is not consistent with the use of space.
(*2) socio-economic uncertainty: the sheer numbers of people combined with a lack of jobs creates uncertainty to which people react in different ways. These reactions create their motive to participate in value exchange.
3 cells are chosen to formulate a vision statement. We want to decrease discrimination, provide stability for hustlers and steer investments to where they are needed. Three goals which correspond to the main stakeholders: vendors, clients and the local authority (KCCA). The lost in translation between them must be addressed to enable any social transformation. Integration of vendors must become the goal rather than expulsion. To achieve this we want to reveal the value of value exchange: showing vendors’ contribution in terms of convenience, urban life and economic potential.
With individual and group ideation the concept is developed. It consists of a stall and street design assigning space to vendors in pedestrian zones. Vendors are given fixed categories, streets are replanned and vendors are made the guardians and cleaners of the street. Placement of spots is used to regulate traffic flow and decongest the city. The concept couples this reorganization of public space to the other axis of socio-economic insecurity, by turning street vending from a last resort into a first step up the formal ladder. Vendors can only rent a stall for six months during which they are educated, build a network and are helped in saving money – after the 6 months they are ready to start a full business. The financial and organizational aspects of the concept are also thought out.
Elaborate testing is performed with vendors and authorities. Most of the feedback is worked into the concept. Recommendations for further development include directions for stall design and a road map for taking the concept to a pilot level.
The reflection results in 6 design principles guiding western designers doing projects in radically different contexts.
Current practice of Siemens Gamesa Renewable Energy (SGRE) is to perform aeroelastic simulations in their computational tool BHawC. The Foundation Designer delivers a foundation Superelement to maintain secrecy. The equation of motion for the jacket foundation can then be solved linearly with a reduced amount of unknowns. However, prevalence of nonlinearity in soil raises the question to what degree a linear model adequately captures the response.
This study investigates the impact of soil nonlinearity on OWT dynamic behavior under seismic loads by comparing linear and nonlinear soil models. The analysis involves performing seismic simulations using multiple earthquakes. Another distinction is made through soil models with different characteristics. Nonlinearity is introduced to the soil stiffness and energy dissipation mechanism under cyclic loading. The investigated variations are a linear (elastic), geometrically nonlinear (nonlinear elastic) or both geometrically and physically nonlinear soil model (nonlinear plastic).
The numerical model consists of a Rotor Nacelle Assembly (RNA), tower, transition piece, jacket, piles and soil springs. Beam elements are used for the tower, jacket and piles. The transition piece is simulated by stiffening the top jacket braces. The RNA is modelled using a lumped mass with rotational inertia. It is vertically eccentric to the tower top and connected with a rigid link. The earthquake is applied uniform over depth and only horizontal movements are considered.
The findings underscore a difference in results between the linear and nonlinear models. The evaluated results from simulations consist of forces, displacements and dynamic characteristics of the structure. Also noted should be that the computational time of the linear model is significantly lower. The results found in models can differ greatly due to the loading spectrum with highly varying frequency peaks. Another factor is softening of the stiffness. The frequency domain of the elastic model results consists of narrow peaks at the system's natural frequencies. The peaks for the nonlinear elastic model are wider due to softening of the stiffness. For the structure used in this research, softening introduces coupled modes with greater displacements along the height of the structure. This makes it possible for the evaluated results to have higher values, even with less energy put into the system. The plastic models' peaks are of a width in between the elastic and nonlinear elastic model due to the combined use of isotropic hardening and nonlinear stiffness. When the model falls back on its initial stiffness upon unloading, the eigenfrequencies related to that stiffness become more pronounced. To match the occupancy of wider frequency peaks, loading and unloading should both happen nonlinearly. This can be achieved by using kinematic hardening instead of isotropic hardening. Plasticity generally reduces peak displacement and sectional moment values and nonlinear stiffness broadens the response frequency spectrum. Careful consideration of cyclic material behaviour, eigenfrequencies and loading characteristics are essential for a realistic model.","nonlinear soil; Offshore wind turbine; Jackets; Earthquake analsyis","en","master thesis","","","","","","","","2024-03-21","","","","Civil Engineering","",""
"uuid:d21f1ee7-5e4d-4336-9664-fe6a41de8d7b","http://resolver.tudelft.nl/uuid:d21f1ee7-5e4d-4336-9664-fe6a41de8d7b","Aesthetic durability & Repair","4598865, Nr. (TU Delft Industrial Design Engineering)","Filippi, M. (mentor); Flipsen, Bas (graduation committee); Delft University of Technology (degree granting institution)","2024","Each year, over 50 million tonnes of electronic waste is generated globally, with the Netherlands averaging more than 20 kg per capita (Compendium voor de Leefomgeving, 2023). The shift from a linear to a circular economy is crucial in addressing this issue, emphasizing the importance of durability and repairability in product design. Additionally, the forthcoming legislation from the European Commission (2023) regarding the “right to repair” highlights the need for designers to create products that are both durable and repairable. This project delves into a product’s durability, considering not only its physical repairability, but also how it can retain aesthetic value over time. Central to this exploration is the question: “How can appliances be re-designed for retainment, considering repairability and aesthetic durability?” Drawing upon literature research, a design space is formulated, guiding the several re-designs of the same appliance, in this case a sandwich maker, with each re-design focusing on a specific aspects of repairability and aesthetic durability theory. Evaluation of these re-designs by visitors at Dutch Design Week informed challenges, guiding the further development of one of the re-designs into a functional prototype. The literature review addresses repairability through the challenge of fault diagnosis, particularly as products are often perceived as ‘black boxes’. Practical design implications for improving ease of disassembly and part replacement, alongside the value of awareness of components in having a product repaired, are discussed. Aesthetic durability is explored from the perspectives of ‘living with things’ and the ‘life of things’. The former examines aesthetic pleasure from a multisensory point of view, and the role of familiarity and novelty in design. The latter discusses the dimension of temporality in product design throughout a product’s lifetime, and the concept of products becoming ‘things’ when they break down. The construction of a design space with three axes based on this theory—’the possibility to repair’, ‘our pleasure as users’, and ‘the life of the thing itself’—provides a framework for creating eight diverse prototypes reflecting various perspectives from the theory. Evaluation by 3010 Dutch Design Week visitors identifies one prototype, featuring an ‘oven mitt’-like top, as standing out for its emotional qualities such as ‘connection’ and ‘beauty.’ This re-design is selected for further development into a functional prototype, highlighting repairability improvements and offering the opportunity for ergonomic testing.","Aesthetic durability; Repair; Re-design; Design for retainment; Emotional attachment; Circular Product Design; Aesthetic pleasure; Temporality; Thingness","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:b9f77318-3006-48ce-a46e-7d1041d17925","http://resolver.tudelft.nl/uuid:b9f77318-3006-48ce-a46e-7d1041d17925","GPU-Accelerated Atmospheric Large Eddy Simulation: Preparing DALES for the Exascale Era","Jungbacker, Caspar (TU Delft Civil Engineering & Geosciences)","Jansson, F.R. (mentor); de Roode, S.R. (graduation committee); Costa, Pedro (graduation committee); Siebesma, A.P. (graduation committee); Delft University of Technology (degree granting institution)","2024","Large Eddy Simulation (LES) is a mathematical technique for performing simulations of turbulent flows, such as those found in the Earth’s atmosphere. Compared to traditional numerical weather and climate models, LES is more accurate in representing turbulent processes and cloud dynamics. The computational burden of LES, however, have histor- ically limited its application to relatively small domain sizes. In this work, part of the DALES atmospheric LES model was ported to Graphics Processing Units (GPUs) using the OpenACC programming model. GPUs, originally designed for accelerating computations related to 3D computer graphics, excel at parallel computations, which are abundant in LES models. The performance of the GPU port of DALES was measured on an NVIDIA RTX 3090 in a desktop workstation and an NVIDIA A100 in the Snellius supercomputer and compared to the existing CPU implementation. For the BOMEX intercomparison case, a speedup of 11.6 was achieved versus 8 CPU cores on the desktop system, while on Snellius a speedup of 3.9 was observed compared to 128 CPU cores. Furthermore, the existing MPI parallelization of DALES was adapted such that multiple GPUs can be used simultaneously. This thesis represents a step towards the enhancement of the scalability of DALES, enabling simulations on larger domains at higher resolutions. While a substantial acceleration of DALES was achieved, further efforts are needed to port more components of the model to the GPU to facilitate the simulation of increasingly realistic meteorological phenomena.","Large Eddy Simulation; Graphics Processing Units; High Performance Computing; OpenACC","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:9a84b21e-c636-4a58-bdab-8f6fc76d0c40","http://resolver.tudelft.nl/uuid:9a84b21e-c636-4a58-bdab-8f6fc76d0c40","Prompting sustainable behaviour: triggering a stop and rethink process through the exposure to a combination of static and dynamic norms","Muurling, Lidewij (TU Delft Industrial Design Engineering; TU Delft Design, Organisation and Strategy)","Mugge, R. (mentor); van den Hende, E.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Overconsumption plays a big part in the current environmental crisis, making a shift towards sufficient consumption imperative. Since overconsumption is often a habit, triggering a stop and re-think process in the consumers' minds may be the way for reduction. Societal norms, proven effective for behaviour change, could disrupt consumption habits by combining a static (present norm) and dynamic (how the norm is changing) norm. This thesis explores the impact of combining sustainable and unsustainable framings of static and dynamic norms on consumer behaviour. A laboratory study exposed participants to four norm combinations before engaging in a shopping task. No significant differences emerged in the number of items selected or the time spent shopping across groups. However, a marginally significant interaction effect hinted at the potential influence of combined static and dynamic norms: participants selected the most items with two matching sustainable norms and the least with matching unsustainable norms. Notably, upon removing older participants from the data, this interaction effect disappeared and the effect of the dynamic norm became significant. Unsustainable norms triggered significantly higher negative emotions than sustainable ones, while sustainable norms elicited significantly higher positive emotions. Yet, neither significantly mediated the relationship between static and dynamic norms and item selection. These findings are relevant for marketeers and (communication) designers of companies seeking to engage in demarketing or government agencies promoting sufficient consumption. These results can lay the foundation for more extensive research into this combination of static and dynamic norms, which could be used to make stronger messages to promote both sufficient consumption and other sustainable behaviour.","overconsumption; static and dynamic norms; Sustainable Behaviour","en","master thesis","","","","","","","","","","","","Strategic Product Design","Master Thesis, strategic product design, TU Delft",""
"uuid:f41c4c7e-6389-443f-a102-cb0eb9bc65c1","http://resolver.tudelft.nl/uuid:f41c4c7e-6389-443f-a102-cb0eb9bc65c1","Predicting and Interpreting Bipartite Temporal Networks","Mironov, Stas (TU Delft Electrical Engineering, Mathematics and Computer Science)","Wang, H. (mentor); Kooij, Robert (graduation committee); Delft University of Technology (degree granting institution)","2024","A network, is defined as a collection of nodes interconnected by links. When this topology changes through time, we call it a temporal network. A specific class of networks, with only two types of nodes with no connections between one kind, is the bipartite network. An example is a telecommunications network, where nodes represent telecommunication base station and various mobile services like web-browsing, streaming etc. A link may exist only between a base station and a service. Moreover, each link is associated with a time-evolving weight, which represents the volume of the traffic between the corresponding base station and service over time. This weight associated with each link is also called the activity weight, with the link considered active only when the weight is non-zero. Predicting such a temporal weighted network in the future is crucial for telecommunications engineers, allowing for e.g., better traffic management. Prediction of the unweighted temporal network one step ahead, at time $t+1$, based on the network observed in the past between $[t-L-1; t]$, has been studied recently in contact networks. However, the prediction of weighted temporal networks, or equivalently, predicting the activity weight of each link, in the future has not been explored yet. Moreover, we also aim to uncover the mechanisms that enable the prediction of a weighted temporal network. We achieve this by devising several strategies that help us select the most relevant links within the network, whose activity weights in the past serve as the input for the interpretable, statistical learning algorithm, LASSO Regression, to predict the activity of a given target link at time $t+1$. The focus of the strategies is to capture a relationship of activity weights between the selected and target links. These selected links range from most active links (amount of timesteps the link weight is non-zero), those with largest activity weights or most similar to the target link using several metrics. In this thesis we apply this general methodology to two bipartite networks sourced from real world data and evaluate the performance of different strategies. Through the learned LASSO coefficients and prediction accuracy, we discover that past activity weight of a link is the best predictor for it's future weights. In terms of predicting power, most is coming from the past weights of the link we want to predict and one or two neighbouring links. Most of the selected links have minimal impact on the prediction accuracy. While different strategies of link selection excel in specific conditions, their improvement over the random link selection, is relatively low. The proposed method could be further applied to predict other weighted temporal networks with different properties to understand whether and how the the performance of link selection strategies depends on properties of the network to be predicted.","Bipartite Network; Link Prediction; LASSO; Human mobility; COVID-19; Telecommunication; flow network","en","master thesis","","","","","","","","2024-12-31","","","","Computer Science","",""
"uuid:c7d5de90-2eac-483a-b7c4-daf483982da5","http://resolver.tudelft.nl/uuid:c7d5de90-2eac-483a-b7c4-daf483982da5","Modelling the impact of supersonic aviation emissions on atmospheric ozone concentrations using data-driven methods","van Cranenburgh, Tom (TU Delft Aerospace Engineering)","Dedoussi, I.C. (mentor); van 't Hoff, J.A. (mentor); Fasel, U. (graduation committee); Grewe, V. (graduation committee); Lourenço Baptista, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","With renewed interest in the development of civil supersonic aircraft, their return in the future is becoming more ever more likely. The environmental impact of emissions in the stratosphere on climate and the ozone layer therefore needs to be explored. The stratospheric ozone levels determine the amount of harmful ultraviolet radiation reaching the Earth's surface and thus the level of risk to human health and ecosystems. Ozone response is complex, varying with emission altitude and latitude and we are currently reliant on computationally expensive chemistry-transport models to calculate chemical species concentration changes resulting from supersonic aviation emissions. This paper takes a novel approach to reduce the dependency on these models, creating data-driven dynamical systems that model the global spatiotemporal atmospheric ozone response for different emission scenarios. The dynamic mode decomposition (DMD) and proper orthogonal decomposition (POD) methods are applied to atmospheric ozone data obtained from the GEOS-Chem model, and the evolution of the dominant POD spatial modes are modelled using sparse identification of nonlinear dynamics algorithm (SINDy). We show that DMD models can reconstruct monthly global column ozone changes with root mean square errors less than 0.05 Dobson unit (DU) for a period of three years. Predicting the global mean column ozone changes for the years beyond the period used to construct the models, results in errors less than 0.12 DU. Independent DMD models at two different altitudes can be interpolated to produce estimates for ozone response at an intermediate altitude. These methods can serve as a basis for low dimensional surrogate models that can be used to evaluate chemical species concentrations changes as a result of supersonic aviation emissions.","atmospheric chemistry modelling; supersonic aviation; non-CO2 emissions; dimensionality reduction techniques; data-driven modelling; dynamic mode decomposition; proper orthogonal decomposition; sparse identification of nonlinear dynamics","en","master thesis","","","","","","","","2024-07-01","","","","Aerospace Engineering","",""
"uuid:35a897a9-b80a-471e-aaf5-5f9294212955","http://resolver.tudelft.nl/uuid:35a897a9-b80a-471e-aaf5-5f9294212955","A Graph-Neural-Network Approach for Reconstructing Temporal Networks","Broeders, Theo (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Iersel, L.J.J. (mentor); Julien, E.A.T. (graduation committee); Delft University of Technology (degree granting institution)","2024","Reconstructing a minimum reticulation network from phylogenetic trees is used in evolutionary studies. In this thesis, we focus on finding temporal networks using cherry-picking sequences for binary trees with all taxa. Finding such a minimum reticulation temporal network is NP-hard.
We introduce an algorithm to find a minimum reticulation network with a running time of O(2^n poly(n,t)). In addition, this study explores potential enhancements to the algorithm through the utilisation of branch and bound.
Additionally, we introduce a similar algorithm to determine the existence of a temporal phylogenetic network. This algorithm is improved upon by integrating a new concept called cherry growing. This leads to a notable speed-up in performance.
Furthermore, we examine the application of Graph Neural Networks (GNN) in heuristics to find a cherry-picking sequence which can be used to construct a network. This is done by classifying leaves into good, which leads to optimal solutions, and bad leaves. To assess this, two types of data were employed: one simulating evolutionary models and the other employing a fully random approach. The best-performing GNN model has a 97.4% accuracy for evolution-based data and a 79.1% accuracy for random-based data.
The GNN models are implemented as predictors in two classes of heuristics. The first generates a cherry-picking sequence by repeatedly picking leaves. The second class of heuristics is based on a tree search heuristic. This tree-search-based heuristic outperforms the cherry-picking-based heuristic. Furthermore, the GNN heuristics outperform their random variant, even for problems substantially larger than the GNN was trained on.
We also examine the use of GNN in predicting the existence of a phylogenetic temporal network given a set of trees. The best-performing GNN found for this problem has an accuracy of 80.3%.
Biomimicry may be a solution. It is a relatively new movement, a philosophy, that looks to nature and recognises its value. It takes inspiration from biological strategies and translates them into sustainable design solutions. Respect for nature is central to this approach.
The focus of this project was to design a playful and interactive exhibit on biomimicry that could change the visitor's perspective. This was done for Museon-Omniversum, a science museum that wanted to explore such a transformative learning experience for a new exhibit in a biomimicry zone of their current exhibition One Planet NOW!
A transformative experience is a life-changing experience. They often occur after a sense of awareness that leads to a deeper understanding of one's beliefs. By exploring the subject through hands-on activities, the chance of changing one's perspective over time increases.
This project uses the double diamond method to explore these topics. Desk research, interviews, observations and exploration of museums all contributed to defining the project direction 'Solving relatable events through biomimicry'. Events refer to a relatable situation that visitors encounter (daily). This led to a more detailed design goal:
‘Design a playful and interactive exhibition suited for families with children (8 years and up) to explore biomimicry and let them understand the usefulness of biomimicry for future problem-solving for the coming ‘biomimicry zone’ at Museon-Omniversum.
This consists of four phases:
1. Make the visitor aware of what biomimicry is and can do.
2. Encourage dialogue between families to stimulate self-reflection and deeper understanding of biomimicry by presenting information invitingly.
3. Let the visitor interact with the concept of ‘biomimicry’ in a playful way by solving ‘events’ through simplified steps taken from Biomimicry Thinking.
4. Plant a seed for future problem-solving (to use biomimicry).'
Ideas were then generated through brainstorming sessions, leading to several physical prototypes. Evaluation testing of these prototypes provided insight into the experiences and effects of the designed prototypes. These insights all contributed to the final design concept of 'Superpowers of Nature'. A concept that allows the visitor to explore and reflect on biomimicry.
Visitors explore biomimicry through an interactive choosing system. They can explore specific organisms displayed on activation blocks. After placing one of the organisms, they can explore the superpowers (biological strategies) of the organism and its corresponding biomimetic innovations. The video clips guide the visitor through a simplified version of the biomimicry thinking method. During the video clips, visitors have the opportunity to reflect on the content through open-ended questions that stimulate discussion. Finally, they are shown real-life examples related to their chosen superpower.
Overall, the exhibit is successful in addressing biomimicry. However, further modifications are needed to improve the design and to test the impact in the long term (Phase 4).
Despite being perceived as a low-impact activity, research conducted by Delft University of Technology reveals that over one-third of cyclists suffer from saddle sores (Groenendijk et al., 1992). This prevalence is even higher among sport-cyclers, with complaints often focusing on discomfort around the perineum and sit-bones, potentially hindering participation in the sport (Napier & Heron, 2022).
Throughout this research, it became apparent that achieving a comfortable saddle experience hinges on two crucial factors: a saddle design selected to fit the purpose (cyclist’s anatomy, cycling posture, and personal preferences) and a proper bike fit. Despite their significance, these aspects are frequently disregarded during the saddle purchasing process. As a result, cyclists may find themselves cycling through multiple saddles before discovering the right fit or resorting to costly professional bike fitting services.
In response to these findings, this thesis proposes the development of a bicycle saddle with a variable side profile. This way, the rider can adjust the saddle to align it with the pelvic bone. Therefore creating an optimal pressure distribution, leading to less saddle sores. This has been done by introducing a hinging frontal and rear part, covered by a specially designed padding that makes sure that a smooth profile is established. Additionally, bike and saddle fitting items and a simple guide are provided to the customer and should help find a better bike fit at home.","Ergonomics; Personalized product; Comfort; Saddle; Bicycle; Additive Manufacturing","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:acf84599-a547-4361-8c55-117b3add4b68","http://resolver.tudelft.nl/uuid:acf84599-a547-4361-8c55-117b3add4b68","Optimisation of femoral osteotomies around the knee using the finite element method","van der Weijde, Joël (TU Delft Mechanical Engineering)","Magré, Joëll (mentor); Weinans, Harrie (mentor); Tümer, N. (graduation committee); Nguyen, Chien (graduation committee); Delft University of Technology (degree granting institution)","2024","Introduction
Osteoarthritis (OA) is a prevalent joint disease affecting 7% of the global population in 2020. Lower limb malalignment emerges as a significant contributor to OA, presenting as varus or valgus misalignment. This deviation induces unicompartmental pathology, leading to the wear and tear of the protective cartilage. Surgical interventions, including osteotomies, are essential in managing unicompartmental knee OA. During osteotomies, hinge fractures are a common complication. This paper focuses on optimizing open wedge osteotomies, specifically exploring complications in medial open wedge distal femoral osteotomy (DFO) using finite element models. And investigates the viability of the medial open wedge DFO compared to the lateral open wedge DFO.
Method
The research employed a systematic approach to investigate ways to avoid hinge fractures in Distal Femoral Osteotomy (DFO). Finite Element Modelling (FEM) served as the cornerstone of our methodology, providing a numerical solution. The process involved the creation of finite element models from CT scans through the subdivision of femoral geometry. The automated generation of these models was facilitated by a combination of 3-matic, Mimics, and Abaqus. Using Python scripting in these different programs, a workflow for model creation and parameter variation was created. The lateral open wedge DFO model, serving as the baseline, underwent systematic alterations to explorethe impact of hinge size, osteotomy gap angle, and other variables. The creation of finite element models involved several key steps, including geometry creation, mesh generation, addition of material properties, and the application of boundary and loading conditions. Material properties were assigned based on a simplified isotropic model derived from Hounsfield units. Extra attention was given to automate the process of model generation to ensure efficiency and reproducibility.
Results
Six distinct models were created with a comprehensive analysis of their attributes and shortcomings. The study finds that the medial open wedge DFO does not induce hinge tension. Comparative analyses in both opening and loading stages reveal nuanced stress and strain differences with the lateral open wedge DFO. No clear conclusion can be given as to the viability of the medial open wedge DFO compared to the lateral open wedge DFO. In-depth exploration indicates that smaller hinges are favourable for osteotomy gap opening, while larger hinges (10mm and above) contribute to stability during loading. Minimal impact on stress concentration is observed for osteotomy gap angle variations. The study explores the effectiveness of drill holes in stress relief, highlighting precision challenges.
Discussion
Acknowledging inherent limitations such as partial volume effects and assumptions about material properties. The study suggests promising avenues for future research. Recommendations for future research include in vivo experiments, exploration of XFEM and fracture mechanics, and further refinement of modelling techniques. This study provides nuanced insights into hinge fractures during open wedge DFO, guiding future research and bridging the gap between finite element modelling and
clinical realities.","","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:27c99966-dbf8-4550-948c-44e10b1b8518","http://resolver.tudelft.nl/uuid:27c99966-dbf8-4550-948c-44e10b1b8518","Redesign of the Car Distribution Process: a Dutch case study: A Holistic Approach in a Capacitated Vehicle Routing Problem to Reduce Direct CO2 Emissions in a Truck-Based Car Distribution Process","Koopal, Wisse (TU Delft Civil Engineering & Geosciences)","Vleugel, J (mentor); Schulte, F. (graduation committee); Negenborn, R.R. (graduation committee); Delft University of Technology (degree granting institution)","2024","Purpose - With the short term need to reduce direct CO2 emissions of trucks in distribution processes, this paper aims to provide an easy to implement solution approach for distribution processes of new cars from holistic perspective. Scarce emphasizes is provided on short-term alternatives in truck-based distribution processes and approaches lacks applicable for large scale problems including split delivery function.
Design/methodology/approach - The distribution process and model methods are analyzed using a literature study and interviews with experts, resulting in the development of a solution approach. Combined with an extensive field research, a solution approach enables the performance evaluation of the current state, and the policy implications. Future designs are used to validate the solution approach by calculating performance differences in multiple relevant evaluation domains.
Findings - The analysis of the current state has identified critical bottlenecks, leading to the development of two promising policies. The application of a new and validated prioritization strategy and permitting more stops per truck has successfully yielded a significant reduction in CO2 emissions. The performance of the solution approach demonstrates high precision on a small scale and yields results comparable to actual practices on a larger scale, suggesting the approach's effectiveness and potential for future application.
Research limitations/implications - This research provides a new solution approach for evaluating direct CO2 emissions of model different designs of distribution processes. Despite its narrow scope, the transportation sector has a significant environmental footprint, and offers the potential for substantial reductions in emissions. From modeling perspective, further research is suggested in integrating split delivery function without using dummy variables.
Originality/value - This paper contributes by identifying critical gaps in the understanding and implementation of system-wide efficient car distribution processes from distribution hubs to car dealers. It not only addresses potential improvements, but also proved efficiency gains of the system with a new solution approach, using a new combination of a state-of-the-art meta-heuristic and a proven split delivery method applicable for large-scale problems.","Environmental impacts; Capcitated Vehicle Routing Problem; Split-delivery; Trucks; Car distribution","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:f22e9a89-5cee-4d7d-be1d-d818de2b7d73","http://resolver.tudelft.nl/uuid:f22e9a89-5cee-4d7d-be1d-d818de2b7d73","Promoting well-being among adolescents: Exploring the added value of a systemic design approach","Vos, Kirsten (TU Delft Industrial Design Engineering)","van der Bijl-Brouwer, M. (mentor); Huisman, G. (graduation committee); van der Wal, Koen (graduation committee); Delft University of Technology (degree granting institution)","2024","75% of all mental health issues arise between the ages of 14 and 25 (Kessler et al., 2005). Suicide is the number one cause of death among young people (Centraal Bureau voor de Statistiek, 2023), one in three adolescents experiences performance pressure (Kleinjan et al., 2020), one in seven adolescents has depressive symptoms (Kleinjan et al., 2020) and life satisfaction has decreased significantly over the past 20 years (Kleinjan et al., 2020). All of these statistics show one thing: a lot of adolescents have poor mental health and they seek more professional help because of it. However, youth care cannot handle this increased demand. This combination leads to problems and therefore a new approach is needed. In my project, I used a systemic design approach and focused on promoting well-being instead of only fixing mental health issues. Accordingly, the main research question in my project is:
“How can the systemic design approach contribute to improving well-being among adolescents (ages 16-18)?”
I used a systemic design approach to look at the larger system around adolescents to find new opportunities for possible interventions. I interviewed a lot of different stakeholders and explored their perspectives and their interactions with other stakeholders. I processed these insights into a giga-map, which helped me formulate three themes and eventually choose one theme: ‘Who is responsible’. This theme deals with a social norm where parents are held responsible for the upbringing and well-being of their children, whereas adolescents at this age distance themselves from their parents and need other adult support figures (McElhaney et al., 2009). In addition, the support adolescents receive in this system depends on individual motivation: some people recognize their role, others do not. From this theme and all the insights from the stakeholder sessions, I formulated a future vision which is represented by this African proverb:
“It takes a village to raise a child”
The shift needed to achieve this future is from scattered islands to a connected proverbial village. To achieve this, I chose teachers' mental models as a leverage point (Meadows, 1999). By showing teachers the value of their role, the value of promoting well-being and the value of connections, I hope they will also change their behaviour. From that goal, I designed my intervention OmDraaien, where three teachers are each given a role: a teacher, a parent and an adolescent. They play an interactive game in which they play a scenario and interact with ropes (connection pieces) that represent their relationships. After this interactive game, the participants reflect on the game they just played and relate it to their own work.
To shortly answer my research question, a systemic design approach has helped me to look at the broader system around adolescents and has therefore helped to create not only stakeholder value, but also potential systemic value. In addition, a systemic design approach has allowed me to discover an underlying problem: stakeholders focus just on symptomatic solutions rather than also on fundamental solutions.","Well-being; Adolescents; Systemic design","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:3f5c3978-4f87-4ade-b3e5-cd1cf921ed3f","http://resolver.tudelft.nl/uuid:3f5c3978-4f87-4ade-b3e5-cd1cf921ed3f","The Care Companion App: Managing parental stress in pediatric outpatient trajectories","Eshuis, Pauline (TU Delft Industrial Design Engineering)","Gielen, M.A. (mentor); Vegt, N.J.H. (graduation committee); Poot, Charlotte (graduation committee); Delft University of Technology (degree granting institution)","2024","Visits to the pediatric outpatient clinic are often accompanied by stress and anxiety, not only in the child but also within the parents. Within current trends in healthcare, parents are becoming more and more involved in the treatment of their child. The Hospital Hero Foundation, that already developed an app to reduce hospital related fear and anxiety in children, therefore showed interest in the question of how to support parents with this more engaged role.
IMPORTANCE OF PARENTAL SUPPORT
Literature showed that outside of the hospital context, when healthcare professionals are not present, children depend on their parents to provide the needed support. Also, during the outpatient visit parents play a very important role: children tend to look at their parents in stressful situations to determine an appropriate response. For parents to be able to execute existing strategies to reduce stress in their child, they need to possess the necessary knowledge and skills. More importantly, parents need to be able to manage their own stress, to not transmit this stress onto their child.
CHALLENGS OF PARENTS
Observations in pediatric outpatient clinics and interviews with parents and healthcare professionals confirmed and added to the previously described findings. According to this research, parents would ideally be honest, calm and radiate trust when supporting their child. The outpatient trajectory of their child, though, comes with an increase in (perceived) responsibilities of the parent possibly causing parents to be stressed. In this context, parents are generally fully focused on their child, making them unaware of their own increasing stress levels. Challenges parents experience in the context of the pediatric outpatient trajectory of their child are (1) Engaging with their social environment, (2) Gaining information, (3) Taking care of oneself, (4) Seeing their child in pain, (5) Supporting their child and (6) Working together with healthcare professionals. Support mechanisms to help parents deal with these challenges are limited and often not received by parents.
MANAGING PARENTAL STRESS
To manage parental stress, an intervention that supports parents of pediatric patients (aged 4-10 years old) with their challenges in the context of outpatient visits was designed. The focus thereby was to help parents to take care of themselves. Several brainstorming activities were executed in the ideation phase. The created bulk of ideas converged into 3 concepts, which were compared based on insights gained in this project and interviews with stakeholders. The most favorable concept was further developed into the Final Concept: the Care Companion app.
THE CARE COMPANION APP
The Care Companion app is a standalone app offered to parents by the Hospital Hero Foundation. It offers parents both affirmations as well as general tips for situations and challenges they can possibly encounter in the outpatient trajectory of their child. Each tip consists of advice from an expert and an experience from another parent. The provided tips can be read, saved and shared. A short evaluation with parents and an expert showed promising results for the concept of the Care Companion app.","Supporting Parents; Pediatric Outpatient Trajectory; User-Centered App Design; E-Health; Hospital Hero","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:943789b9-f5b9-4a3c-8703-2ff74b3df140","http://resolver.tudelft.nl/uuid:943789b9-f5b9-4a3c-8703-2ff74b3df140","Employing Sensitivity Analysis for Evaluation of Vibration Effects on Multi-Mirror Optomechanical Systems","Postma, Thies (TU Delft Mechanical Engineering)","Bhattacharya, N. (mentor); van Kempen, Floris (graduation committee); van den Boom, Sanne (graduation committee); Delft University of Technology (degree granting institution)","2024","This study presents a novel approach for evaluating the effects of dynamic disturbances on optical performance using sensitivity analysis. The computation of optical performance for perturbed optical systems is too costly with state-of-the-art analysis software when applied in the use case for the optimization of the optomechanical interface, and therefore using a simplified model, based on ray transfer matrices, describing the most critical phenomena provides a solution. The proposed analytical framework employs a Taylor expansion for the merit function, incorporating both the Jacobian and Hessian matrices, to reduce computation time in transient analysis. The effect of small perturbations on the merit function is found to be accurately described by the approximation when solely the Jacobian is included, for larger displacement fields the approximation deviates significantly without the inclusion of the Hessian. Next to this, the definition of a grating matrix is appended to the framework to facilitate the analysis of a larger set of systems. For transient analysis, the proposed framework exhibits a remarkable improvement in computation time, with minimal degradation in accuracy for paraxial systems. All findings hold relevance for the effect of rigid body displacements in coupled mechanical-optical analyses and further optimization of this coupling under disturbed conditions.","Optomechatronics; Sensitivity analysis; Vibrations","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Optomechatronics","",""
"uuid:a07d6f73-16b1-45fc-af1a-096024410513","http://resolver.tudelft.nl/uuid:a07d6f73-16b1-45fc-af1a-096024410513","Moving measurements: Measurements of the turbulent/non-turbulent interface of a round jet in a co-moving frame of reference","Been, Coen (TU Delft Mechanical Engineering)","van Dalen, L.E. (mentor); Westerweel, J. (mentor); van de Water, W. (graduation committee); Rahimi Khojasteh, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","At the turbulent/non-turbulent interface (TNTI) of a jet flow, momentum is transferred from the turbulent jet fluid to the fluid at rest. This transfer is governed by two mechanisms. One of them is related to the large scales of the flow and the other relates to the small scales of the flow. Which of the two is dominant is still a point of discussion. To analyse the TNTI the instantaneous information of the flow and the location of the interface is of great importance. However, the interface simultaneously develops and travels downstream from the nozzle. With stationary measurement techniques this limits the number of frames the interface can be seen developing as it travels in and out of the FOV. In this thesis the TNTI is measured using a camera system that moves along with the TNTI to get high resolution instantaneous measurements of the same part of the evolving interface. The measurement techniques that are used for this experiment are Particle Image Velocimetry (PIV) and Laser Induced Fluorescence (LIF). The cameras for these measurements are mounted to a motorised frame to keep the same part of the interface in view of the cameras as it develops.
Three Reynolds numbers are measured with this setup and the cameras move approximately 50Dn at a velocity close to the velocity of the interface along a diagonal path to follow the evolution of the TNTI.
One measurement with a Reynolds number of approximately 1.2 × 104 has been processed to show the quality of the results that can be obtained from such a measurement. The velocities are computed by an in-house interrogation analysis program in MATLAB to overcome the wide range of particle displacement found in this experiment, due to the presence of both the centreline and the TNTI of the jet. The TNTI is detected using the LIF data and a threshold detection method from literature that determines a threshold value. The results from the PIV and LIF processing is combined to compute the average conditional vorticity over the interface.
The results show that the PIV analysis is able to compute the velocities of almost the entire jet. Only showing a lot of spurious vectors close to the nozzle in the core of the jet. The LIF edge detection algorithm, on the other hand, does not perform as expected. In multiple instances, an internal interface is detected instead of the TNTI. The TNTI is also determined by identifying a threshold value through a visual inspection of the LIF images. This manually determined TNTI is used as a point of comparison for the average conditional vorticity profiles. The average conditional vorticity profiles support the conclusion that an interface internal to the jet is detected when comparing the interface from the algorithm to the interface determined manually.
Although not quantified in this thesis, there are moving measurements that show the same section of the TNTI evolving for many frames. This gives the co-moving measurements a clear advantage in measurement time compared to stationary measurements when trying to measure the moving TNTI. Refinements to the experimental setup, the behaviour of these internal interfaces and the detection of the TNTI can be of interest for future research.","Turbulent/non-turbulent interface; Jet; PIV (particle image velocimetry); LIF","en","master thesis","","","","","","https://www.youtube.com/watch?v=O-WBgnZn_l0 A video of the raw LIF images from the co-moving measurements","","","","","","Mechanical Engineering","",""
"uuid:c9af6a63-a1e2-4a98-bfe3-76886a0d6e23","http://resolver.tudelft.nl/uuid:c9af6a63-a1e2-4a98-bfe3-76886a0d6e23","A Lamb wave-based liquid sensor for biomedical applications","Gahar, Widesh (TU Delft Electrical Engineering, Mathematics and Computer Science)","French, P.J. (mentor); Manzaneque Garcia, T. (graduation committee); Korkmaz, E. (graduation committee); Sisman, A.S. (graduation committee); Delft University of Technology (degree granting institution)","2024","This study presents an AlN-based Lamb wave (A0 mode) liquid sensing device designed for biomedical applications. The Lamb wave device features a 1.5 μm composite membrane consisting of a 500 nm LPCVD SiN and a 1 μm of a c-axis oriented AlN film. Additionally, an innovative 45° rotated IDT design was explored to minimize edge reflections towards the output IDT. Liquid testing experiments, involving IPA, DI water, and D-PBS, were conducted to see if the devices were able to differentiate between these liquids. Results demonstrate that the fabricated Lamb wave devices exhibit sensitivity to mass loading and can distinguish between liquids based on phase, frequency, and gain characteristics. Devices with the rotated IDT design have shown a significant increase in resonance by 15 dB, as well as enhanced sensitivity compared to those with the normal design. Furthermore, devices with the rotated design demonstrated a higher Q factor of 680, indicating superior performance over the normal design (Q factor of 450). These findings suggest that a Lamb wave device with the 45° rotated IDT design holds considerable potential for applications that demand accurate liquid characterization and detection.","","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:d9be7937-9b31-40c3-b369-2354bac50bee","http://resolver.tudelft.nl/uuid:d9be7937-9b31-40c3-b369-2354bac50bee","Analytical modelling of air-gap magnetic field of surface mounted permanent magnet motors for drones","Jones, Joshua (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dong, J. (graduation committee); Bauer, P. (mentor); Ghaffarian Niasar, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Drones -- small (or not so small) remotely controlled flying devices -- are seeing rapidly increasing use in many fields of application and human activities ranging from recreation, competitive sports, last-mile logistics, espionage, exploration, to media production, and even warfare. The abundance of these devices brings with it the risk of them becoming an audible nuisance due to the high pitched noise produced by their surface-mount (SM) PMSMs.
The acoustic noise produced in these motors is the product of multiple factors, but chief among them is the vibration of the motor's external shell, which is the stator for internal rotor type motors and the rotor for external rotor type. This shell vibrates in various oscillation modes as a result of the magnetic forces acting on it, which are an inevitable result of the motor's internal magnetic field and the armature currents that produce them.
This motivates research effort into reducing this noise through modulation or control strategies employed by the inverter powering the motor. In order to develop a control model, first the motor itself must be understood. In this thesis, the electromagnetic aspect of surface-mount-PMSMs will be developed, i.e. an analytical model will be established of the air gap magnetic field in SM-PMSMs.
First, the armature reaction field for arbitrary winding types will be derived, followed by a detailed derivation of equations typically used to model the rotor magnets in SM-PMSMs. A derivation of the effect of stator slotting on the air gap magnetc field will be provided, and concluded with a combination of the three previously mentioned aspects, and the dimension of time will be incorporated in the model.
Accompanying this thesis will be a set of MATLAB code that will be made publicly available for research and instruction in academia.","SM-PMSM; surface mount; air gap; analytical; permanent magnet; slotting; armature","en","master thesis","","","","","","","","","","","","Electrical Engineering | Electrical Power Engineering","",""
"uuid:be29707d-2e2c-44c1-a758-c0fdfded915a","http://resolver.tudelft.nl/uuid:be29707d-2e2c-44c1-a758-c0fdfded915a","4D Printing: Post-processing for the masses","Dijkstra, Bas (TU Delft Industrial Design Engineering)","Doubrovski, E.L. (graduation committee); Ozdemir, Mehmet (mentor); Delft University of Technology (degree granting institution)","2024","class=""MsoNormal"">This research investigates the challenges a user faces in the activation step in shape-changing products. First, previous research on the topic of 4DP is reviewed and important factors are described. Namely, activation temperature, print temperature, print speed, and layer height have a signifi cant impact on the shrinkage of SMPs, during the activation step in 4DP. These shrinkages can be applied to an object through the use of varying strategies. These strategies show there are two ways of applying SMPs to a design, either calculate the shrinkage or create limits with the use of geometry-based end stops. Lastly, a further review is conducted into the type of products made with 4DP. These products turn out to be made out of three categories; fl at-packed, custom fi tment, and energy-absorbing structures. The second chapter, Material Tinkering & Exploration, delves into the material characteristics of LW-PLA, showcasing its utility for shapechanging. LW-PLA can be used both as a passive and active element, reducing environmental impact by enabling or disabling shape memory through micro-scale structural changes. This material is then used to fi nd a correlation in curvature and ratio of active versus passive elements within a design. Furthermore, the material is used to fi nd the best type of heat source, trying to create the most consistent outcomes. The oven without convection is then chosen as it creates the most consistent outcomes by limiting user interaction and making use of slower response times. The following chapter brainstorms ideas that can make use of shape change. Through the use of criteria and Edison profi les a direction is chosen. This is the direction of the temples of glasses. Shape change can add to this product as the temples vary in length to fi t different users. This chapter also creates two prototypes to be tested, differing in the type of measurement method. Lastly, the design is evaluated through the use of two user studies. In the fi rst study, the focus is laid on the type of measuring method. The external measurement method comes out as the most promising as it creates the most consistent outcome with the users. The second test tries to minimize the error between the targetted length of the temple and the actual length after the activation process has ended. The result is an addition of two test samples that educate the user. Through the education of the user, a consistent result with minimal error can be achieved. The activation step also requires a homogeneous method of heating the temple, small deviations in this heating lead to unwanted curvature. This is combatted by creating a tray, that is delivered together with the temple.","4D Printing; Shape Memory Polymer; Shape Memory Activation","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:a39340b9-06d2-4462-812e-f79450890bdd","http://resolver.tudelft.nl/uuid:a39340b9-06d2-4462-812e-f79450890bdd","Integration of alumina ultrafiltration membrane and palladium-catalyzed peroxymonosulfate for removal of organic micropollutants","Tian, He (TU Delft Civil Engineering & Geosciences)","Heijman, Sebastiaan (mentor); Rietveld, L.C. (graduation committee); Spanjers, H. (graduation committee); Tanis, M.B. (graduation committee); Zhang, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","Organic micropollutants (OMPs) originate from organic chemicals such as drugs and pesticides that are widely used in human activities. OMPs are difficult to remove by conventional water treatment techniques, and hence continue to accumulate in natural water bodies. More effective methods need to be investigated for the removal of OMPs in drinking water treatment because of their toxicity and carcinogenicity, which may pose potential risks to human health. Previous studies have suggested that the use of activated peroxymonosulfate (PMS) catalyzed by Palladium (Pd) immobilized in ultrafiltration (UF) membranes can effectively degrade 1,4-dioxane and p-nitrophenol, while its removal efficiency for other OMPs, limiting factors and reaction mechanism still require for more research. In this study, PMS-Pd/UF system was established by coating Pd on the surface and 20 nm pores of the ultrafiltration membrane. The effectiveness of PMS-Pd/UF in the removal of OMPs from ultrapure water under various flux, pH, PMS dosages and ions presence was examined, as well as the performance in other water matrices including simulated brackish water, simulated brine water and river water. The results showed that PMS-Pd/UF achieved more than 95% of OMPs removal in 1 and 12-hour filtration tests at a flux of 30 LMH, while removal efficiency decreased with the increased flux due to the reduced contact time. The pH tests indicated that the system was more efficient under a neutral pH environment. The presence of 1 mM of various ions (Cl−, HCO3−, SO42−, and ClO−) had limited effects on the degradation of OMPs. However, the removal of OMPs was inhibited when OMPs coexisted with NOM in river water and, in combination with high salt concentrations simulated brine water (e.g., with 250mM of total anions). From specific scavenger dosing experiments, it was concluded that SO4•–, •OH, and O2•– were the main reactive species induced from Pd/UF-activated PMS for the removal of OMPs.","water treatment; ultrafiltration (UF); Advanced oxidation; peroxymonosulfate","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:2053ff02-2056-4307-8216-d78a29433bf7","http://resolver.tudelft.nl/uuid:2053ff02-2056-4307-8216-d78a29433bf7","A guide to ERP strategy selection: A design study for a decision support tool","Seepers, Nikki (TU Delft Technology, Policy and Management)","Ludema, M.W. (mentor); Janssen, M.F.W.H.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis goes into the challenging process of selecting Enterprise Resource Planning (ERP) strategies, highlighting the complexities involved in deciding between different approaches. The study uses a qualitative research methodology, combining literature and interviews, to gain a better understanding of ERP strategy selection. The study sheds light on the intricate dynamics of several ERP strategies, such as the Two-Tier strategy and Best of Breed solutions, and provides insights into their benefits, downsides, and implementation implications.
The study creates a decision tool using an iterative approach driven by the double diamond technique with the goal of offering a systematic framework for selecting ERP strategies. This tool incorporates essential criteria determined through a literature research and interviews, including functionality, organisational complexity, IT expertise, and business alignment. The decision tool is intended to help organisations navigate the intricacies of ERP strategy selection, allowing them to make educated decisions that are aligned with their strategic goals and operational requirements.
The study's theoretical implications add to the existing body of knowledge by clarifying the nuances of the Two-Tier ERP strategy, highlighting the complexities of ERP strategy selection, identifying key criteria and constraints, and emphasising the importance of IT-business alignment in decision-making.","ERP; Enterprise Resource Planning; Strategy; Decision making; Two-Tier ERP strategy","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:41c1c8f5-c721-4b55-b77a-745b0a4b95df","http://resolver.tudelft.nl/uuid:41c1c8f5-c721-4b55-b77a-745b0a4b95df","Optimization of Acoustic Metasurfaces with Hybrid Structures for attenuation of broadband low frequency sound: An exploratory research on hybrid metamaterials to analyze/uncover possible practical applications/benefits for sound attenuation","Martinez Fornos, Carlos (TU Delft Mechanical, Maritime and Materials Engineering)","Aragon, A.M. (mentor); Sluiter, M.H.F. (graduation committee); Delft University of Technology (degree granting institution)","2024","We perform a study on acoustic metasurfaces, aiming to achieve simultaneously low resonance frequencies (below 400 Hz), high attenuation bandwidth (greater than 200 Hz), and high attenuation coefficient magnitudes (above 0.8), while maintaining a surface-like structure.
We propose the implementation of geometrical optimization through genetic algorithms, as well as the incorporation of a chamber to induce resonator coupling in a supercell hexagonal Helmholtz resonator metasurface, to achieve the stated objectives simultaneously.
Results show that genetic algorithms can effectively increase the attenuation bandwidth while maintaining a moderate attenuation coefficient magnitude. Incorporating a chamber induces resonator coupling, causing frequency locking and pulling phenomena. A narrow chamber can effectively lower the resonance frequencies and enhance the attenuation coefficients at those frequencies, while maintaining a surface-like structure. However, incorporating a chamber may lead to a reduction in bandwidth. By combining the genetic algorithm optimization with chamber integration, we observe a significant reduction in bandwidth narrowness, while the benefits of frequency locking and pulling are maintained.
In conclusion, genetic algorithms have the potential to achieve wide attenuation bandwidths, while chamber incorporation holds promise for attaining low resonance frequencies with high attenuation coefficients. Using both methods simultaneously may enable the achievement of all objectives.","metamaterials; acoustics; optimization","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:b0bc2433-f51c-4153-add7-84791df1b02f","http://resolver.tudelft.nl/uuid:b0bc2433-f51c-4153-add7-84791df1b02f","Enhancing Semiconductor Technology Development by Co-Development and Supply Chain Integration","Var, Sezer (TU Delft Mechanical Engineering; TU Delft Marine and Transport Technology)","Napoleone, A. (mentor); Atasoy, B. (graduation committee); Zwaginga, J.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Semiconductor product development becomes increasingly challenging due to diminishing product life cycles, miniaturization, introduction of new physical principles, and new manufacturing processes. These problems are compounded in the absence of standardized development processes for the most complex semiconductor products like MEMS technologies, because the manufacturing of these products is often outsourced. Suppliers play a pivoting role in the realization of the product, from product design until process design and ramp-up. The supplier selection problem in this industry denotes the challenges in finding the right supplier while meeting all the technical, process and business requirements. The contribution of this research is in presenting how to develop a generic methodology for data-driven co-development. Thereby, this work presents a novel product development framework that leverages co-development and supply chain integration through data-driven decision-making. Co-development is reached through standardized methods for generating the required engineering output for supplier selection. Supply chain integration is introduced in the early stages of product development. This synthesizes with the outsourced manufacturing processes. Clustering algorithms are used to effectively shortlist suppliers based on their competences, and provide insights into supplier profiles and gaps. The latter is used to draw strategies for developing unattainable technologies. Using the framework, the required engineering output for supplier selection was generated in 77% less time while reducing information asymmetries between actors in the product development process. Furthermore, the framework made it possible to quantify decisions, allowed for supplier profile recognition and gap identification through its hybrid automated approach, and supplier shortlisting in 95% less time. This efficiency does not only showcase the immediate benefits of the proposed methodology, but also lays the foundation for future research towards a fully automated approach in semiconductor product development. The developed framework includes information flows between actors and steps in the product development process, their interfaces and demonstrates its added value and potential for a fully automated yet efficient future approach in semiconductor product development.","Semiconductor industry; product development; co-development; modularity; supplier selection problem; machine learning; clustering; decision-making","en","master thesis","","","","","","","","","","","","Marine Technology | Transport Engineering and Logistics","",""
"uuid:7ffca2d3-bd42-4585-ac9f-3e25fdaa2b49","http://resolver.tudelft.nl/uuid:7ffca2d3-bd42-4585-ac9f-3e25fdaa2b49","A Numerical Study on Compressible Flows over Thick Airfoils for Tilted Wind Turbines","Hemelaar, Senne (TU Delft Aerospace Engineering)","Modesti, D. (mentor); De Tavernier, D. (mentor); Delft University of Technology (degree granting institution)","2024","While the assumption of incompressible flow has been the prevailing standard for numerical simulations of wind turbine aerodynamics, the limit of this assumption is approached as the industry progresses towards increasingly higher operational tip speeds. This thesis explores the implications of compressibility and transonic flow phenomena, particularly in relation to a new rotor design that integrates the concept of rotor tilt.
The study is split into two phases. The first phase involves two-dimensional Computational Fluid Dynamics (CFD) simulations, employing an Unsteady Reynolds Averaged Navier-Stokes (URANS) framework, specifically for the thick NACA 63(4)-421 airfoil. Attention is directed at the effects of high subsonic and transonic Mach numbers, and the complex flow phenomena emerging from shock wave-boundary layer interactions (SBLI). The second phase extends the findings from the first phase by simulating a 3MW concept rotor, utilizing a lifting line method. Here, particular emphasis lies on runaway conditions; the operating point of an unloaded rotor where rotational velocity maximizes. Since airfoil drag significantly increases through the onset of “shock-stall”, it might provide a passive mechanism for overspeed protection.
The URANS study provides the first exploration of transonic buffet for a thick non-symmetrical airfoil; the phenomenon characterized by periodic shock movement, leading to large-scale load oscillations. The findings indicate that these fluctuations are significant and could pose a threat to the structural integrity of a full-scale rotor. Additionally, regarding the subcritical compressible regime, the study found that the von Karman-Tsien correction is the most appropriate method for the prediction of airfoil loads prior to reaching the critical boundary.
In the second phase, the rotor is simulated using the averaged values of the compressible aerodynamic coefficients, obtained in the first phase. Two flow scenarios are considered: Storm conditions typical for the North Sea, and subsequently, higher wind speeds often encountered during tropical typhoons in the Western Pacific. The results show that for the first scenario, shock-stall does not prevent the rotor from overspeeding. Instead, using rotor tilt is suggested as a more effective strategy. For the second scenario, a distinctive maximum rotational velocity has been found for an incoming wind speed of $57.5$ m/s, leading to the conclusion that shock-stall could effectively prevent the rotor from overspeeding.
Since the averaged values of the aerodynamic coefficients are used to assess the adequateness of shock-stall as an overspeed protection mechanism, the load fluctuations attributed to transonic buffet are initially neglected. A subsequent preliminary assessment of these fluctuations revealed that operating in the high transonic regime potentially poses severe risks regarding rotor safety.","Compressibility; Compressible Flow; Computational Fluid Dynamics (CFD); URANS; Lifting Line; shock--boundary-layer interactions; shock-induced separation","en","master thesis","","","","","","","","2026-03-26","","","","Aerospace Engineering","","51.989784, 4.374796"
"uuid:5893cf34-190f-4b48-9f2b-d4e463f47930","http://resolver.tudelft.nl/uuid:5893cf34-190f-4b48-9f2b-d4e463f47930","Integrated infection and crowd behavior model for infection risk assessment onboard large passenger vessels: Investigating the effect of ship layout design, operational and behavioral measures on contagious disease spread","de Haan, Naomi (TU Delft Mechanical Engineering)","Kana, A.A. (mentor); Atasoy, B. (graduation committee); Oikonomou, Z.P. (graduation committee); Delft University of Technology (degree granting institution)","2024","The development of the global COVID-19 pandemic from 2020 onward has had significant impact on the world and specifically the maritime industry. Striking examples were COVID-19 outbreaks onboard the Diamond Princess cruise vessel and the U.S.S. Theodore Roosevelt aircraft carrier at the start of the pandemic. Contagious disease management onboard large passenger ships remains a complex issue, amplified by the international character of the industry, confined environment and shared facilities. This report therefore presents an investigation into the effect of ship layout design, operational and behavioral measures on COVID-19 airborne infection risk onboard large passenger vessels. The novelty of this research lies with the integrated infection and crowd behavior model used to calculate agent-specific infection risk, incorporating guest and crew circulation through a passenger ship layout.","Contagious disease; Passenger vessel; Layout design; COVID-19; Infection risk","en","master thesis","","","","","","","","","","","","Marine Technology | Ship Design","",""
"uuid:a11ad2df-5f5e-4bb4-a335-596e8d35817f","http://resolver.tudelft.nl/uuid:a11ad2df-5f5e-4bb4-a335-596e8d35817f","An Evaluation of the Merging Interaction between Humans and Interaction-Aware Vehicles","Scarí, Federico (TU Delft Mechanical Engineering)","Siebinga, O. (mentor); Zgonnikov, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","As autonomous vehicle (AV) technology progresses, the necessity for a comprehensive understanding of interactions between AVs and human-driven vehicles (HVs) becomes paramount, particularly in critical manoeuvres such as merging. Mastering merging interactions is essential for enhancing road safety. Existing research in this field focuses on how the AV performs the merging manoeuvre but often fails to assess how they influence these interactions. By drawing inspiration from Human-Robot Interaction and Human Aware Navigation, this study aims to bridge this gap by examining how these interactions influence driver workload, measured through fixations duration, perceived safety and drivers’ subjective perception during merging scenarios. We employed a Virtual Reality environment to simulate realistic driving conditions and measure driver responses. We conducted an experiment where participants engaged in merging manoeuvres with each other and, subsequently and without being informed, with the AV described in “Planning for cars that coordinate with people” [1]. This approach allowed for an unbiased assessment of natural driver reactions to AV behaviours. Our findings reveal significant increases in driver workload and decreases in perceived safety during HV-AV interactions, compared to HV-HV interactions. These results suggest that current AV algorithms may not fully account for the complexity of human-AV interactions, highlighting a need for interaction evaluation in the AV development. Participants’ subjective feedback indicates a recognition of and negative reaction to AV driving behaviours, emphasizing the importance of designing AVs that are both efficient and intuitive for human drivers. The study’s implications suggest improving AV controllers’ evaluations by including their interactions with human drivers. By integrating interaction evaluation, AV technologies can achieve smoother and more successful integration into existing road systems, enhancing predictability and driver acceptance. This study marks a step towards understanding the interactions between AVs and HVs, offering insights that could steer future research and development in autonomous driving technologies.
This study investigates the operation of reliability updating with deformation data to enhance the operational lifespan and load-bearing capacity of existing quay walls. Traditionally, quay walls are designed with predetermined probabilities of failure based on preliminary information gathered through desk studies and on-site investigations. Observations of existing structures provide new information on the actual deformation behaviour. This study acknowledges the conservative assumptions in initial designs, potentially resulting in concealed geotechnical and structural capacities. It introduces a novel approach involving reliability updating using monitoring data from 'smart' quay walls equipped with advanced sensors. These sensors, measuring especially lateral deformations, provide input for the updating process in a Bayesian model.
Application of this methodology is demonstrated through a theoretical and an actual case study, including the Sif quay wall in the Port of Rotterdam (Maasvlakte II).
The theoretical case study validated the methodology. This was done by updating two initial (prior) distributions for the friction angles of two soil layers using the observed maximum deformation for a combination of predefined values of the friction angles. The updated (posterior) distributions converged towards the predefined values and the distributions reduced in variation or became more informed. These findings affirmed the overall operation of the intended method and proved the efficiency and accuracy of the addition of metamodelling. However, the success of the metamodel appeared to strongly rely on appropriate settings for the specific situation.
For the case study of the Sif quay wall, its deformation behaviour was analysed for three different load cases accounting for the effect of excavation, the effect of water level fluctuations and the effect of top loading. The most recent deformation measurements, together with the knowledge of past deformation behaviour, have proved most useful for updating as all aspects contributing to the lateral deformation of the quay were present. In the updating process of the Sif quay wall case study, six stochastic variables were analysed: the strength (friction angles) and stiffness parameters (stiffness moduli) of three influential soil layers. Within the scope of this study, an increase in one or both parameter types means that the structure may have a higher functional capacity than expected, in the form of moment or normal force capacity.
Here, the strength parameters proved more prone to updating than the stiffness parameters and showed a significant increment. The initial parameter uncertainty indicated by the standard deviation was found to have minimal impact, but larger discrepancies between predicted and observed deformations led to more significant updates.
The quay wall at the Sif terminal appeared to have a negligibly small failure probability, even before updating. Therefore, the impact of updating was not measurable in terms of the reliability index, but the outcomes of the limit state function distribution were significantly impacted: their variation was reduced, and the overall distribution moved away from the point of failure.
Regarding cost-effectiveness, the risk mitigation for existing walls after monitoring and reliability updating might be limited. However, the potential for significant steel reduction in new quay wall designs based on updated soil information highlights the value of reliability updating. The approach of this study may lead to more efficient and sustainable quay wall construction practices.
The study also acknowledges limitations in the simplification of the soil model compared to the actual situation and the consideration of one single failure mechanism. Another important factor is the limited level of knowledge on the quay wall deformation conditions, such as loading magnitudes and potential time-dependent effects, compared to the minimized model uncertainties. This aspect should be taken into account when evaluating the results of the update results. Recommendations for further research include:
• To achieve a more realistic representation of quay wall behaviour, it is recommended to incorporate various failure mechanisms, including geotechnical failure, as real-world failures often involve the interplay of multiple mechanisms.
• For a more robust prediction, the model should explore a wider range of stochastic variables, including factors like bed level, water level fluctuations, and top load.
• To enhance the accuracy of the updated parameters, it is recommended to acquire additional measurement data through on-site loading tests or by implementing smart bollards for real-time loading information.
• Investigating the correlation between the stochastic variables is crucial for understanding their combined influence on the model's predictions. This analysis will lead to more accurate results.
• To maximize its practical value, the model should be extended for applications such as berth deepening or designing for increased top loads.
In summary, this research highlights the potential of reliability updating with deformation data, offering more insights into a possible increase of a quay wall's capacity. By understanding a quay wall's reliability, port authorities can optimize its use and extend its service life, reducing environmental impact and bringing economic benefits.
The research is mainly motivated by the limitations of conventional charging poles, such as their scarcity, lengthy charging times, unregulated demand, and urban space conflicts as EV usage grows. Future EV statistics and charging station projections are presented underscoring how these challenges can be amplified shortly. As an alternative solution, mobile systems are introduced highlighting products, prototypes, and studies in the market and literature. Through stakeholder analysis, these systems are shown to benefit investors, consumers, and the public, supporting the grid, facilitating convenient charging.
The thesis incorporates a charging demand estimation algorithm to simulate the charging tasks on a typical day. This demand estimation is represented as private, public, and workspace charging load, sampled by considering the probability of energy demand and connection times. Next, the study integrates an iterative optimization process to simulate how effectively this demand can be addressed by a robot-like mobile charging system.
The system is simulated with different price scenarios, grid capacity values of 50 and 100 kW, varying the number of units between 3 and 5, and battery sizes between 70 and 400 kWh. As a result, it is demonstrated that mobile charging systems can effectively reduce peak demand by decoupling charging load from the grid while offering more convenient charging experience. The profitability is assessed through energy arbitrage, operational revenues, and energy costs, noting improvements with seasonal effects and higher grid capacity.
The results show that the switchable battery configuration can effectively minimise the required investment costs because of the smaller number of necessary carrier units mobilising the battery units. A switchable battery setup with 3x270 kWh batteries and 2 carriers is identified as cost-effective for public and workplace demand, with a potential increase to 340 kWh for higher returns despite 20% more investment. The sizing process is reiterated for another demand scenario consisting of a private charging load and 260 kWh capacity is highlighted as a cost-effective choice, while the profits can be improved with 310 kWh capacity.
The thesis further discusses the mobility necessities of the system and the performance requirements of the powertrain. To maintain grounding, the study simulates the parking service area of P1 at the TU Delft campus. A driving cycle is developed by taking site measurements and also considering safety concerns and standards. Consequently, energy consumption and maximum power requirement are calculated by also integrating a weight estimation methodology regarding the main components of the system.
Lastly, the thesis introduces different power converter topologies that can act as a bridge between the system and EVs. As a consequence of a comprehensive analysis of different converters and the findings reported in the literature, various topologies are suggested to be used in different cases.
By acknowledging the social domain in the decision-making of top-ups, it can guide future participation processes to be more inclusive and effective, accelerating the implementation of successful top-ups.","top-up; vertical extension; densification; participation process","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","Master Thesis",""
"uuid:2342bed1-3353-4330-af8f-07cbbe3a05b4","http://resolver.tudelft.nl/uuid:2342bed1-3353-4330-af8f-07cbbe3a05b4","Investigation of Hyperloop Skeleton tube design","Ekart, Luka (TU Delft Civil Engineering & Geosciences)","Veljkovic, M. (graduation committee); Kavoura, Dr. Florentia (mentor); van Dalen, K.N. (graduation committee); Cristutiu, Teodora (graduation committee); Koeken, Jeroen (mentor); Delft University of Technology (degree granting institution)","2024","The objective of the European Green Deal is to reduce net greenhouse gas emissions by at least 55% by 2030 and to achieve climate neutrality by 2050 [1]. Due to a growing global population and increased needs for travelling on the one hand, and progressive bans of short-haul flights by the governments on the other, the need for a more sustainable and fast means of transportation comes in high demand.
The Hyperloop transportation system has emerged as the fifth mode of transportation, offering an energy-efficient, fast alternative for freight and passenger transportation. However, to successfully establish the Hyperloop network, an extensive tube infrastructure would need to be constructed with requirements of being safe, sustainable, and cost-effective. At the time of this project, various tube designs and materials have already been examined and evaluated; given its preliminary stage of development, new design ideas are rapidly emerging. Engineers are faced with two fundamental challenges: firstly, defining safety limits, and secondly, establishing the balance between the safety, environmental footprint, and operational efficiency of hyperloop infrastructure.
The Hyperloop Skeleton tube design is the latest addition to the integral designs that holds great potential in terms of weight efficiency. The aim of this research is to determine the applicability and efficiency of the newly proposed tube design and to evaluate structural performance to imposed loads.
For the design evaluation, the study uses a numerical approach. Skeleton tube design is initially disassembled into individual components, which are analysed separately to identify potential weaknesses of the design as well as to predict their behaviour within the assembly. After that, the study conducts the analysis of the assembly. The initial design lacked rail support design; thus, a design is proposed and implemented in the model for the global analysis. Within the assembly, the research identifies critical sections and design weaknesses. In accordance with this, it proposes and analyses a new ring-to-stringer connection design. Additionally, a comparison study has been conducted with the conventional (plain) tube design, currently used at the European Hyperloop Centre (EHC) [2].
Based on numerical results, the skeleton tube design is conditionally satisfactory in terms of ultimate and serviceability limit states. The design can resist the main load case – vacuum pressure. Nevertheless, the slender components and thin plates make the tube susceptible to plate rupture or penetration if exposed to environmental actions; thus, making the hyperloop system vulnerable to accidental and impact loads. Moreover, the elastic strength capacity of rings, which are primarily in compression and are therefore critical components, is nearly reached. An initiation of local plastic response is observed, yet due to integral design, the stresses distribute among components; thus, it does not progress into a fully plastic response. Based on these findings and considering that dynamic loads are yet to be assessed, it can be projected that a strength capacity will be exceeded in further research.
The proposed steel bracket design for ring-to-stringer connection provides an alternative to welded connections. It improves stress concentrations within the ring, and considering that it is a bolted connection, further contributes to the ease of assembly, maintenance and the demountability aspect. However, the requirement for 288 such connections per 16-meter-long tube section might significantly increase the total cost of skeleton tube design.
Based on the comparison study, it is proven that the conventional tube design performs better in terms of structural performance under the considered loading conditions. However, in a controlled environment with the absence of external actions, the skeleton tube design could efficiently operate. In this case, a material efficiency of 28% can be achieved if the structure supports the rails and the pod, and up to 37.8% if the tube is solely used for vacuum pressure retention.
Emerging technologies, currently in the process of development and yet to demonstrate their contribution to a more sustainable future significantly depend on the performance and success of their initial prototypes and real-world applications. Skeleton design offers a cutting-edge design, which is on the safety – sustainability spectrum drastically leaning to the latter. A secondary protective structure is required for consideration of the skeleton design in the hyperloop application. The design can nonetheless be viable for other applications, which operate in a safe and controlled environment, with the absence of external loads. This research lays a foundation for any further research on the skeleton tube design.
For this study, a model has been constructed to simulate the Dutch electricity and hydrogen market in 2040. Four different energy systems have been modelled, differing mainly in the extent to which the Netherlands is self-sufficient in their electricity and hydrogen demand. The model is constructed in Linny-R: a graphical modelling tool specifically designed for the formulation of Mixed Integer Linear Programming (MILP) problems, particularly for Unit Commitment (UC) problems.
Firstly, using the model, the required underground hydrogen storage capacity in 2040 in the four different energy systems has been determined. The performance of different storage configurations, consisting of a certain number of short-cyclic salt caverns in addition to a certain policy for seasonal storage in gas fields, has been compared, and it has been concluded that only when a large installed capacity of solar and wind energy is placed in the Netherlands, the preference is for a mid-seasonal storage policy. In the other energy systems, the preference was for a low-seasonal storage policy.
The second part of the research focuses on the financial feasibility of underground hydrogen storage facilities. The average system costs and profits have been mapped out. For the most plausible energy system, it has been determined that the storage facilities in 2040, when they have to generate their income purely based on market prices, are not profitable. To recoup the investment in salt caverns, the government will need to subsidise 0.26 €/kg of hydrogen.
In addition to the insight that subsidisation will be needed to kickstart investments in underground hydrogen storage, some other important insights for policymakers have emerged. The installed capacity of hydrogen turbines has a significant effect on both the operational system costs of the entire energy system and the economic feasibility of the storage facilities. Furthermore, it has been found that in an energy system already dominated by green electricity and green hydrogen, adding additional storage facilities does not result in further CO2 reduction. As long as the carbon capture rate is limited to 90%, approximately 10,000 kton of CO2 will still be emitted annually. To become fully carbon-neutral, the Dutch government must therefore consider negative emission measures such as reforestation and ecosystem restoration.
One of the core strategies identified by the Dutch government to facilitate the transition towards more systemic and efficient infrastructure asset management is to cluster bridge maintenance projects. Project clustering involves consolidating multiple maintenance projects with similar characteristics or geographical proximity into one portfolio. Existing research has highlighted the positive impacts of project clustering on project-specific performance indicators. However, there is a lack of studies examining the broader implications of different clustering strategies on the entire transport system. The current literature predominantly comprises retrospective studies analyzing historical project data, providing valuable insights into project clustering effectiveness but falling short of assessing its influence on future infrastructure system behavior. This research aims to bridge this gap by conducting an exploratory modeling analysis to explore the effects of different maintenance cluster strategies on the performance of the transport infrastructure network.
This thesis employs Entity-based System Dynamics (SD). Entity-based SD is a relatively new modeling methodology and can be seen as a combination of agent-level (ABM) modeling and macro-level (SD) modeling. This combination allows for the modeling of the (spatial) behavior and attributes of individual bridges, roads and regions, while retaining the capability of doing macro-level analyses. Furthermore, as the bridge maintenance problem is subject to deep uncertainty, Entity-based SD was paired with the Exploratory Modeling and Analysis methodology. This allows for the exploration of the repercussions of various combinations of assumptions about uncertain factors in the system. To allow for the combination of the two methodologies, a novel EMA Workbench-Ventity connector was constructed for this thesis.
Because Entity-based SD is still a relatively novel methodology, there is a lack of spatially explicit applications within the existing scientific literature. As such, this thesis pursues two objectives, (1) developing, and reflecting on the added value of a novel spatially explicit Entity-based SD modeling method when modeling the effect of bridge maintenance cluster policies on the wider infrastructure system, and (2) identifying maintenance cluster policies that are effective at facilitating a steady and predictable maintenance capacity demand. To pursue these two objectives, an abstract network was constructed using the Entity-based System Dynamics methodology.
The analysis of the model outcomes shows that the model was able to generate spatially explicit relationships between traffic flows and bridge degradation. The model was also able to capture the performance of maintenance cluster strategies and showed expected behavior. Six policies were tested with the model, three variations of geographical clustering (small, medium, and large), construction type clustering, construction year clustering, and a no clustering policy. The model results indicate that larger maintenance clusters bring about more fluctuating changes in capacity utilization, while smaller clusters lead to a higher total number of expected projects over a 100 year simulation period. Larger clusters also result in a higher average load capacity for the bridge set, mainly due to increased preventive maintenance. Despite larger clusters generally outperforming no cluster policies, their overall effectiveness is diminished, especially concerning the critical outcome of change in capacity utilization. A geographical cluster policy with small clusters, an average of 1.9 bridges per cluster, stands out for its more stable maintenance capacity utilization compared to a no cluster policy option and slightly better performance in other key outcomes.
As such, policymakers should implement policies that encourage the formation of small maintenance clusters. However, as the network specification plays a crucial part in the performance of cluster policies, policymakers should adopt a flexible approach, considering the specific characteristics of the infrastructure network when formulating maintenance clustering policies. Future applications for the model could add additional external effects to the model, introduce finite maintenance capacity and a finite maintenance project size, or include dynamics in the model that allow for the modeling of traffic jams.
At a methodological level, it can be concluded that Entity-based SD is a suitable approach to infrastructure modeling. The added value of the novel spatially explicit Entity-Based SD approach can be described in five points. First, the method holds a high degree of replicability. Because entity types can be independently defined, infrastructure components can be individually modeled and reused in other models. Additionally, the method makes use of externalized network initialization data, which separates the dynamics of infrastructure components and the network specification data, allowing for components to be altered individually without the need to alter the other. Second, the computational requirements of the approach are limited compared to other infrastructure modeling approaches. Third, similar to SD modeling, the model is made up of a clear model structure with stocks, flows, and causal links which enhances communicability and supports group model building with stakeholders. Fourth, as an extension of SD modeling, the method provides a holistic approach to infrastructure modeling, which means that it enables the modeling of not only individual infrastructure components but also the broader system in which these components operate. Lastly, the approach is capable of coping with high degrees of uncertainty due to the EMA Workbench connector that was constructed for this thesis.
Based on the arguments presented in this thesis, the novel spatially explicit Entity-based SD approach is considered to be a suitable new avenue for infrastructure modeling. However, this study should be considered as a first investigation into this approach and is therefore incomplete. Although the abovementioned added values have been identified during the course of the thesis, some limitations and future improvements still exist. Firstly, the Entity-based SD methodology offers limited documentation, as the maturity of the approach is low. Secondly, the approach is not meant to generate precise forecasts. As such, if this is the objective of the modeler or stakeholder, Entity-based SD should not be considered as a candidate approach. Future applications of this modeling approach could look at the performance of the approach when modeling larger networks. Therefore, spatial Entity-Based SD should not be seen as a replacement for current infrastructure modeling approaches. Rather, it should be viewed as a new addition to the scientific field of infrastructure modeling.
Therefore, this study aims to investigate the transport impacts of crowdshipping service for outlier parcels, which are defined as the parcels with high environmental impacts. A case study is conducted in The Hague. First, the parcel carbon footprint is calculated to segregate the outlier parcels. Then, a public transport-based crowdshipping delivery scenario is proposed, with parcel lockers at train stations as the transfer points and train travellers as the potential occasional couriers. The simulation results show that outsourcing the outlier parcels to crowdshipping service is beneficial to the transport system and prioritising outlier parcels of logistics service providers with low market shares can achieve more savings in transport and higher service efficiency.","Last-mile delivery; Crowdshipping; simulation; Case study; City Logistics; Parcel Locker","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:1053611a-cd54-4dd1-8ece-a9d14a80cf4a","http://resolver.tudelft.nl/uuid:1053611a-cd54-4dd1-8ece-a9d14a80cf4a","Measuring and quantifying shunt currents in an alkaline water electrolyser shortstack","Roest, Dirk (TU Delft Electrical Engineering, Mathematics and Computer Science)","Haverkort, J.W. (mentor); Delft University of Technology (degree granting institution)","2024","Hydrogen is used in a variety of industrial applications and can function as a green energy carrier, if produced sus-tainably. Alkaline water electrolysis holds great promise as a production method for green hydrogen, potentially playing an important role in the energy transition. The performance of this technology depends significantly on its electrical efficiency. In some industrial-scale green hydrogen plants, multiple electrolysis cells are coupled together in series or in parallel to form a stack. These electrolyser stacks are being fed with a liquid electrolyte, often a KOH solution, which acts as a good conductor for ions to move between electrodes. The channels through which the electrolyte and gas products are transported in and out of the stack are usually connected to each other via manifolds. Electrolyzer stacks frequently encounter a problem known as shunt current, which is alternatively described as leakage, bypass, or parasitic current in various studies through these channels and manifolds. Math-ematically describing the magnitude and nature of these shunt currents has been the topic of a variety of studies. Being able to adequately measure and quantify shunt currents in an actual stack remains a challenge. This study aims to measure and quantify shunt currents in a novel electrolyser stack design by the employment of hydrogen reference electrodes, copper or silver pseudoreference electrodes, and a magnetic current clamp. Numerous ex-perimental findings have been coupled with mathematical models, imaging and theoretical expectations offering detailed insights in the behaviour of shunt currents with varying external factors. The variable parameters in this shunt current research are the applied current density to the stack and the applied liquid flow rate, by an external pump. The performance of hydrogen reference electrodes, copper and silver wires separately, to measure potential dif-ferences in an electric field generated in the highly alkaline environment of a 6M KOH solution was validated. It was found that the hydrogen reference electrodes functioned accurately and stable, giving conductivity results of the electrolyte 7.5 % above measurements performed with a conductivity probe. The copper wires functioned less predictable and stable, giving values 16 % above the validated value, with a larger spread and less reproducibility. The silver wires showed great potential, providing a value of 3 % above the validated value, but showed less stability in measuring constant potential differences. The plain copper and silver wires only functioned for short term measurements, where a potential difference between a baseline potential was the only predictable outcome. Both lacking a stable redox potential, they were found not suitable for accurately measuring potential differences inside the stack. The hydrogen reference electrodes proved to be useful in quantifying average manifold shunt currents, however leaving uncertainties as to total shunt currents in the experimental set-up used in this research. The magnetic current clamp was used to measure the current running through the external wiring between cells, from which the shunt current could be inferred. These measurements showed much potential in quantifying total shunt currents, but showed a large standard deviation between measurements due to the instantaneous nature of the measure-ments, alongside unpredictable electrode connections interfering with the outcomes...
Thus, the aim of this research is to develop an improved trajectory optimization method, thereby ensuring accurate geo-referencing and alignment of the survey data. This thesis proposes a newly developed methodology to achieve this aim: features are extracted from point cloud surveys, matched and utilized by g2o optimizer and GNSS processing software to optimize the trajectory. The development is described and results are evaluated on two different scales - locally, within a point cloud tile and globally, within a sequence of tiles. It is done by using Glasgow's underground railway network as a test case.
Results from the implementation demonstrate significant improvements in trajectory accuracy - a misalignment of point cloud data was reduced from a 1.5 m to a cm level within an optimization time frame that took approximately 10 hours. This improvement in accuracy was present under different complex environments using both the local and global versions of the algorithm. However, the area near the railway tunnel entrance saw a limited benefit from the implementation of the proposed algorithm.
In conclusion, the developed trajectory optimization algorithm optimizes the trajectory and improves the alignment of the survey data. Moreover, the method outperforms the currently employed solutions by being automatic and applicable in different environments. However, further research is required to optimize the algorithm itself (accuracy and computationally speed of the algorithm) and to more accurately define its limitations in terms of the surveyed environments.","GNSS; IMU; LiDAR; Point Cloud; SLAM; Trajectory Optimization","en","master thesis","","","","","","","","2026-03-18","","","","Geoscience and Remote Sensing","","55.85844788381607, -4.2583973200779415"
"uuid:40c562f9-6d65-4a84-8bed-498ae828d8dd","http://resolver.tudelft.nl/uuid:40c562f9-6d65-4a84-8bed-498ae828d8dd","Limiting microcracks and hydrogen permeability in thermoplastic composites for LH2 storage","van der Helm, Jens (TU Delft Aerospace Engineering)","Sinke, J. (mentor); Atli-Veltin, B. (graduation committee); de Vries, H. (mentor); Delft University of Technology (degree granting institution)","2024","The Netherlands Aerospace Centre (NLR) together with Toray Advanced Composites and 12 other parties take part in the Netherlands liquid hydrogen (LH2) composite tank consortium which is funded by the Netherlands research & development mobility (RDM) Fund. The goal of the RDM project NLR takes part in is to develop a long-life, fully composite LH2 tank for civil aviation. Microcracking and the subsequent hydrogen permeation remain the biggest challenges. This study encompasses three fundamental facets to test and select composite materials: a comprehensive investigation into material behavior, the formulation of a Finite Element Method (FEM) model, and a partial experimental verification of said FEM model. The latter integral component involves an extensive testing system that includes room temperature and cryogenic tensile tests, permeability assessments, and microcrack evaluations facilitated by optical microscopy. During the experiments there is focussed on the critical microcrack density and material selection criteria such as ply thickness.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:4fe7cd89-5f7c-468b-8f8b-d2c493be9386","http://resolver.tudelft.nl/uuid:4fe7cd89-5f7c-468b-8f8b-d2c493be9386","Physics-informed machine learning for nowcasting extreme rainfall","Yin, Junzhe (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dauwels, J.H.G. (mentor); Abelmann, L. (graduation committee); Uijlenhoet, R. (graduation committee); Delft University of Technology (degree granting institution)","2024","The thesis explores an innovative technique for enhancing the precision of short-term weather forecasts, particularly in predicting extreme weather phenomena, which present a notable challenge for existing models such as PySTEPS due to their volatile behavior. Leveraging precipitation and meteorological data sourced from the Royal Netherlands Meteorological Institute (KNMI), the research innovates through the development of a physics-informed neural network. Central to this approach is the implementation of a Physics-Informed Discriminator GAN (PID-GAN), a method that embeds physical principles directly into the adversarial training regime. The architecture is marked by the integration of a Vector Quantization Generative Adversarial Network (VQ-GAN) and a Transformer as the generator, complemented by a temporal discriminator as the discriminator component. Results from this study indicate a notable advancement over traditional numerical weather prediction and cutting-edge deep learning models, underscoring the PID-GAN model's superiority in delivering accurate precipitation nowcasting metrics.","Deep Learning; Nowcasting; Physics informed machine learning","en","master thesis","","","","","","","","","","","","Electrical Engineering | Circuits and Systems","",""
"uuid:fdbca0b0-124e-45e1-8678-e8026c64d42d","http://resolver.tudelft.nl/uuid:fdbca0b0-124e-45e1-8678-e8026c64d42d","Hybrid Modelling in Hydrology Using a Neural Ordinary Differential Equations Approach","Schieren, Jonathan (TU Delft Civil Engineering & Geosciences)","Hrachowitz, M. (mentor); Taormina, R. (graduation committee); Ragno, E. (graduation committee); Visser, Martijn (graduation committee); ter Maat, Judith (graduation committee); Delft University of Technology (degree granting institution)","2024","Conceptual models in hydrology are widely used, allow for easy interpretation and require little data. Machine learning models in hydrology often outperform conceptual models but lack the ease of interpretability, require large amounts of data and and do not obey physical laws. Hybrid approaches aiming to combine the advantages of both approaches are becoming more popular. A Neural Ordinary Differential Equations approach is introduced to combine a differential equation-based conceptual model with a neural network. Additionally, conceptual models and LSTM models are used as benchmarks. The models are tested using the LamaH-CE dataset as well as the E-OBS dataset. In many cases the hybrid models outperform the conceptual model. However, to further improve the performance of hybrid models more research is needed to make the models more computationally efficient and optimized training strategies are required to explore the full potential of the approach.
This thesis situates the Lynetteholm project within the broader context of Copenhagen's historical commitment to sustainable urban development and efficient public transportation systems. It explores the unique challenges and opportunities presented by this ambitious project, particularly in the realm of mobility and transportation. By drawing on comparative analyses with cities like Amsterdam and Venice, which share Copenhagen's characteristic of being intertwined with waterways, the research aims to distill valuable insights into managing urban mobility in water-centric urban environments.
Central to the thesis is the exploration of smart mobility solutions, specifically Autonomous Navigation Systems (ANS) and Demand-Responsive Transportation (DRT), framed within the increasingly popular concept of Mobility as a Service (MaaS). The investigation delves into the potential synergy between these technologies and the existing urban transport framework in Copenhagen, with a particular focus on enhancing the ""Harbor Bus"" service. The envisioned autonomous demand-responsive ferry service (ADRT) is posited as a sustainable, efficient, and user-centered mobility solution that seamlessly integrates with the city's transport network, thereby facilitating the smooth incorporation of Lynetteholm into Copenhagen's urban tapestry.
The proposed ADRT system, characterized by its autonomous operation and demand-responsive nature, is designed to double the capacity of the current Harbor Bus fleet, addressing both the anticipated residential influx in Lynetteholm and the broader transportation needs of Copenhagen's residents. This system not only promises enhanced operational efficiency and reduced environmental impact but also aligns with the city's long-term vision of achieving CO2 neutrality and fostering a ""green wave"" of commuting practices.
Furthermore, the concept of ""Ferry Oriented Development"" (FOD) is introduced as a strategic urban planning approach that capitalizes on the untapped potential of waterways. By developing ferry terminals as focal points of urban activity, FOD encourages the formation of vibrant, interconnected communities that prioritize sustainable transport modes, thereby reinforcing Copenhagen's commitment to environmental sustainability and efficient urban mobility.
In sum, this thesis offers a comprehensive examination of the interplay between urban expansion, sustainable development, and innovative transport solutions in the context of Copenhagen's Lynetteholm project. It presents the design of a conceptual framework for an ADRT system that embodies the principles of autonomy, responsiveness, and integration, thereby contributing to the discourse on future urban mobility. This research provides a nuanced, evidence-based perspective on the deployment of smart mobility solutions in the face of rapid urban growth, offering valuable insights and recommendations for urban planners, policymakers, and stakeholders engaged in shaping the future of urban living in Copenhagen and beyond.","Demand-Responsive Transportation (DRT); Autonomous Navigation Systems (ANS); Ferry Oriented Development (FOD)","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:bd2d39ac-028e-4f6c-b56c-050f1ec43931","http://resolver.tudelft.nl/uuid:bd2d39ac-028e-4f6c-b56c-050f1ec43931","Marine Pumped Hydro Energy Storage: Shape design of the reservoir","Wesseling, Lucas (TU Delft Civil Engineering & Geosciences)","Hoogenboom, P.C.J. (mentor); Hendriks, M.A.N. (mentor); Delft University of Technology (degree granting institution)","2024","The offshore renewable energy market is rapidly growing, particularly in wind and solar sectors. The intermittent nature of these energy sources underscores the necessity for offshore energy storage solutions. Among the techniques being explored, Marine Pumped Hydro-Energy Storage (MPHES) emerges as a promising option. This innovative concept operates similarly to artificial lakes, where water is stored and released to generate electricity. In the MPHES system, a seabed-based reservoir is established, in which water flows, driving turbines to generate electricity. During periods of energy surplus, the system is charged by pumping water out of the reservoir...","Energy Storage; Concrete Structures; DIANA FEA; Civil engineering; Structural Engineering; Shape Optimisation; Integral design","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering | Concrete Structures","",""
"uuid:2fcf9431-dd26-49bf-9d91-8b8052061e3a","http://resolver.tudelft.nl/uuid:2fcf9431-dd26-49bf-9d91-8b8052061e3a","Multi-objective differential evolution optimization of ion beam analysis spectra","Mariën, Simon (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yorke-Smith, N. (mentor); Panichella, A. (graduation committee); Meersschaut, Johan (mentor); Delft University of Technology (degree granting institution)","2024","n the rapidly evolving semiconductor industry, precise material characterization is crucial. This thesis focuses on enhancing Ion Beam Analysis (IBA), a pivotal tool in semiconductor characterization, through the integration of differential evolution optimization. This research proposes a single and multi spectra optimization algorithm approach controlled by a web application. Central to this work is a com- parative analysis of the proposed algorithms to simulated annealing and the DE algorithm proposed by Heller et al. [5]. This analysis shows a good performance of the proposed algorithms and a potential for industry application. The web application offers users a robust, user-friendly, and scalable interface for IBA optimization. By proposing and testing new IBA optimization methods, this thesis contributes significantly to semiconductor technology, offering new methods for material characterization at microscopic level.
This project is a joint project between Imec (Leuven, Belgium) and HZDR (Dresden, Germany).","Differential evolution; Material characterization; Ion Beam Analysis","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:f16dc219-56be-4d03-8733-ff27f3d9a072","http://resolver.tudelft.nl/uuid:f16dc219-56be-4d03-8733-ff27f3d9a072","The Evaluation Of Stereopsis On The Preoperative Surgical Planning For Colorectal Liver Metastases Surgery","Coopmans, Laurent (TU Delft Mechanical, Maritime and Materials Engineering)","van den Dobbelsteen, J.J. (graduation committee); Mieog, J.S.D. (Sven) (mentor); Dijkstra, J. (Jouke) (mentor); Broersen, A. (Alexander) (mentor); van Dam, M. (graduation committee); Dijkhuis, T. (graduation committee); Delft University of Technology (degree granting institution)","2024","Background
The surgical management of Hepato-Pancreato-Biliary (HPB) cancer poses significant challenges, primarily due to the complexity of patients. However, the role of stereopsis (depth perception) in visualizing three-dimensional (3D) anatomical models remains relatively underexplored. Integrating stereoscopic technologies with 3D anatomical modelling holds promise for enhancing surgical planning and navigation, thereby addressing the inherent complexities of HPB surgeries.
Aim
This study aims to evaluate the effect of stereopsis on the preoperative surgical planning of colorectal liver metastases surgery.
Methods
A retrospective study was conducted with participants from the Department of Surgery, Leiden University Medical Centre (LUMC), to investigate the occurrence and severity of symptoms resulting from the use of a stereoscopic display. Subsequently, liver and transplant surgeons from the same department participated in another retrospective study comparing surgical plans for colorectal liver metastases performed stereoscopically with those performed monoscopically, within the same surgeon.
Results
14 out of 18 participants experienced (slight) symptoms from the use of a stereoscopic display, yet no one discontinued the study due to symptoms. In the subsequent study on the effect of stereopsis on preoperative surgical planning for colorectal liver metastases, 13 liver and transplant surgeons participated. Relative to a gold standard, there appears to be no significant difference between surgical plans executed monoscopically or stereoscopically. There is also no significant difference in the time taken to create these surgical plans (p=0.401). Despite the absence of significant difference between the plans, surgeons do express a (strong) preference for stereopsis in locating the tumor (61%), determining the surgical plan (61%), and assessing vascular involvement (69%).
Conclusion
It is evident that surgeons have a preference for stereopsis in visualizing 3D models, although our study found no discernible differences in outcomes between monoscopic and stereoscopic preoperative planning for colorectal liver metastases surgery. Future research is recommended to compare surgical plannings based on conventional two-dimensional imaging alone with conventional two-dimensional imaging supplemented by additional stereoscopic 3D models. This comparative analysis could offer further insights into the potential advantages of integrating stereoscopic technology into preoperative planning practices.","Stereopsis; Preoperative Surgical Planning; hepatopancreatic-biliary surgery","en","master thesis","","","","","","","","","","","","Technical Medicine","TM30004; 35 ECTS",""
"uuid:7ce61cbc-3f83-4ab5-9953-899ccab70a59","http://resolver.tudelft.nl/uuid:7ce61cbc-3f83-4ab5-9953-899ccab70a59","Learning Interpretable Reduced-order Models for Jumping Quadrupeds","Buriani, Gioele (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Cognitive Robotics)","Della Santina, C. (mentor); Babuska, R. (graduation committee); Liu, J. (graduation committee); Delft University of Technology (degree granting institution)","2024","This work introduces a novel methodology for the development of interpretable reduced-order dynamic models specifically tailored for jumping quadruped robots. Leveraging Symbolic Regression combined with autoencoder neural networks, the framework autonomously derives symbolic equations from data and fundamental physics principles capturing the complex dynamics of jumping actions with high fidelity. This approach significantly reduces model complexity while enhancing interpretability, facilitating deeper insights for legged robotic applications. The efficacy and accuracy of the proposed models are validated through comprehensive experimental studies, marking a substantial advancement in the design of agile and efficient legged robots. This research demonstrates the outperformance of a learned 2D model compared to existing template models such as the ASLIP. Also, an analysis of the dimensionality of the learned model is conducted showing the overarching tradeoff between accuracy and complexity. The method is validated on different simulated quadrupeds and an actual hardware robot.","Quadruped robots; Dynamic modelling; Symbolic regression; Autoencoders; Machine Learning; Interpretability; Reduced-order models","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:357c4d0f-7712-4343-99bb-018d5e654d1f","http://resolver.tudelft.nl/uuid:357c4d0f-7712-4343-99bb-018d5e654d1f","Tidal phase differences in multi-branch systems and their effect on salt intrusion","de Wilde, Hans (TU Delft Civil Engineering & Geosciences)","Pietrzak, J.D. (mentor); Kranenburg, W.M. (mentor); Huismans, Y. (mentor); van Prooijen, Bram (mentor); Hendrickx, G.G. (mentor); Delft University of Technology (degree granting institution)","2024","The Hollandsche IJssel plays an important role in the freshwater provision of the province Zuid-Holland. Consequently, for Rijkswaterstaat it is key that salt intrusion is minimal in the Hollandsche IJssel. Recent studies noted that salt intrusion in the Hollandsche IJssel is limited due to a phase difference between tidal velocities in the main channel, the Nieuwe Maas, and the side channel, the Hollandsche IJssel. Earlier research investigated the impact of phase differences between branches and found it can lead to increased dispersion in the main channel, through a process known as tidal trapping. At the same time, this phase difference can prevent the saltiest water from entering the side channel, as was found at the Hollandsche IJssel. Because of this role, it is relevant to find out how this phase difference may be influenced by sea level rise, more extreme river discharges and particularly how it depends on the geometry of the main and the side channels. Especially the latter could help Rijkswaterstaat to minimize salt intrusion at locations relevant to freshwater intake, such as the Hollandsche IJssel.
The main objective of this thesis is to investigate how the geometry of the side and main channel influences the tidal phase difference between these two channels, and how this may impact the salt dispersion in the side channel. For this, an analytical model is developed describing harmonic wave propagation in multi-branch systems and this is used next to results from a 3D numerical model for the Rhine Meuse Delta (RMM3D). First, the influence of changes in geometry and forcing is systematically investigated for a network containing a single junction. This shows that the length and depth of the side channel are the most significant variables. The depth is one of the main variables impacting friction, which governs the type of wave which can form in the system. A decrease in friction allows a wave to transform into a standing wave pattern as the return wave becomes more important, while increased friction transforms it into a propagating wave. The length also controls the type of wave which can form as it determines the distance along which the friction can work. Additionally, the length also governs potential resonance in the side channel.
Next, the phase differences of the M2, M4 and M6 tide are determined for the junction with the Hollandsche IJssel in the Rhine Meuse Delta (RMD) based on the RMM3D model. The main tidal constituent regarding tidal trapping was found to be M2. However, this does not fully represent the time difference between flow reversal at the Hollandsche IJssel and the Nieuwe Maas, which was found to be around 75 minutes. Additionally, the phase difference at the Lek was investigated. For the M2 tide at the Hollandsche IJssel and Lek, a phase difference of 55⁰ and 31⁰ was found, respectively. These phase differences prevent salt intrusion in the respective side channels. The inflow of the side channels starts while the main channel still flows to the sea during the ebb. At this moment, the salt concentrations in the main channel have already returned to background levels...","Phase difference; Hydrodynamics; Analytical model; Rhine-Meuse Delta; Hollandsche IJssel; Lek","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","","51.906134, 4.557591"
"uuid:626bc0e8-d14e-45f2-9065-719d32781b71","http://resolver.tudelft.nl/uuid:626bc0e8-d14e-45f2-9065-719d32781b71","Reducing Emissions: Parameter Sensitivity Analysis for the Conceptual Design of Military Transport Aircraft","Gebhard, Ganesh (TU Delft Aerospace Engineering)","Yin, F. (mentor); Steinert, P. (graduation committee); Delft University of Technology (degree granting institution)","2024","The escalating concerns surrounding climate change underline the importance of examining greenhouse gas (GHG) emissions across various sectors, with aviation causing approximately 1.9% of the global GHG emissions in 2020. To this modest figure, the Dutch aviation industry acknowledges its share to the climate impact and has gone ahead to objectify it. It has been shown that there has been a 13% surge in the 2018 total GHG emissions, and of the emissions attributable to the Netherlands, a staggering 75% has been emitted beyond its border. Remarkably 95.3% of these beyond-Dutch border emissions are attributable to its aviation sector. This showcases how a localized issue can have global impact.
This research explores the area of military aviation emissions, focusing on the Airbus A330 MRTT operated by the NATO Multinational MRTT Unit (MMU). While commercial aviation adheres to emissions monitoring policies, military aviation is exempted from such obligations. This research adopts a certain approach, focusing not necessarily on the identification of the 'right' methodology but more on the selection of methods tailored to identified goals. The core objective is a sensitivity analysis of emissions and climate impact concerning aircraft design and mission parameters.
In collaboration with the MMU and the Royal Netherlands Air Force (RNLAF), this research uses real-world data for model validation. This research addresses key questions around design and mission parameters with the focus on engine performance modeling, emissions modeling and sensitivity analyses.
The report is structured into four chapters.
The first chapter discusses the literature and background of emissions in commercial and military aviation. The focus will be on the critical role of emission and that of climate impact modeling.
The second chapter outlines the methodology, overall emission model reviews and a climate impact evaluation using Average Temperature Response (ATR).
The third chapter includes the validations using flight data and an external software tool for the emissions validations. The last chapter shows the results and a comprehensive sensitivity analysis. The obtained results aim to collectively present insights for Airbus Defence & Space, towards the conceptual design phase of their new military aircraft. This research contributes to the broader prevailing dialog on sustainable aviation.
This research utilizes the fusion of data from a scanning LiDAR with a long-wavelength infrared camera to estimate the relative pose of an unknown uncooperative target. Two separate bespoke pose estimation algorithms, color-ICP and Feature Matching, were developed and tested with laboratory experiments mimicking the close-approach phase with a target under various lighting conditions and relative motion rates. The color-ICP algorithm uses a thermal infrared-infused color-assisted Generalized Iterative Closest Points method, while the Feature Matching algorithm uses computer vision on LiDAR point-infused thermal images to track BRISK feature points in each frame to estimate pose.
In general, the color-ICP algorithm delivered more accurate results throughout the range of experiments, though the fusion was slightly detrimental while the target is being heated or cooled. The Feature Matching algorithm contains a large amount of tunable parameters, making the estimation highly sensitive yet
versatile, demonstrating that harsh lighting conditions can be mitigated with accurate features tracked after the implementation of image processing techniques. Overall, the end product shows promise as a light-agnostic remote sensing and pose estimation solution.
This research contributes to the advancement of active debris removal theory and explores two promising avenues for LiDAR-infrared sensor fusion for pose estimation, laying the groundwork for further iterations exploring this sensor pairing. The resulting use case is a conceivable scenario in which these sensors work together to supplement individual strengths and mitigate disadvantages throughout the approach phase of a debris removal mission.","Active debris removal; Remote sensing; Sensor fusion; LiDAR; Thermal Infrared; pose estimation; unknown uncooperative target","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:d5790618-b9af-4d17-8f2c-e5cb4f3cacc4","http://resolver.tudelft.nl/uuid:d5790618-b9af-4d17-8f2c-e5cb4f3cacc4","Formal Control of an Inverted Pendulum on a Cart via Stochastic Abstractions: Using Interval Markov Decision Processes and Linear Temporal Logic on Finite Traces","ten Voorde, Maarten (TU Delft Mechanical Engineering)","Adams, S.J.L. (mentor); Laurenti, L. (mentor); Delft University of Technology (degree granting institution)","2024","The use of machine learning (ML), especially neural networks, in modeling control systems has shown promise, particularly for systems with complex physics. However, applying these models in safety-critical areas requires reliable verification and control synthesis methods due to their inherent complexity. Formal methods, using stochastic finite state models like interval Markov decision processes (IMDPs), provide a way to analyze and verify these systems against detailed safety and performance specifications defined using linear temporal logic over finite traces (LTLf). Abstraction of ML models into such IMDPs, allows the deriving of formal guarantees on the IMDP that carryover to the underlying ML model.
This thesis focuses on designing a switched controller for a cart-pendulum system using neural network dynamic models (NNDM) by formal control synthesis, validating it through formal verification methods. The methodology includes modeling the system behavior under different controllers, abstracting these models into IMDPs, applying the respective formal methods, and validating the approach through experiments. The aim is to demonstrate the framework's utility in a practical context, comparing different neural network architectures and researching the applicability of formal guarantees to both the models and the actual system.
The main contributions are a practical application of the framework to a specific system, a comparison of neural network architectures for dynamic modeling, and an experiment-based validation of the framework's effectiveness. It confirms that the formal guarantees for abstracted models are relevant to the actual system, providing insights into the framework's potential for real-world applications. The findings suggest areas for further research, particularly in making such frameworks more accessible for practical deployment in safety-critical systems.","LTLf; IMDP; Formal methods; Inverted Pendulum on a Cart; Control Synthesis; Stochastic Abstraction; Neural Networks","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:96c24d11-8841-456f-90b9-c471bf35e46d","http://resolver.tudelft.nl/uuid:96c24d11-8841-456f-90b9-c471bf35e46d","Design and validation of an ice adhesion set-up: Analysis of ice, surfaces and scatter","Connolly, Ronan (TU Delft Aerospace Engineering)","Garcia, Santiago J. (mentor); Tavaststjerna, M.J. (mentor); Pascoe, J.A. (graduation committee); Bergsma, O.K. (graduation committee); Delft University of Technology (degree granting institution)","2024","Ice accumulation on aircraft surfaces is a prevalent issue, and icephobic coating strategies can be implemented to assist in the removal of or ice on an aircraft. However little is known regarding the effects of novel icephobic coatings and surfaces on ice adhesion strength, which is difficult to measure and quantify, and is typically reported in literature with a high standard deviation and scatter. In this thesis, a reliable set-up for testing ice adhesion strength is successfully designed, constructed, and validated. Using this set-up, it is possible to examine the relationships between various surface parameters and ice adhesion strength, and to analyse the scatter in order correlate the results both qualitatively and quantitatively. Additionally, the influence of material and topology on the adhesion strength and failure mechanisms of ice is investigated.","Ice adhesion; ice; ice testing; ice failure; coatings; validation; design validation","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:4a67e143-0004-48cb-963f-c6c874282a9d","http://resolver.tudelft.nl/uuid:4a67e143-0004-48cb-963f-c6c874282a9d","Sparse Non-uniform Optical Phased Array Design","Yu, Kunlei (TU Delft Electrical Engineering, Mathematics and Computer Science)","Joseph, G. (mentor); Romme, J.P.A. (mentor); Leus, G.J.T. (graduation committee); Driessen, J.N. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis addresses the design and optimization of sparse non-uniform optical phased arrays (OPAs) for advanced automotive LiDAR systems. As autonomous driving technologies advance, the demand for high-resolution, reliable, and compact LiDAR systems has become increasingly critical. Traditional uniform OPAs, while effective, face limitations regarding power consumption. This work introduces an innovative approach to designing sparse non-uniform OPAs that achieve desired performance metrics essential for automotive applications, including beamwidth, field of view, and sidelobe levels, while minimizing element count and, consequently, energy consumption.
Through mathematical modelling and simulation, we formulate the problem of sparse OPA design as an optimization problem, leveraging techniques from compressive sensing to identify the most efficient element arrangements. We propose using the sparse array synthesis method to formulate the sparse OPA design problem, utilizing algorithms such as LASSO, thresholding, and iterative reweighted l1-norm minimization to achieve optimal sparse configurations. Our results demonstrate substantial improvements in effectiveness, offering a practical solution to the constraints posed by current LiDAR systems. This thesis contributes to the field by providing a comprehensive framework for the design of sparse non-uniform OPAs, highlighting the trade-offs and benefits of various design strategies. The findings advance our understanding of OPA design principles.","Sparse design; Optical phased array; LiDAR; Silicon photonics","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:88689a12-ea49-4e5c-b20f-d5571e439101","http://resolver.tudelft.nl/uuid:88689a12-ea49-4e5c-b20f-d5571e439101","Decarbonizing Hard-to-Abate Industries in Northwestern Europe: A socio-technical innovation system and techno-economic analysis on the most promising hydrogen sources","Thomson, Owen (TU Delft Technology, Policy and Management)","Korevaar, G. (mentor); van Beers, Cees (graduation committee); van de Kaa, G. (graduation committee); Dickmeis, J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Within the energy transition, green hydrogen stands as a key solution for decarbonizing sectors where direct electrification is not viable. This thesis focuses on the competitive landscape of hydrogen production in North-Western Europe, addressing the technological and geographical competition of locally produced green hydrogen. This research introduces a comprehensive analytical tool to assess the viability of various hydrogen production methods, which are competing value chains of European green hydrogen. By synthesizing institutional, economic, societal, and technical considerations, the model facilitates a direct comparison between different green hydrogen alternatives.
The research commenced by applying Hekkert’s (2011) TIS analysis as a tool for structural analysis to systematically map the system. The goal was to delineate its structure and identify potential key competing value chains within the scope of Europe. This initial phase involved a literature review and interviews to select value chains. The subsequent phase, which also used the TIS as a tool, focused on identifying key drivers and barriers within the system through a functional analysis, utilizing a structured approach to examine system functions. Expert interviews played a pivotal role in this stage, providing an understanding of the system's dynamics. In the last phase, the techno-economic analysis was performed by introducing barrier-driven scenarios. Allowing for insights into the cost components and the overall comparison in the levelized cost of hydrogen for every scenario.
The structural analysis showed that the European Commission set the scope with institutions, allowing for technologically mature low-carbon alternatives in this comparison. The functional analysis revealed the intricate interconnectivity of the system functions, illustrating how drivers and barriers can swiftly transform, reflecting the system's complex status. The primary barrier to green hydrogen adoption is its high cost, creating a deadlock with no demand or supply. Technological advancement and governmental intervention emerged as key solutions to this challenge. The techno-economic results show that the least cost-effective value chain is local green hydrogen, and the most cost-effective value chain is local blue hydrogen. Local green hydrogen faces challenges in competitiveness due to high energy prices and low capacity factors compared to other electrolyzer-based methods. Additionally, compared to other types, like blue hydrogen, it has higher overall investment and energy costs.
The ultimate aim is to navigate through the complexities of the hydrogen system, clarifying how various variables interconnect and influence each other. Tools like the one developed in this research provide a foundation for understanding this complexity. By understanding the impact of governmental interventions and other factors on final prices, stakeholders can engage in more strategic decision-making. To deepen the understanding of the system, it is recommended to conduct further interviews and research, exploring the alternatives within and outside the European system more comprehensively. Investigating what drives prices beyond mere economic factors will offer a clearer picture of their current status and potential future developments. This broader analysis will equip users with the insights needed to make informed decisions, considering both the economic and socio-technical dimensions that shape the hydrogen market's evolution.
This thesis presents a comprehensive study on the aerodynamics of propeller-wing interactions, with a specific focus on leading-edge distributed propeller configurations.
The research was conducted through a comparative analysis, employing a single propeller-wing system, modeled based on the ATR 42/300 as the baseline. This involved comparing a conventional single tractor propeller configuration with a three-propeller leading edge distributed configuration. The methodology used is an unsteady panel method
solver, FlightStream, which is a commercially available software, allowing for an in-depth
examination of the two-way interactions between the propeller and wing (Full interaction mode), and allowing for a force-free wake.
The findings of the study highlighted significant aerodynamic benefits of the leading-edge distributed propeller configuration over the traditional single propeller setup. Notably, there was a 2.5% increase in wing efficiency and a 6.1% reduction in induced drag. Additionally, the propeller efficiency in the distributed system saw a 3% increase compared to the single propeller system. However, it’s crucial to note that these propellers operated at different, non-optimal points, which influences their comparative performance. A key result was the reduced power consumption of the three-propeller system, which required 8.1% less power to maintain steady level-flight conditions than the baseline single-propeller model. This finding suggests potential for increased efficiency in aircraft designs incorporating such configurations.
This thesis systematically defined its fundamental objectives, beginning with the development of a 1D unsteady model for compressible flow in pipeline systems. This model, which served as the basis for subsequent studies, was verified against the existing literature, revealing a 2-4% difference in flow rates and pressure responses. Subsequently, the scope was broadened to include nozzle configurations, which were gradually included in the pipeline model. The model evolved through configurations with one, three, and five nozzles, where larger diameters increased dampening on transient pressure fluctuations. As the research progressed, the final model, incorporating five nozzles, was used as the basis for the scaled Bubble Curtain Technology (BCT) model.
A sensitivity analysis for this study was carried out utilizing parameters from existing research. The sensitivity study specifically emphasized the influence of geometric (hose and nozzle diameters, hose length, nozzle spacing) and operational factors (discharge coefficient, water depth, air flow rate) on the flow dynamic of Bubble Curtain Technology (BCT). The main findings from this research included reducing backflow with smaller diameters and lowering reverse flow with greater discharge coefficients and airflow rates. Changing the hose length and nozzle spacing proved effective for adjusting the required flow rates. The investigation also found that nozzle diameter and discharge coefficient had a considerable impact on nozzle flow rates, with a 2-3% increase over reference values at the maximum value range. Other geometric and operational parameters in the tested ranges had a relatively lower influence on the nozzle flow rates or generated pressure variations.
The scaled BCT unsteady compressible flow dynamics model presented in this thesis is still in its early stages of development, but it can serve as a basis for the development of full-scale pneumatic models that can enhance BCT and lessen the environmental impact of offshore wind farm operations.
The new station design has three entrances and a square which covers the train tracks to add public space to the city of Berlin. Next to that the design contains a central station hall which houses a lounge and a capsule hotel. This will be the first impression people have of Berlin when they arrive by night train.
In response to the sharp decline of seagrass globally, various restoration techniques have been developed and applied; many at limited scale and with limited success. This research focuses on the fluid mechanics and behaviour of seagrass seeds in a sand mixture through physical laboratory experiments. The objective is to establish a technique that incorporates seagrass seeds with sand-capping to develop seagrass restoration methods suitable for upscaling. Due to the limited amount of available seeds, laboratory experiments were conducted to find a suitable proxy for seeds from both intertidal and subtidal Zostera marina populations by tracking and analysing the particle's terminal settling velocity. The average terminal settling velocity of the seeds was 5.97 cm/s, slightly larger than that of sand particles with a diameter of 0.35 mm with a settling velocity of around 5.2 cm/s. Subsequently, the distribution of proxy seeds in the settled sediment of the ""sand-capped layer"" was determined after dispersal in five sediment-water mixtures with a volumetric concentration ranging from 5 % to 30 %. Mixtures with three-grain sizes grain sizes were released (d_{50} = 0.175, 0.35, and 0.52 mm) in a column of 1m and subsequently in a column of 1.5 m, where only the top 0.5m had a sediment-water mixture, while the area below consisted of a column of still water. Results show a general trend: seeds segregate to the top of the settled layer, further enhanced by larger grain sizes and higher sediment concentrations. Tests using the lowest concentrations (5-10 %) showed the best result, for which the largest number of proxies were located at an optimal depth (1 cm-5.5 cm) for protection and germination when using medium coarse and fine-sized sand grains. The results provide valuable insights into the dynamics of seed segregation in sediment mixtures and the hindered settling effect of a bimodal mixture, showing that seeds move differently to sand in a mixture, experiencing more rotation and drifting within a mixture not observed when following trajectories in a still water column. The larger seed particles segregated to the top of the settled layer due to the difference in behaviour with the sediment mixture. Even though the terminal velocity of the seeds in a still water column was larger.","Settling behavior; sedimentation; hinderd settling; seagrass","en","master thesis","","","","","","","","2026-03-20","","","","Civil Engineering | Hydraulic Engineering | Coastal Engineering","Oceankind",""
"uuid:8b54b913-56ac-46c1-9f95-a3bd20f00d80","http://resolver.tudelft.nl/uuid:8b54b913-56ac-46c1-9f95-a3bd20f00d80","Extreme Precipitation Nowcasting using Transformer-based Generative models","Roy, Ankush (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dauwels, J.H.G. (mentor); Fioranelli, F. (graduation committee); Delft University of Technology (degree granting institution)","2024","Extreme precipitation, like floods and landslides, poses major risks to safety and the economy, underscoring the need for sophisticated weather forecasting to predict these events accurately, enhancing readiness and resilience. Nowcasting, which uses real-time atmospheric data to predict short-term weather, is key in addressing this challenge. Traditional nowcasting systems, reliant on extrapolation from rainfall radar observations and constrained by simplistic physical assumptions, often struggle to detect complex, nonlinear weather patterns. This gap has opened the door for deep learning models, which have shown significant promise in improving the accuracy and reliability of short-term weather predictions, making them a focal point of recent research and the basis of this thesis's approach.
This thesis introduces a deep generative model designed for the nowcasting of extreme precipitation events up to 3 hours ahead, utilizing a Vector-Quantized Variational Autoencoder (VQ-VAE) to compress radar data into a low-dimensional latent representation, and an Autoregressive Transformer for predicting future radar images. Additionally, a binary classifier works in conjunction with the Autoregressive Transformer to identify extreme versus non-extreme weather events, using these classifications to inform an Extreme Value Loss (EVL) function. This loss function aims to improve the accuracy of predicting extreme weather events by addressing the data imbalance between normal and extreme precipitation occurrences. The proposed model displays comparable performance with the state-of-the-art conventional methods and other deep learning nowcasting models in predicting extreme events.","Nowcasting; Deep Learning; Extreme Value Theory","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:a5a8ad88-a9f4-44e2-8b54-4624a668bff6","http://resolver.tudelft.nl/uuid:a5a8ad88-a9f4-44e2-8b54-4624a668bff6","Reshaping the booking process of international train tickets in Europe: An iterative design process towards intuitive ticketing","van Coevorden, Sarah (TU Delft Industrial Design Engineering)","van Kuijk, J.I. (graduation committee); Toet, A.S. (graduation committee); Donners, Barth (mentor); Leyds, Wouter (graduation committee); Delft University of Technology (degree granting institution)","2024","International train travel could serve as a more sustainable alternative to flying, especially for short distance journeys up to 750 km. Though both forms of transport have negative impacts, the environmental costs of travelling by train are significantly lower than travelling by plane (European Environmental Agency, 2021). Therefore, the European Union, governments, environmentalists and railway companies see great value in promoting train travel over flying for short distance journeys (Ministry of IenW, 2022).
In order to further promote this transition, a couple of action points have been identified by Donners (2018) to improve international train travel, ticketing being one of them. Unlike booking a flight ticket - which can be done within just a few clicks - finding, comparing, and booking a train ticket has proven to be a more challenging task. Recent research conducted by the University of St. Pölten in Austria (Preslmayr, 2022) revealed that one third of travellers are unable to complete their booking, highlighting the difficulty of this process. In order to promote the transition from air to rail for short distance journeys, the bookability of international train tickets in Europe needs to be improved.
It is difficult to change a complex system such as the international railway industry, but we can help travellers navigate it. This project aims to do that by redesigning a booking platform and app that support holiday travellers when booking and potentially rescheduling their international train journey in Europe with an intuitive booking process that provides clarity and guidance at every step leaving them feeling confident and excited about their journey.
An iterative design process led to the final design proposal. Created to be intuitive, supportive, adaptive and exciting, this redesign booking platform aims to ensure that every traveller, regardless of their prior travel experience, can easily book a suitable train ticket, leaving them feeling confident and excited about their journey ahead. The booking platform was designed to align with the user needs and decision making patterns. Core features to support this include route characteristics, transfer alternatives and search filters. A travel app was redesigned to complement the booking platform, creating a bridge between the booking process and the train journey. In case disruption does occur, the travellers are supported by the travel app that provides clear guidance for how they can continue their journey.
The user evaluation of the final design proposal shows promising results with an excellent score on its usability according to the adjective rating scale of Bangor et al. (2009) and positive responses from participants. To facilitate this redesigned user journey, some supportive processes need to be improved, including open communication and collaboration between carrier and ticket distributors, updated route information database and an EU wide disruption protocol.
All in all, it is difficult to change a large complex system such as the international train industry, but we can help travellers navigate it. While the railway system is expected to evolve, impactful changes may take time. Meanwhile, optimising services through redesigns can have a significant immediate effect on the travellers experience and provide access to a sizable potential customer segment. Therefore, further exploring and implementing the designs as proposed in this report will improve the bookability of international train tickets in Europe.
The research considers the fundamental act of recharging as its required maintenance task. To evaluate it dynamically, a rudimentary energetics model is included. For the framework of foraging, the work of [Adams] is used as a baseline. The newly proposed system implements an additional recharging region and role to perform recharging activities, both having major implications for role selection and agent operation. Furthermore, to enable navigation based on energy considerations, the experience communicated by mobile agents is amended to include the energy cost of a travelled path. In doing so, additional quality indicators of paths are available making path optimization a more dynamic process resulting in finer population behaviour. Finally, the decaying of beacons is updated and a fallback feature is introduced to maximize agent utilization.
The newly developed foraging system is evaluated using data collected through simulation in Webots. Simulation scenarios included obstacles with impenetrable boundaries and surfaces with increased rolling friction to emulate cost-expensive regions. Qualitative analysis identified all features of the foraging system as expected, both in the exploration and exploitation phase. Quantitative results proved that the system is able to function with the added requirements of recharging, perform path optimization with the additional path quality indicator, and can do so in various types of scenarios. With this, the research statement that foraging functionality is achievable with the practical considerations of robotics is confirmed to hold.","Foraging behaviour; Swarm; Moon Rover; Feasebility study","en","master thesis","","","","","","Double degree in Aerospace Engineering and Mechanical Engineering | Systems and Control","","","","","","Aerospace Engineering","",""
"uuid:28fa87f9-c0b5-456f-8736-e966d7dd150b","http://resolver.tudelft.nl/uuid:28fa87f9-c0b5-456f-8736-e966d7dd150b","In-line Assessment of Lubrication Contamination in Slew Bearings Using Active Ultrasound Spectroscopy","van Eijk, Casper (TU Delft Mechanical, Maritime and Materials Engineering)","Pahlavan, Lotfollah (mentor); Scheeren, B. (mentor); Jovanova, J. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis presents the design and experimental evaluation of an in-line, active ultrasound, condition monitoring setup for the detection of contamination in offshore bearing grease. This process is divided into three distinct research steps. First of all, the influences that affect ultrasound propagation through a bearing grease sample have been investigated through various laboratory experiments. Next, a practical, real-world experiment using a linear bearing has been designed and conducted with the aim of determining the applicability of such an in-line condition monitoring setup. Lastly, the performance of the in-line active ultrasound setup has been evaluated, improvements have been proposed and an overall condition monitoring strategy has been devised.
During the laboratory experiments, various influences on ultrasound wave propagation have been investigated. First of all, design-specific parameters, such as the distance between the sensors, the test-setup material, and the scalability of the measured output voltage have been investigated. Next, the effects of temperature fluctuations, air bubble fluctuations, water contamination and iron particle contamination on the attenuation and velocity of waves for active ultrasound spectroscopy were investigated. It has been shown that air bubble concentration and temperature fluctuations influence the attenuation of the ultrasound in the grease sample. Therefore, the temperature should be kept constant throughout the other experiments. Additionally, the air bubble concentration should be managed through a constant resting time throughout the other experiments. Moreover, it has been shown that a condition monitoring setup employing active ultrasound spectroscopy is able to determine water contamination and iron particle contamination. The highest sensitivity of this contamination detection is located in the first percentage of contamination concentration, showing an amplitude drop of about 0.5dB/mm to 1dB/mm and a change in speed of sound of about 5\% to 15\%. It is however, difficult to differentiate between the different types of contamination using only the attenuation and velocity spectroscopy methods.
The practical, real-world experiment using an operational Huisman linear bearing has illustrated the applicability of using an in-line grease condition monitoring setup in such an environment, by evaluating obstacles such as spatial constraints, location constraints, flowability of the grease and surrounding noise. It has been shown that these obstacles pose minimal challenges for the successful implementation of an in-line grease monitoring setup for effective condition monitoring of offshore bearing grease.
The evaluation of the improved in-line active ultrasound condition monitoring setup has highlighted the strengths and weaknesses of implementing such a setup for offshore applications. A possible combination of the proposed grease condition monitoring method with Acoustic Emission monitoring offers","bearings; condition monitoring; lubrication; ultrasound spectroscopy","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:0b3279b8-9f3e-47f6-9fb9-84a4913aeacf","http://resolver.tudelft.nl/uuid:0b3279b8-9f3e-47f6-9fb9-84a4913aeacf","Sports and Menstrual Data for the Health and Performance of Rowers: A research-through-design approach","Rademaker, Julia (TU Delft Industrial Design Engineering)","Sonneveld, M.H. (mentor); Gomez Ortega, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Over the past few decades, there has been a significant increase in the number of professional female athletes. One thing that both professional and amateur athletes can experience is the effects of their hormonal cycles. These hormonal cycles can affect their performance, and in return, their performance can affect their hormonal cycle. However, the underrepresentation of female athletes in sports research has resulted in most sports guidelines not reflecting this possible connection between the menstrual cycle and performance, causing female athletes to be unaware of it.
Athletes should be aware of the connection between their menstrual cycle and performance. Female rowers, in particular, experience a rapid increase in training load and they may be hesitant to discuss menstrual problems with their coach due to their relative newness to the sport, which could cause them to perform less optimally or even unhealthily. This project aims to find an alternative approach to support female rowers to build their knowledge using their sports and menstrual data so that they can perform optimally and healthily.
This project followed a research-through-design approach. This meant that knowledge was generated through design activities (Stappers & Giaccardi, 2014). The design activities were performed in three cycles to iteratively increase knowledge and improve a design that supported rowers in understanding the connection between their performance and the menstrual cycle. Each cycle began with a theoretical investigation of current design practices. These practices were then applied during a practical phase. The primary objective of each practical phase was to deploy and evaluate a design prototype with rowers.
The first cycle of research investigated how sports and menstrual data could be implemented in designs for female rowers. During the practical phase, a digital prototype was used with rowers and the evaluations indicated that the design should be simplified and place more emphasis on visualization. After the evaluation, a co-creation session was held which suggested that the design should explore the value of sharing the data.
The second cycle of research explored how tracking could be a valuable experience for rowers. The findings from the first cycle led to a physical design prototype that was again used and evaluated by rowers. The evaluations of the second phase suggested that the design should take a broader view of performance, and the co-creation of the second phase placed more emphasis on having different levels of activities by the rowers to understand the data. The knowledge generated throughout the project was synthesized into a final design.
The final design was a mobile application that would support rowers in exploring the relationship between their performance and their menstrual cycle. By tracking their mental, physical, menstrual, and contextual data on a daily basis, rowers could reflect on their beliefs about this relationship. In conclusion, the continuous implementation of insights constructed with stakeholders led to a design that could support rowers in understanding their experiences with performance and the menstrual cycle.
While various robotic agents have been developed to provide behavioural support (e.g., for human health), the absence of a comprehensive memory structure and dialogue strategies capable of fostering personalised, reflective conversations based on the appreciation of certain values and actions in various scenarios through contextualised values remains a challenge. To address this, this study introduces the Contextualised Value Model – a dynamic memory model designed to facilitate value-based reflection and support personalised interactions between humans and robotic agents.
To realise this robotic memory, a conversational agent was designed that could elicit values from participants by discussing various scenarios that happen in daily life and reflecting on said values using perspective-taking and other dialogue strategies.
The evaluation of the Contextualised Value Model focused on three primary aspects: the model's accuracy, the influence on likeability and intelligence, and the effect on participants' value awareness. The model was evaluated during a between-subjects experiment (N=54), consisting of two conditions, one where the robot was able to update and use the Contextualised Value Model, and another one where the Contextualised Value Model was random throughout the conversation.
The outcome measures indicated that the integration of the memory model in conversations led to a personalised and relevant conversation, highlighting the potential of the Contextualised Value Model in enhancing conversation personalisation. Although participants' value awareness and perception of the robot's likeability and intelligence did not significantly differ based on the memory model, the study emphasised the need for extended observation to thoroughly evaluate long-term impacts.
Overall, the Contextualised Value Model presents a promising framework for enhancing personalised interactions in various real-world applications, emphasising the need for further research in this area. The ePartner4all project could be further developed to complement the efforts of primary school teachers and parents in supporting children's self-learning of socially, mentally, and physically desirable behaviours.","Social Robots; Conversational Agents; Memory; Dialogue; Values; Human Robot Interaction; Contexts; Contextualised Values; Reflection","en","master thesis","","","","","","","","2024-03-19","","","","Computer Science","",""
"uuid:7722885a-354c-4bbe-8c84-9c642711e60e","http://resolver.tudelft.nl/uuid:7722885a-354c-4bbe-8c84-9c642711e60e","Designing meaningful interaction with mental workload data","Chen, Yuwei (TU Delft Industrial Design Engineering)","Schneegass, C. (mentor); Dingler, Tilman (graduation committee); Delft University of Technology (degree granting institution)","2024","Our understanding of mental workload (MWL) is still limited compared to well-known physiological data like blood pressure and heart rate. MWL measures the cognitive resources required for tasks against what's available. Innovative technologies offer more comprehensive and objective cognitive data, but their impact on individuals and their tracking needs requires exploration, including how to establish continuous self-tracking behavior.
Therefore, this research aims to understand people's perceptions and thoughts on MWL and explore meaningful MWL self-tracking behavior. It follows three phases: exploratory, validation, and reflection.
In the exploratory phase, literature is reviewed to define MWL and promote self-tracking. Interviews explore users' understanding, motivations, and needs, highlighting issues like data interpretation bias, mistrust, lack of visibility, connection, and use timing.
Validation involves co-design activities, including user tests and surveys. Before user tests, the literature is reviewed for potential solutions. A rapid prototype is designed based on insights and existing metrics from EMOTIV, facilitating discussions with co-designers. Offline surveys track users' workload and stress levels to understand how they record it in their way and their perceptions and confusion between workload and stress.
Reflection combines literature review and validation insights to discuss research findings and propose future design recommendations.
Overall, this research found several barriers and negative attitudes among users toward self-tracking MWL. The main issues include difficulties and misconceptions in understanding MWL, as well as the inability to see the impact of tracking cognitive data. These challenges make it difficult for users to trust MWL data and incorporate MWL tracking into their daily lives. Additionally, this research identifies unique user perceptions of cognitive data compared to physiological metrics like blood pressure and heart rate, informing future design considerations. Ultimately, the research concludes all the insights from the literature review and research to propose several avenues for future design and research.","mental workload; neurotechnology; user research; Self-tracking; personal informatics","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:a2508ebc-adb5-41c3-a9de-a38fd98809f8","http://resolver.tudelft.nl/uuid:a2508ebc-adb5-41c3-a9de-a38fd98809f8","Semi-Supervised Integrated Learning of Mutational Signatures for Predicting DNA Repair Pathway Deficiencies","Tofacchi, Tommaso (TU Delft Electrical Engineering, Mathematics and Computer Science)","P. Gonçalves, Joana (mentor); Goossens, A.C.H. (graduation committee); Skrodzki, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","b>Motivation. DNA molecules mutate thousands of times every day. Some mutations are harmful to human cells, and may lead to the loss of function in important genes involved in DNA damage repair (DDR) mechanisms. Diseases such as tumors can exploit mutations in important, driver DDR genes to rapidly proliferate. Specific patterns of mutations (or signatures) are insightful indicators for the presence of DDR malfunctioning, which can be exploited to provide targeted treatment (e.g., by leveraging synthetic lethalities). Different methods have been developed to successfully extract relevant mutational signatures from the genomes of tumor patients. Most approaches are unsupervised and thus do not optimize toward distinguishing DDR deficiencies (DDRd). Supervised approaches achieve this, but rely on labeled in vitro data from tumor cell line genomes during training, due to the lack of DDRd ground truth for tumor patient genomes. Semi-supervised learning could bridge the gap and jointly exploit labeled cell line and unlabeled patient mutation profiles to generalize to patient tumors and provide more clinically relevant DDRd mutational signatures.
Results. We propose Pseudo-labeling Semi-Supervised NMF (PSS-NMF), a novel integrated signature extraction and label prediction method, which extends supervised non-negative matrix factorization (NMF) with the ability to incorporate unlabeled samples into the training via pseudo-labeling. Models learned using PSS-NMF were benchmarked on two different tasks, cancer type and DDRd prediction. PSS-NMF consistently improved prediction for patient tumors over the supervised NMF baseline for both tasks, learning signatures that better transferred to the patient tumor domain: the models achieved Macro F1 scores of 0.3842 and 0.1331 respectively for cancer type prediction, and 0.4928 vs 0.4704 for DDRd prediction. We further validated that PSS-NMF identified DDRd signatures were biologically relevant, by comparing them to known DDRd-related mutational signatures curated in COSMIC and investigating their exposures in patient tumor genomes.","","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","Master Thesis",""
"uuid:108458e1-561e-407e-a2c3-0fd747276914","http://resolver.tudelft.nl/uuid:108458e1-561e-407e-a2c3-0fd747276914","Exploring the Possibilities for Collaboration among Dutch mobile Network Operators for C-ITS ready 5G Networks","van den Oever, Mark (TU Delft Technology, Policy and Management)","Annema, J.A. (mentor); de Reuver, Mark (graduation committee); Delft University of Technology (degree granting institution)","2024","Dutch road transportation networks are increasingly facing challenges regarding congestion, safety and environmental pollution. These challenges are intensified by increased urbanization and a growing need for connectivity . In the past, the remedy to most of these challenges laid in expanding the physical infrastructure through new asphalt. Nowadays, information and communication technologies increasingly play a role in infrastructure management by utilizing the available infrastructure more efficiently. With that, Cooperative Intelligent Transport Systems (C-ITS), presents a new beacon of hope. Through C-ITS, vehicles and infrastructure components are connected to realize a safe and efficient flow of traffic. However, the realization and implementation of C-ITS is hindered by legal, technological, economic and organizational challenges that need resolving. One of the main challenges is the absence of a sufficing robust network infrastructure that facilitates the data transmission across devices and road users. Mobile Network Operators (MNOs), are believed to occupy a central role in the future, designing, building and operating these network infrastructures. However, MNOs are already facing challenges in making current 5G network business models profitable. New large investments already loom for MNOs to serve C-ITS, however, without profitable business models, further investments can be pushed to the future which can cause further delay of the deployment of C-ITS. Therefore this thesis aimed to identify conditions and potential forms of collaboration among Dutch MNOs for C-ITS ready networks. A literature review identified potential collaborative forms between private competing companies and provided an initial overview of conditions that can stimulate collaboration. Subsequent interview with stakeholders from the Dutch mobile network operator domain further refined these conditions and identified potential forms of collaboration that potentially can deliver viable collaborative efforts: knowledge sharing, joint R&D and passive network sharing. The research indicated that the creation of a shared vision across both the public and private domain is crucial to get an institutional environment that supports collaboration. On top of that, a sense of ‘needing each other’ needs to emerge between MNO’s in the form of individually unattainable profits. Although, regulation can also act as a pushing mechanism towards collaboration, it can’t force the creation of such networks. The realization of profitable business models is thus crucial for MNOs to build the networks. Further problems in collaboration come from severe distrust among MNOs who also have collaborative experiences which resulted in conflicts and opportunistic behavior. A public body can act as an independent ‘referee’ to mitigate these potential conflicts in future collaborative efforts. With that, a lot of challenges still need to be overcome to realize collaboration between MNOs which makes that collaborative efforts are unlikely to succeed in the near future.","Mobile Networks; 5G; C-ITS; Collaboration; Mobile communication; Telecommunication; Intelligent Transport Systems","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:e5bebc6d-f3de-4155-959e-423f3cefbb9c","http://resolver.tudelft.nl/uuid:e5bebc6d-f3de-4155-959e-423f3cefbb9c","Improving culvert performance: Reducing energy losses by streamlining the entrance and exit of culverts.","van Vliet, Jordy (TU Delft Civil Engineering & Geosciences)","Uijlenhoet, R. (mentor); Hoes, O.A.C. (graduation committee); Uijttewaal, W.S.J. (graduation committee); Wüthrich, Davide (graduation committee); de Jongste, A.L. (graduation committee); Heinhuis, M (graduation committee); Delft University of Technology (degree granting institution)","2024","In Dutch polders, numerous structures like bridges, weirs, culverts, and pumping stations have
been constructed over centuries to manage water levels. These structures play a crucial role in
maintaining water levels within predefined targets. The flat topography of the Dutch landscape
combined with the collective impact of head losses, induced by these structures may result in
flooding of polders during high runoff scenarios. Over time, culverts and bridges may
underperform due to alterations in the water system, increased pressure from climate change,
evolved design rules, insufficient maintenance, and shifts in land use.
A challenge is the potential hydraulic underperformance of structures and the need for their
premature replacement, which is costly. Waiting until the end of their technical lifespan may
contribute to floods. Therefore this thesis focuses on improving existing structures to mitigate
the need for replacement, specifically by streamlining inlet and outlet openings to reduce
energy losses. This leads to the research question of this thesis: “How can the head loss over
existing (too tight) culverts be minimised by adding an inlet or outlet profile and does this lead
to a substantial enhancement in the performance of these culverts, providing a practical option
to postpone the replacement of underperforming culverts?”
To answer this question, the problem is explored by looking into the fundamentals of energy
losses, including entrance losses, friction losses, and exit losses. This gives an understanding of
the conditions under which these losses manifest. However, these basic calculations have
inherent limitations due to their reliance on predefined coefficients. This renders them
inadequate for evaluating the effects of introducing new profiles onto an existing structure.
To overcome this, a flume experiment has been performed to verify whether it is possible to
measure water level differences for various profiles at the culvert entrance and exit. With a 3D
Computational Fluid Dynamics (CFD) model (OpenFOAM), flows around different culverts
are simulated. The results of the CFD model are compared to the flume experiment, after
which the CFD model is used to simulate a variety of scenarios, with different profiles, culvert
dimensions, velocities, and water depths.
As such, this thesis addresses challenges and uncertainties in quantifying head losses in culvert
structures through experimental methods and CFD modelling. Experimental setups struggle
with controlling all flow-influencing parameters, while CFD modelling offers flexibility but
requires careful consideration of uncertainties and limitations. The discussion emphasizes the
complexities of comparing experimental and model results, highlighting trade-offs and
uncertainties in each approach.
The conclusion answers the central research question, confirming that specific profiles added to
culverts can significantly reduce entrance losses up to 65%, thereby lowering headwaters for a
constant discharge.
The recommendations section outlines possibilities for further research, including optimizing
profile dimensions and conducting sensitivity analyses of influential parameters. Practical
recommendations involve aligning large-diameter concrete culverts with the socket end in the
flow direction and integrating groove or rounded profiles during construction for cost-effective
inlet loss reduction.","CFD; OpenFOAM; Culverts; Polders","en","master thesis","","","","","","","","","","","","Water Management","",""
"uuid:29da87dd-681e-4b06-b0d8-58404b21795f","http://resolver.tudelft.nl/uuid:29da87dd-681e-4b06-b0d8-58404b21795f","Online optimization and learning for the optimal power flow problem with unknown objectives","Chai, Anbang (TU Delft Mechanical, Maritime and Materials Engineering)","Grammatico, S. (mentor); Benenati, E. (mentor); Mohajerin Esfahani, P. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Optimal Power Flow (OPF) problem, a cornerstone of power system operations, has gained increased attention since its inception by Carpentier in 1962. OPF is fundamentally an optimization challenge aimed at enhancing electric power system operations within the bounds of physical and operational constraints. Over the decades, various methodologies have been explored to address the OPF problem, adapting to evolving grid complexities and the integration of distributed energy resources. These advancements have brought to the fore issues related to system randomness, fluctuation, and the need for rapid control mechanisms. This thesis introduces a comprehensive solution incorporating an online optimization algorithm tailored for real-time OPF applications. This approach, characterized by minimal computation times, integrates a feedback strategy that obviates the necessity for instantaneous power demand information and employs a Shape-constrained Gaussian Process for the estimation of unknown cost functions. The proposed control algorithm demonstrates robust tracking performance and satisfactory computation efficiency, marking a significant improvement towards optimizing future power networks fraught with increasing size and complexity. Moreover, this work delves into the investigation of various system design parameters, offering insights into potential avenues for enhancing system performance. Through a meticulous examination of these parameters, the thesis sheds light on strategies to refine the integrated system’s efficacy, paving the way for more resilient and efficient power networks.","Online optimization; Power Electrical Systems; Gaussian process; Primal-Dual","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:730c6b30-b8a7-4ba9-8512-4aee1864a54e","http://resolver.tudelft.nl/uuid:730c6b30-b8a7-4ba9-8512-4aee1864a54e","Flexible Runway Scheduling with non-linear Noise Restrictions using a Tabu Search Algorithm","Lagerwij, Sam (TU Delft Aerospace Engineering)","Roling, P.C. (mentor); Bombelli, A. (graduation committee); Ellerbroek, Joost (graduation committee); Delft University of Technology (degree granting institution)","2024","In response to the growing demand for air travel, major airports are approaching critical thresholds in their infrastructure capacity. As the transportation sector continues to expand, it is increasingly important to address environmental concerns that arise from aspects, such as noise annoyance and fuel consumption. This paper aims to enhance the existing Flexible Runway Scheduling Model (FRSM) by integrating a tabu search algorithm with Receding Horizon Control (RHC), introducing non-linear noise restrictions, and implementing more sophisticated fuel burn modeling. The main goal is to evaluate how certain improvements affect the FRSM. To achieve this, a methodology has been developed that uses a multi-objective tabu search algorithm to minimize both fuel consumption and noise annoyance while assigning flights to runways. This study provides a comprehensive analysis of Amsterdam Airport Schiphol (AAS) across different scenarios, ranging from a 1.5-hour flight schedule to a full-day simulation, revealing significant findings. For the 1.5-hour and six-hour scenarios, the tabu search algorithm achieves a 55% and 87.3% reduction in computational time with marginal losses of 0.73% and 0.19% in solution accuracy for fuel burn optimization. Throughout all scenarios, the tabu search algorithm consistently results in a reduction of highly annoyed individuals ranging from 2.14% up to 62.5% compared to the existing FRSM, demonstrating its effectiveness. Moreover, the algorithm minimizes the impact on the flight schedule in terms of delay. Notably, as the flight schedule length increases, the performance of the tabu search algorithm improves compared to the existing FRSM. A sensitivity analysis optimization horizon indicates a positive effect on results, albeit with an associated computational cost. In conclusion, this study showcases the positive impacts of the remodeled FRSM, enabling a faster and more accurate trade-off. The research findings provide valuable insights for optimizing runway scheduling at major airports while balancing efficiency gains with environmental considerations.","Runway Capacity; Tabu search; Metaheuristics; Receding Horizon Control; Fuel consumption; Noise annoyance; Scheduling model","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:3b92d07e-b5b2-49ee-8e33-33c5f98eb309","http://resolver.tudelft.nl/uuid:3b92d07e-b5b2-49ee-8e33-33c5f98eb309","Effect of cyclic wetting and drying on soil erodibility","Dolgov, Alexey (TU Delft Civil Engineering & Geosciences)","Jommi, C. (mentor); Amerlaan, Patricia (graduation committee); Delft University of Technology (degree granting institution)","2024","Cyclic wetting and drying impact the integrity of cohesive clay materials in geotechnical engineering applications. Boom Clay, frequently used in erosion protective layers, presents a critical case study due to its widespread application and the environmental conditions it endures. This research delves into the effects of repeated wetting and drying cycles on Boom Clay’s erodibility, a process that protective layers often undergo during construction and exposure.
Utilizing the Erosion Function Apparatus (EFA), performed experiments targeted changes in the structure and erosion resistance of Boom Clay under cyclic conditions. The test setup was adjusted, improved, and calibrated. It was observed that these cycles induce alterations in the clay’s erodibility, contrasting with the behavior of untreated samples.
The results demonstrated cyclic wetting and drying increases the susceptibility of the material to erosion, and the rate of erosion, and decreases the threshold of the erosion process. This study enhances our understanding of how environmental stressors influence the long-term behavior of erosion protection materials. It provides engineers and environmental planners with insights for selecting and assessing materials for erosion protection, emphasizing the importance of considering environmental conditions in their design and application.","erosion; erodible; EFA; cyclic; clay; Boom Clay; kleirijperij","en","master thesis","","","","","","","","","","","","Applied Earth Sciences","",""
"uuid:a565ae77-55f3-4e3c-b7a6-16b6dd9d510e","http://resolver.tudelft.nl/uuid:a565ae77-55f3-4e3c-b7a6-16b6dd9d510e","A design approach to achieve successful adoption of GoMedFlow in a hospital context","van Kampen, Demi (TU Delft Industrial Design Engineering)","Albayrak, A. (mentor); Vegt, N.J.H. (graduation committee); Kok, Petra (graduation committee); Delft University of Technology (degree granting institution)","2024","Digitalisation is becoming increasingly important in discharging the healthcare sector as our society ages, and long-term treatments of chronically ill patients are becoming necessary. However, adopting digital tools has not been smooth due to individual, organisational, and technical barriers. To overcome these barriers, a user-centred approach, co-creation, improved communication, and the involvement of the right stakeholders could help smooth the transition.
The GoMedFlow concept tool is a digital tool for healthcare professionals and organisational employees of the Reinier de Graaf Gasthuis that aims to improve the workflow of care processes and stimulate the use of digital tools. By creating a clear overview of care paths, improvements and digital tools can easily be noticed and implemented.
Using context mapping, stakeholders' wishes and needs were gathered at different levels of knowledge. A questionnaire revealed that stakeholders want to spend more time improving digitalisation, but not all have the time to contribute. Observations and conversations in the hospital uncovered insights into workflows and concerns, and generative sessions were held to dive even deeper and gather more insights. A design brief, including a design goal, was created to provide direction to the design process.
A co-creation session was held to involve stakeholders in the design process. Two groups provided ideas based on pre-created scenarios, and two different concept directions were created using Adobe XD. Before the concepts were created, a new corporate identity was created for GoMedFlow. Both concepts were tested during a care table and individually with stakeholders of care tables, and many insights were gathered. The second concept stood out more, but aspects of the first concept were still considered.
In order to finalise the concept of GoMedFlow, it is tested both during a care table and individually with stakeholders. The feedback and insights gathered from these tests are then combined to create the final design of the thesis. Additionally, a project journey is created to provide insights into the design choices made throughout the project. A roadmap is also developed to display the further steps that are required for a successful implementation of GoMedFlow. The thesis concludes with future research perspectives and a reflection.
To meet the goals of the Paris agreement,sustainable aviation fuels, such as hydrogen, need be adopted on a large scale within the coming decades. Hydrogen-assisted combustion of kerosene is investigated as an intermediate step in the transition towards sustainable aviation. This study addresses the gaps in the current understanding of hydrogen blending into kerosene with respect to chemical kinetics and emission of NOx, CO and un burnt hydrocarbons. A perfectly-stirred chemical reactor model is developed to study the fundamental effects of hydrogen blending into kerosene. Additionally, to focus on a more practical application the single-reactor model is extended to a chemical reactor network which represents a lab-scale lean premixed prevaporized combustor setup supporting multi-fuel combustion. Combustion characteristics and emission profiles are carefully studied for different hydrogen blending fractions using the developed models, as well as the reaction pathways in oxidation of hydrocarbons. Hydrogen blending is observed to increase the reactivity of kerosene, mainly due to increased availability of OH and H radicals, as well as O to a lesser extent. For 20% of kerosene mass substituted with H2, ignition delay time at 1200 K and 1 bard ecreases by 55%. Peak laminar flame speed increases by up to a factor of 2.2,while peak adiabatic flame temperature rises by 74 K. In rich burning conditions, H2 additions remove hydrocarbon reaction loops, which causes a strong decrease in un burnt hydrocarbon emissions and potentially soot. CO emissions can be greatly reduced from H2 additions at very lean conditions as overall burning rate is increased, which is corresponding to a reduction in lean extinction limit. This limit is reduced in the chemical reactor network combustor model by ∆φ=0.09 already for substitution of kerosene mass by 20%. For φ>0.6, dissociation of CO2 is enhanced from thermal effects and causes emission of CO to rise with hydrogen blending when normalized to carbon in fuel. This effect is reflected in the combustion efficiency, which is improved with H2 blending only for very lean conditions,φ<0.6. The reaction pathways of aromatics are modified with H2 addition,which results in a chemical inhibition of the mechanism responsible for thema jority of un burnt hydrocarbon emissions at very lean conditions. Furthermore,formation of NOx is increased via the thermal path since flame temperatures rise. The prompt NOx route becomes more efficient due to promotion of CH formation, while the N2O and NNH paths are enhanced from increased radical availability. Despite the increased emission of NOx at constant φ, blending H2under constant combustion power and combustor mass flow leads to decreasedflame temperatures and reduces total emissions of NOx, CO and unburnt hydrocarbons.","Chemical kinetics; Multi-fuel combustion; Hydrogen; Kerosene; CRN","en","master thesis","","","","","","","","2027-03-06","","","","Aerospace Engineering","",""
"uuid:30996490-72a9-48e4-aea1-558576466193","http://resolver.tudelft.nl/uuid:30996490-72a9-48e4-aea1-558576466193","Learning Vision-based Navigation Policies for Information Gathering with Quadcopters: A Deep Reinforcement Learning Approach","Vellekoop, Joris (TU Delft Mechanical, Maritime and Materials Engineering)","Alonso-Mora, J. (mentor); Lodel, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Deep reinforcement learning presents a compelling approach for the exploration of cluttered 3D environments, offering a balance between fast computation and effective vision-based navigation. Yet, the use of 3D navigation for learning-based information gathering remains largely unexplored. Navigation in 3D space poses the challenge of having an increased state space but also provides possibilities due to the agent's increased mobility, so it is an interesting direction for research. Furthermore, current approaches to target mapping with 3D navigation do not consider cluttered environments, failing to address obstacle avoidance and occlusion handling.
This research introduces a novel deep reinforcement learning policy for vision-based information gathering with quadcopters, enabling efficient exploration of cluttered 3D environments. The core challenge is learning a time-efficient and collision-free exploration strategy, for which the policy design and the training procedure have been successfully developed. We formulate a target-searching task, where the goal is to reduce the agent's uncertainty about the target state. To achieve this goal, our method combines vision-based reasoning by deep reinforcement learning and probabilistic target mapping with an information-theoretic rewarding scheme to obtain a policy that makes informed exploration decisions.
Experiments comparing our method with a privileged greedy baseline show that in all tested environments, our policy achieves a significant outperformance. The results from our ablation study further validate our policy design, as every ablation performed results in worse exploration performance. Generally, our policy shows intelligent behaviour by effectively navigating through rooms and around obstacles. However, improvements can still be made, since failure cases where the agent gets stuck, can sporadically occur. Still, overall, the findings prove the feasibility of learning a 3D navigation policy for effective target mapping with quadcopters.","Deep Reinforcement Learning; Target mapping; Exploration; vision-based navigation; Quadcopter","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:f94366c2-6461-4f71-84dd-b96a5f3c581e","http://resolver.tudelft.nl/uuid:f94366c2-6461-4f71-84dd-b96a5f3c581e","Circular social innovation and local government: Qualitatively assessing governance of circular citizen initiatives in Rotterdam","Koop, Kelvin (TU Delft Technology, Policy and Management)","Hoppe, T. (mentor); Quist, J.N. (graduation committee); Delft University of Technology (degree granting institution)","2024","There is a lack of academic attention towards the relationship between local governments and circular economy social innovations. While academic evidence suggests that local governments and policymakers can positively affect the success of social innovations, there is a lack of in-depth studies on how exactly they support social innovation. The main question of this study: How does the municipality of Rotterdam respond to the emergence of social innovation in circular economy, specifically to CCIs? This study aims to discover what circular economy social innovations entails in the municipality of Rotterdam, what policies and policy instruments are employed by the municipality of Rotterdam vis-à-vis circular citizen initiatives, how the interaction between the municipality of Rotterdam and circular citizen initiatives affects the transformative capacity of the latter, which bottlenecks and/or tensions are experienced with regards to the relationship between the municipality and circular citizens initiatives, and how the bottlenecks should be resolved according to circular citizen initiatives and the municipality of Rotterdam. The Local Climate Policy and Action framework, and transformative social innovation theory are utilised to develop semi-structured interviews. Said interviews are conducted among two civil servants who are involved with the citizen initiative, six participants of the citizen initiatives, and two academic experts. The results reveal four main bottlenecks: clashing institutional logics, tensions between civil servant and CCI participants, municipal and national regulations, clashing ideas of value-creation, and provision of accommodation. Analysis also revealed that the municipality does improve the transformative capacity of CCIs, albeit to a limited extent. Subsequently, these results discussed and explain using historic accepted practises that ensue from historic institutional logics. The paper continues by drawing conclusions regarding the discussed results and answering the research questions. Finally, recommendations for future research are made.","Transformative Social Innovation; Social innovation; Local governance; Citizen initiatives; circular economy; Qualitative analysis","en","master thesis","","","","","","","","2024-04-30","","","","Industrial Ecology","",""
"uuid:6427e548-9bc0-4aa6-afb6-a9319ca3693e","http://resolver.tudelft.nl/uuid:6427e548-9bc0-4aa6-afb6-a9319ca3693e","Optimizing the pump schedule of water distribution systems using a deep learning meta-model: To what extent can algorithm unrolling optimize the pump schedule of an urban water distribution system?","Mertzanis, Nick (TU Delft Civil Engineering & Geosciences)","Taormina, R. (mentor); Schleiss, M.A. (graduation committee); Garzón Díaz, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis investigates the integration of algorithm unrolling and genetic algorithms (GA) for optimizing pump scheduling in water distribution systems (WDS), a critical component for ensuring energy-efficient water delivery. In the context of modern civilization’s reliance on clean, affordable water for diverse uses, the operation of a WDS, particularly through energy-intensive pumps, presents significant challenges. Traditional optimization techniques often resort to hydraulic solvers like EPANET, which, while accurate, are computationally intensive for large-scale applications. Our methodology introduces a meta-model based on algorithm unrolling, building upon prior work and extending it to address pump scheduling with a multi-objective function focusing on both cost and energy efficiency. This approach significantly reduces the computational load, offering a faster alternative to EPANET while maintaining considerable accuracy. The meta-model demonstrated promising results in the Fossolo network, achieving comparable schedules 20 times faster than traditional methods. However, its applicability to more complex networks and its ability to capture detailed system behaviors are limited, highlighting the need for further enhancements in model stability and reproducibility. Despite these limitations, the study emphasizes the potential of meta-models as a complementary tool to traditional methods, especially in scenarios requiring rapid decision-making under computational constraints. This research contributes to the broader field of water utility management, offering insights into more sustainable and efficient operation strategies.","pump scheduling; optimization; meta-model; surrogate model; Deep Learning; Multi-Objective Constrained Optimisation; multi-objective optimization; Water Distribution Network; water distribution system","en","master thesis","","","","","","","","","","","","Water Management","",""
"uuid:435eaf14-15ac-4bc1-8f31-8bf89657c150","http://resolver.tudelft.nl/uuid:435eaf14-15ac-4bc1-8f31-8bf89657c150","Co-designing data-enabled information support for different chronic patient communities","Quijada Fernández, David (TU Delft Industrial Design Engineering)","Goossens, R.H.M. (graduation committee); Jung, Jiwon (mentor); Viswanathan, V. (mentor); Delft University of Technology (degree granting institution)","2024","This project aimed to facilitate information support between clinicians and patients that is dynamic to the milestones in their care path and can be incrementally adapted to different chronic diseases at ErasmusMC. The project strived to envision a foundational service that informs holistically about the doubts and concerns of patient communities throughout their care journey and can be progressively incorporated into clinicians’ workflows.
Research was done to find patterns between the online patient stories from community support forums and to identify value opportunities for intervention that align with the clinicians’ aspirations, motivations and needs. The research activities included:
Desk research of relevant literature (Chapter 2).
Contextual inquiry through a combination of human interpretation of patient experience data and computational analysis (Chapter 3).
Co-creation sessions to gather information about opportunities for improving information support from a data-enabled design perspective (Chapter 4).
The data categories derived from the contextual inquiry were used to map transactional services in the online patient support groups and ideate on new transactional services for the context of remote patient monitoring. The co-creation sessions inspired a service vision and a set of guiding principles that were used to conceptualise a service system for information support, which could improve the curation of patient support knowledge resources. It was decided to focus on information support among the different types of social support due to the co-exploration of the data categories with clinicians.
Ideation on a service system enabling dynamic and incremental information support resulted in three essential modules or features of the service system:
The first module, dynamic guidance, enables Erasmus MC to use recurrent milestones in the personalised care plan of patients to standardise the provision of information resources in templates. The patient community could progressively rate the usefulness and clarity of such resources to provide recommendations to the rest of the patient community.
The second module, PX data collection, offers the efficient collection of patients’ self-reported concerns and doubts for internal system and content improvements.
The third module, community appraisal, discusses how the development and moderation of conversations among peers could not only facilitate patients’ self-evaluation and emotional support but also the periodic research of shifting or uncovered areas of concerns, experiences and doubts among the patient community.
The interconnections between these modules have been conceptualised through a service blueprint, which was presented to ML and AI researchers to refine the supporting software processes.
These service features or modules could strategically be developed and implemented within existing eHealth applications within specific departments or in a foundational self-monitoring application for ErasmusMC that is shared by different departments (e.g., surgical oncology, pulmonology).
Outcomes
Thematic categorization of patient experience data has been established, which can be used to cluster results of unsupervised topic modelling for other patient communities and compare the results. A better understanding of guiding principles to design data-enabled services and systems, which facilitate information support for patient communities, has been achieved. A service system is proposed to standardise and incrementally fine-tune resources for different patient communities. Future developments are envisioned which encompass state-of-the-art machine learning techniques and interface/service design.
Problem background:
Furniture is a product category which causes a large environmental impact due to the materials they contain and consumers’ current replacement behaviour. In the Netherlands, over half of the large amount of disposed furniture pieces have not reaching the end of their lifespan (Koch & Vringer, 2023). Increasing repair and upgrading behaviour can extend the life of damaged or undesired furniture pieces and thereby reduce environmental impact.
Research & design goal:
Literature research, generative sessions, a survey and expert interviews were used to determine how a design intervention can most effectively contribute to the desired behaviour change. It was concluded that the design should support 18-35 aged, high income, high education consumers, living in the big cities, to ...
1. … make a plan with a desired outcome for ...
2. … together perform ...
... DIY repair and/or upgrade activities for furniture from the low/medium priced segment made from wood and/or textile and foam in 2024.
Design proposal:
The outcome of this master thesis is a design intervention named ‘Opknappers,’ a proposal for Intergamma (the umbrella organization of Karwei and Gamma). The proposal includes DIY cards and an exposition showcasing and explaining repair/upgrade possibilities in the physical shops. Additionally, a concept for the Opknappers app has been developed, which allows consumers to visualise upgrade options for their own furniture. Finally, a plan was made for using Intergamma’s websites and social media to support consumers in the DIY process. The final design was evaluated with customers and employees of Intergamma, and final improvements and recommendations were made.
Addressing this challenge, we propose in this work the concept of differentiated deployment, which allows online games to selectively manage and scale online-game systems with fine granularity in response to changes in available resources. We design Polka, a framework for online games which supports differentiated deployment. We then implement PolkaDOTS, an open-source proof of concept of the Polka framework built in an industry standard game development ecosystem.
We evaluate our approach using Dither, a custom-built experiment runner for large scale distributed experiments on online games. We use Dither to perform real-world experiments on a representative Minecraft-like Game, Opencraft 2, built on the PolkaDOTS stack, and analyze the impact of various differentiated deployment scenarios. From these experiments, we find that differentiated deployment can decrease performance variability of online-game servers, and decrease the response time experienced by players by up to 32%. Most importantly, we show that differentiated deployment enables novel deployment techniques, including switching from local rendering to cloud-based rendering (i.e., cloud gaming) at runtime.","opencraft; Distributed Systems; Online game; Scalability; Differentiated Deployment; Unity; Polka; Resource management","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:aa83b80b-bc9c-4c75-bde0-4a870c0996e2","http://resolver.tudelft.nl/uuid:aa83b80b-bc9c-4c75-bde0-4a870c0996e2","Optimizing hybrid-electric aircraft design and airline planning for efficient energy management and profitability through multidisciplinary coupling","Coelho Antunes, Sofia (TU Delft Aerospace Engineering)","Hoogreef, M.F.M. (mentor); Proesmans, P. (graduation committee); Santos, Bruno F. (graduation committee); Delft University of Technology (degree granting institution)","2024","","","en","master thesis","","","","","","","","2024-04-04","","","","Aerospace Engineering","",""
"uuid:22d7d5d4-987a-41bc-8003-80f2c43d9b6d","http://resolver.tudelft.nl/uuid:22d7d5d4-987a-41bc-8003-80f2c43d9b6d","Conversational AI in the context of setting up a project brief: Creating a conversational AI tool for self-evaluating and improving the quality of inter-organisational design briefings.","Raghoenath, Rahul (TU Delft Industrial Design Engineering)","Heijne, K.G. (mentor); Jaśkiewicz, T.J. (graduation committee); Doek, Elly (graduation committee); Delft University of Technology (degree granting institution)","2024","The In-House Creative Studio faces a significant challenge due to an increasing workload among its team of creatives, which includes visual designers, concept creators, copywriters, producers, photographers, and project/event managers. This team may only experience slight expansion, despite the growing demand for campaign and event materials targeted at three main groups: B2B, B2C, and Talent. Consequently, the Creative Studio is forced to be selective, unable to approve all project requests due to the overwhelming volume of work. Ideally, the studio would like to take on more projects. However, the creative team's efficiency is frequently undermined by the considerable time spent managing internal stakeholders, the misalignment resulting from unclear communications, and inefficient workflows. The primary source of these issues was identified as stakeholders providing incomplete or illogical design briefs.
This graduation project explores how Conversational AI might be used in the context of setting up inter-organisational project briefs to help briefing writers improve their design briefings.
Explorative research on the challenges within creative corperate processes and GenAI opportunities clarified that design briefings are a major bottle neck within creative corporate processes
Recognizing this, it becomes evident that to effectively address this bottleneck, stakeholders need assistance in improving the quality of their design breifings prior to the meeting and without external help from the Creative Studio’s briefings reviewers.
This resulted in creating an conversational agent that enabled stakeholders to self-evaluate and improve the quality of their v1 briefings without external help before the first briefing meeting with the Creative Studio.
The final design was evaluated over the span of two sessions with an internal stakeholder of the case company and the Creative Strategist. In the first session, Jelly’s ability to assist the briefing writer was evaluated. In the subsequent session, the feedback comments of Jelly were compared to that of the Creative Strategist.
The results suggest that while Jelly has been effective in certain areas, such as providing detailed feedback that aligns with feedback standards of the briefing reviewers, it requires refinements in user interface language, feedback customisation, and context-specific content generation. The feedback from Jelly, when accurate, led to noticeable improvements in the quality of v1 briefings, aligning with the goals of enabling the stakeholder to self-evaluate their briefings independently.
The findings suggest that Jelly was found to be capable in offering valuable feedback that improved the quality of the briefing.
This thesis concludes with critical reflections to anticipate the future of GenAI in creative corperate processes. The first anticipation includes proposing a new relationship between GenAI and human creatives in the form of co-performance; where one fulfills a role, the other enhances its performance. The second anticipation states that large e-commerce organisation would have terms in their employee contracts that would consider personalised chatbots created by them as intellectual property of the company. In other words, in such organisations, personalised chatbots would likely be developed through a centralised approach.
Ultimately, the design contributes to the field of conversational AI design approaches in the context of corperate creative processes by providing a practical and reproducible example.
This project was set up in three main parts to identifying opportunities for sustainable interventions in colonoscopy procedures for the EMC gastroenterology department. Throughout the project, a human-centered design approach was maintained. Research was done in literature on sustainability in healthcare and environmental impact of endoscopy, empirical context research and material flow analysis (MFA) in the form of a waste audit.
Based on circular strategies Reduce and Recycle, the research outcomes were synthesized into an intervention that aligns to the EMC's policy statements for sustainability:
- reduce waste with 10%
- increase recycling with 20%
Waste audit data was used as an input for co-creating with endoscopy nurses, where the focus was put on retrieving plastics from GMW because of their significant contribution to total emissions when incinerated (López-Muñoz et al., 2023).
Ideation was done in co-creation sessions to solve the problem of integrating waste segregation inside the complex environment of a colonoscopy treatment room for current system boundaries.
Outcomes
While it is ideal to implement higher R-strategies for designing out waste early on in the process, waste cannot be ruled out completely. Therefore, implementing a waste segregation system in the endoscopy treatment room presents an opportunity to enhance waste management in EMC and align with sustainability goals.
The proposal is a demonstration rather than a product concept, to show small incremental changes within the current system boundaries can help achieve the EMC goals. The intervention was estimated to reduce the weight of incinerated GMW with at least 40% with segregation of plastic products, and additional 16% by disposing of absorbent products in TONTO. Additional research is needed to determine the true recyclability potential of the plastics.
With the foresight of the implementation of new products in the system and a circular model where materials of these products have to be kept in the loop, the endoscopy nurses will be equipped and trained to accomodate these changes, and maintain sustainable practices.
The following research question has been investigated: ‘’Which factors affect the implementation of Responsible Innovation dimensions in start-ups.’’","","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:8cf78935-a0d6-42d6-a1fc-9e25ce285fa9","http://resolver.tudelft.nl/uuid:8cf78935-a0d6-42d6-a1fc-9e25ce285fa9","Supporting non-expert users in modelling and understanding AI, an interactive CP approach: Bringing the power of advanced optimisation in employee scheduling to small and medium-sized organisations","Kropf, Kylian (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yorke-Smith, N. (mentor); Bormans, R. (graduation committee); Tielman, M.L. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis proposes and develops an interface and model in which advanced optimisation for general employee scheduling is made available to non-experts in computer science or optimisation. The interface teaches, guides, configures, dynamically creates a constraint programming (CP) model, iteratively improves, decreases black box properties, increases trust in the outcome, and complies with relevant European Union Artificial Intelligence law. The objective of this study is to allow a wider range of organisations to take advantage of CP techniques, with the potential to greatly improve efficiency, reduce unfairness, meet company goals, and improve employee satisfaction.
Employees are assigned to personalised shifts based on the expected demands of departments within an organisation, these are set by the domain expert in the field of employee scheduling. Next, to tailor the model to the organisation’s needs, the domain expert is guided in setting both the restrictive assumptions and priorities of shift assignment. To optimise the generated optimal schedule, it is encouraged to create and compare multiple sets of configurations and subsequent schedules. Historical demand data, organisation structure, contract information, and employee preferences are included. Multiple visual design iterations have been made, after which a working interface has been developed and improved iteratively in conjunction with experts in the field. Validations with external domain experts from various industries and organisations have shown that the interface performed effectively in supporting the objectives. Further research can be done to improve the speed of solving, implement diversity of solutions, support for highly custom constraints based on natural language, or interface reusability for other optimisation problems.","combinatorial optimisation; multi objective optimisation; general personnel scheduling problem; domain expert systems; understandable AI; XAI","en","master thesis","","","","","","https://dyflexis.com Unspecified Dyflexis Employee Scheduling Software","","2024-12-31","","","","Computer Science","",""
"uuid:b7ff0b28-1bad-492d-a5c9-04db718518c9","http://resolver.tudelft.nl/uuid:b7ff0b28-1bad-492d-a5c9-04db718518c9","Integration of societal aspects in optimisation-based electricity system modelling","Crebas, Qin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bruninx, K. (mentor); Annema, J.A. (graduation committee); Wen, Xin (mentor); Trutnevyte, Evelina (graduation committee); Delft University of Technology (degree granting institution); University of Geneva (degree granting institution)","2024","Currently, restricting the utilisation of fossil fuels and thereby limiting global warming to remain below 2ºC stands as one of the most crucial challenges confronting us. The electricity sector is one of the main contributors of CO2 emissions, but it is changing in a rapid pace with a decarbonizing rate which is faster compared to all other fossil sectors. To facilitate the decarbonizing of the electricity sector, optimisation models can provide a valuable framework to gather information about the futuristics of the electricity market. As optimisation models can handle all sort of characteristics like demand and supply which should always be the same, certain policies, energy security, economic development and costs they play an important role in the transition toward more renewables and less fossil fuels. However, these optimisation models do not always present the right solution as societal factors are mostly missing, which can lead to misleading results.
In this paper we will specifically look at the D-EXPANSE optimisation model from the University of Geneva and incorporate two societal aspects. This will be implemented as a hindcasting exercise to examine whether or not it will improve the model compared to the regular model where no societal factors are implemented. This is applied on 31 European countries from 1990 until 2019. The societal aspects that are included in the D-EXPANSE model are public acceptance and heterogeneity of actors. Public acceptance is incorporated in the optimisation model with specifically limiting the CO2 emissions per country with the help of survey data provided from 2009 until 2023 in combination with the set global European emission targets. Heterogeneity of actors is implemented by specifically adjusting the weighted average cost of capital per technology per country per year.
The main results are that it is still unclear whether or not the implementation of societal factors improves the accuracy of the model as a whole. For the implementation of public acceptance 9 out of the 18 countries experience a positive change regarding the error compared to the model where no societal factors are implemented. For the implementation of heterogeneity of actors 13 out of the 26 countries experienced an improvement, and for the combination of both factors 12 out of the 22 countries showed improvements. With this in mind, it is not justifiable that the implementation of public acceptance and/or heterogeneity of actors in this way improves the model which is shown as a hindcasting exercise.
This thesis fails to provide evidence supporting the idea that the inclusion of societal factors enhances the capabilities of optimisation models. This contradicts existing literature, which emphasizes that the incorporation of societal factors is a primary reason why optimisation models struggle to accurately predict the future. One potential explanation for this discrepancy in our findings may lie in the specific methods used to implement actor heterogeneity and public acceptance in the model. For the public acceptance model, it is shown that there is still room for improvement with a different upper limit for the amount of CO2 emissions per country. This can increase accuracy up to 5 percentage points. Therefore, future research should focus on refining the implementation of societal factors, especially considering the accelerating pace of decarbonisation in the electricity sector. Factors such as supply and demand, electricity costs, and energy security remain crucial features that cannot be underestimated. Moreover, with the increasing integration of renewables into the electricity generation, societal factors will continue to exert a growing influence on the progress and implementation.
Background: Undetected Intellectual Disability (ID) can lead to chronic stress due to overestimation by society. Chronic stress can cause stress-related health issues, like hypertension, chronic fatigue and abdominal complaints. When a physician (General Practitioner (GP) or medical specialist) does not recognize that a patient has ID, the relation with stress may go unnoticed. In that case, the complaint is often treated as a purely somatic problem, while the underlying cause (overestimation due to unrecognized ID) remains untreated. This can increase healthcare consumption and impair the patient’s quality of life. While physicians with ID-expertise can recognize subtle signs of mild ID, physicians without extensive experience will easily overlook the ID. To improve medical care for patients with ID, we aim to improve ID detection among physicians. As it is not feasible to give all individual doctors an ‘ID-recognition training’, we study the possibility of using AI to improve ID detection. In the past years, we have been working on an ‘ID Alert’ (IDA) using ML. In previous phases of the IDA project, structured Electronic Health Record (EHR) data was used for the creation of an IDA. In addition, in the current study, we investigate the use of unstructured EHR data (clinical text).
Methods: We analyzed unstructured correspondence files of 200 ID-adults and 200 non-ID adults of Novicare, an organization that provides multidisciplinary care to clients with complex and chronic conditions in intra- and extramural settings. Structured clinical data was unavailable. Therefore, we used an automated method of text extraction, de-identification and two types of feature extraction (bag-of-words and clinical concept extraction). Features were compared between ID-adults and non-ID adults. Significant features that were unlikely to be intrinsically different between ID- and non-ID adults were excluded. The remaining significant features were used for the training and evaluation (10-fold stratified cross-validation) of two Gradient Boosting Classifiers.
Results: Most features differed significantly between ID- and non-ID adults due to confounders such as differences in age, type of care and the doctor’s word choice (which is inherent to the specialty and training of the doctor). Significant ‘unbiased’ features identified by both types of feature extraction methods are epilepsy, emotional disturbance (tension, arousal, agitation), visual or hearing problems and the presence of family members during consult. The developed ML models showed Areas Under the Curve (AUCs) of 0.98 and 0.89 for bag-of-words and clinical concepts, respectively.
Conclusion: This is the first study to investigate the use of unstructured correspondence files for developing an IDA. The developed models show a very high performance. Despite efforts to mitigate the effect of confounders, limitations may have influenced generalizability. Therefore, external validation of the proposed methods is necessary in future research.
This study investigates this barrier to a successful digital transformation of the insurance industry. Following a literature review on innovations in this realm and technology acceptance, this thesis aims to identify factors influencing the behavioral intention to use technologies within this context....","business process automation; technology acceptance; Unified Theory of Acceptance and Use of Technology; Insurance; Emerging technologies","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:c6e79ef9-49a0-41a0-8c77-36db46a4d34f","http://resolver.tudelft.nl/uuid:c6e79ef9-49a0-41a0-8c77-36db46a4d34f","Conformal Thinking for 3D Shaping of Bacterial Cellulose","Smit, Jason (TU Delft Industrial Design Engineering)","Karana, E. (mentor); Groutars, E.G. (graduation committee); Delft University of Technology (degree granting institution)","2024","A prototype for a production method is designed that can facilitate multiple geometries of statically grown bacterial cellulose for one mould. This production method, called CelluShaping, was developed after desktop research on bacterial cellulose. Here, topics regarding the material’s origin, cultural influences, its biological synthesis, material characteristics, applications and production, as well as topics regarding biodesign and conformality in design are discussed. From this research, a taxonomy is made, on which ideation on multiple principles are developed. After testing the most promising principles, a combination of two principles, where geometry is introduced through the growing bacterial cellulose sample from above the growth and from below. This principle, which is called CelluShaping, is elaborated upon and divided into its main components. From this understanding of the principle, a testing setup is designed and built. Also, a computational model, which aids in the hypothesizing of tests and is a first step in a tool to work with the production method is developed. With the testing setup and model, multiple tests are performed to test with multiple geometries and growing conditions. Finally, an overall conclusion, discussion, recommendation and reflection is given.","","en","master thesis","","","","","","","","2025-03-12","","","","Integrated Product Design","",""
"uuid:92721063-5738-4965-b1ae-4053141f0187","http://resolver.tudelft.nl/uuid:92721063-5738-4965-b1ae-4053141f0187","No Patient Left Behind: A Decision Framework for Addressing Representation Bias in Open Health Data: A Qualitative Study into the Use of Open Health Data","San José Sánchez, Samantha (TU Delft Technology, Policy and Management)","Zuiderwijk, AMG (mentor); Duran, J.M. (graduation committee); Figueroa, C.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","","","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:fe235ece-4c6a-4b8f-b56e-e1cd20582853","http://resolver.tudelft.nl/uuid:fe235ece-4c6a-4b8f-b56e-e1cd20582853","Design and integration of a microfluidic system into LiGalli’s MedRing platform","Spoerer Ruiz-Tagle, Sebastián (TU Delft Industrial Design Engineering)","Song, Y. (graduation committee); Paus-Buzink, S.N. (mentor); Heerema, O. (mentor); Delft University of Technology (degree granting institution)","2024","This project explores the integration of a microfluidic system within the MedRing device, aimed at enhancing women’s health monitoring by non-invasively tracking fertility-related biomarkers. The primary goal is to leverage MedRing’s capabilities to provide real-time, accurate health insights, thereby contributing to the advancement of personalised healthcare technologies.
The advent of wearable technologies has opened new avenues for personal health monitoring. This project focuses on the MedRing, a device designed for continuous health data collection, specifically targeting women’s reproductive health. By incorporating a microfluidic system, the project aims to extend the device’s functionality to include precise fertility monitoring, addressing the growing demand for non-invasive health management solutions.
The development process involved performing desktop research, interviews with experts, and analysing the current market. Then it moves on to designing and simulating the microfluidic system using computational fluid dynamics. Simulations were conducted to evaluate fluid flow, ensuring the system’s compatibility with the compact form factor of the MedRing.
A microfluidic system that can be assembled into the MedRing was designed. CFD simulations confirmed that the system achieves the objectives set in terms of laminar flow and fluid path, crucial for the system's correct operation. Design adjustments were made to optimise fluid path efficiency and ensure comprehensive sampling within the system’s reading chamber. The simulations demonstrated the system’s potential to accurately monitor, store, transport, and gather molecular samples within the constraints of the MedRing’s design.
While the project successfully demonstrated the theoretical feasibility of integrating a microfluidic system into the MedRing, the transition from simulation to real-world application necessitates further development. Future work should focus on prototyping and extensive testing to validate the system’s functionality in practical settings. Collaboration with biomedical experts will be essential to refine the system’s design, ensuring it meets both technical specifications and user needs. This project lays the groundwork for future innovations in wearable health technologies, emphasising the importance of integrating advanced diagnostic capabilities into everyday devices.","Microfluidics; Lab-on-a-chip; Aptamer; Fertility; Health monitoring; Femtech; Vaginal Ring","en","master thesis","","","","","","","","","","","","Integrated Product Design | Medisign","",""
"uuid:706dbcc5-99fb-47a8-8b02-46ff6df12e0f","http://resolver.tudelft.nl/uuid:706dbcc5-99fb-47a8-8b02-46ff6df12e0f","The assessment of flood mitigation measures applied in Cartagena de Indias: A case study approach about investigating types of flood mitigation measures in Cartagena de Indias","van de Wakker, Gerwin (TU Delft Civil Engineering & Geosciences)","Kok, M. (mentor); Schoups, G.H.W. (mentor); Onderwater, M.C. (mentor); Klooster, Jeroen (mentor); Delft University of Technology (degree granting institution)","2024","Urban areas of coastal cities are increasingly susceptible to the consequences of climate change. In particular, the threat of increasing floods due to sea level rise and heavier rainfall is growing. Cartagena de Indias in Colombia is such a coastal city, where flooding is a significant issue, with floods becoming more frequent in recent decades. Arcadis, together with the ConAgua consortium, is currently investigating and designing mitigation strategies for the city’s water-related issues. The thesis focuses on defining and quantifying the sources of urban flood problems and assessing the impact of potential mitigation measures for reducing these flooding problems.
The study consists of four parts:
1. Identifying the main causes of floods using a data analysis;
2. Quantifying these findings with a numerical D-Hydro model;
3. Investigating suitable kind of mitigation measures and quantifying the impact of the best feasible mitigation options;
4. Evaluating the investigated mitigation options based on several criteria with the help of a multicriteria analysis.
Parts 1 and 2 focus on understanding the system. Parts 3 and 4 of the study focus on finding the best option to reduce floods.
The data analysis allows the development of a system description identifying the main causes of flooding. The analysis reveals that the limited capacity of the drainage system, high topographic variations, and extreme rainfall are the main causes of flooding in Cartagena. Extreme rainfall flows quickly from steep upstream areas to milder slope lower-lying areas, particularly those at the edge of the Ciénaga de la Virgen. It is hypothesised that downstream water level plays a role in the accumulation of runoff water, thereby influencing the frequency and intensity of floods in the neighbourhoods at the southern edge of the Ciénaga de la Virgen, especially with a relative sea level increase of approximately half a meter factored in. This hypothesis is checked by quantifying the impact of different scenarios with a numerical model.
A numerical D-Hydro model is used to quantify the impact of different meteorological conditions and downstream water levels on floods. The model’s simulation results show that rainfall intensity is a more dominant factor in flood generation than downstream water levels. Even with a return period of two years, rainfall causes significant flooding of almost 25% in the study area. The model also indicates an increasing influence of tidal conditions due to relative sea level rise. Still, the increased influence is mainly visible in the borders of the Ciénaga de la Virgen with minimal residential impact. These conclusions highlight the need for mitigation efforts to focus primarily on improving the areas influenced by the rainfall, especially for short return period rainfall events.
Various flood mitigation measures are considered for the southern border of the Ciénaga de la Virgen to reduce floods caused by the dominant intense rainfall. Wetland creation and evaporation measures are ruled out due to the dominant influence of rainfall-induced floods and the inability to prevent floods caused by extreme rainfall events respectively. After investigating the scale required, considering feasibility, the three types of measures that are converted into mitigation options are:
• Mitigation Option 1: Increasing channel dimensions,
• Mitigation Option 2: Increasing infiltration,
• Mitigation Option 3: Constructing retention areas.
These mitigation options are assessed for their impact on reducing flooded areas and flood levels. The three mitigation options are evaluated to recommend the best-preferred mitigation design. This evaluation employs a Multi-Criteria Analysis (MCA)...","D-HYDRO 1D2D; Cartagena; drainage systems; mitigation measure; rainfall runoff modelling; D-FLOW FM","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:fef278fb-0f7f-4eaa-a837-2700215e3b33","http://resolver.tudelft.nl/uuid:fef278fb-0f7f-4eaa-a837-2700215e3b33","Real-time reconstruction of indoor ground surfaces in occluded environments filled with smoke based on point clouds obtained using LiDAR","Wiers, Christiaan (TU Delft Mechanical, Maritime and Materials Engineering)","Della Santina, C. (mentor); Kober, J. (graduation committee); Jovanova, J. (graduation committee); Heinecke, Robbert (graduation committee); Delft University of Technology (degree granting institution)","2024","With the current transition towards renewable and high-tech solutions, the world is becoming increasingly complex. Consequently, the challenges faced by firefighters also intensify. For that reason, firefighting robots are rising in popularity despite being far from perfect. An important area of improvement is the perception capabilities of those robots, given the fact that firefighting robots suffer from occluded camera views in environments filled with smoke. To overcome this challenge a LiDAR sensor may be used but experiments in this work show that even those point clouds are adversely affected by smoke. Consequently, this work presents a method for real-time reconstruction of ground surfaces in occluded environments filled with smoke. The developed method functions in ROS Noetic and merges segmented ground points, when available, with ground surfaces which are reconstructed based on information from segmented wall points. In this way, the method works even without the presence of ground points. To achieve this, a combination of established techniques from scientific literature, along with newly developed techniques were implemented. Doing so gives the robot’s operator an improved representation of the ground surface within environments filled with smoke. Ultimately the developed method may allow for autonomous navigation based on LiDAR data within environments filled with smoke. This research shows that a method consisting of techniques which tackle the independent sub-challenges arising from the use of LiDAR in indoor environments filled with smoke can effectively reconstruct the ground surfaces within those environments. Furthermore, the developed method has the potential to do so in a real-time manner.
Efforts are being made to find a hydrogen carrier that closely resembles conventional oil-based products to comply with these regulations. All these fuels need to be produced with renewable energy sources, which have their efficiency losses. Renewable fuel production is only estimated to have a chemical efficiency of 50%.
Innovation in the shipping sector is necessary to reduce energy losses. The shipping sector fits the rules of rural society, where incremental innovations are preferred over radical changes. However, radical change is necessary to accomplish the energy transition in shipping. According to the DOI theory, innovators are the first group of adopters. Innovators are eager to try new ideas and have a cosmopolitan (global) network. These innovators will play a critical role in the energy transition in the shipping sector.
The study’s objective is to analyse if it is possible to influence a given adoption of the innovator. First, the research outlines the theoretical framework for the study. The literature search aims to determine a transition framework to answer the research sub-questions. The framework’s scope will be refined to the innovator group and the maritime sector. A case study will be conducted to test the defined framework, and factors outside the scope may be included if needed. The literature collection approach involves determining the philosophical framework before researching the sociological framework. The mainstream innovation and inclusive innovation frameworks have been identified from a philosophical perspective. The mainstream innovation framework focusing on radical and technological typology is more appropriate for the research study. Rogers’s sociological framework can be used to describe the adoption process. The Scopus search has been used to identify different theories, including spatial innovation frameworks, sectoral innovation systems (SIS), technological innovation systems (TIS), and path development. Finally, the study provides an overview of the innovation systems and their corresponding frameworks……","Maritime; Innovator; Radical; Innovation","en","master thesis","","","","","","","","","","","","Marine Technology | Maritime Operations and Management","","51.5591052,4.6256915"
"uuid:d9fc9a4e-54f7-4ffc-95c8-c02fc843daff","http://resolver.tudelft.nl/uuid:d9fc9a4e-54f7-4ffc-95c8-c02fc843daff","The Final Hurdles to Technical Implementation of Vehicle-to-Grid","van Eijk, Mark (TU Delft Technology, Policy and Management)","Annema, J.A. (mentor); Lukszo, Z. (graduation committee); van der Koogh, M.L. (graduation committee); Delft University of Technology (degree granting institution)","2024","The automotive industry is transitioning to electric vehicles (EVs), creating a higher electricity demand. Concurrently, the utility sector is shifting to a renewable energy system. Vehicle-to-grid (V2G) technology allows EVs to both consume and deliver electricity to the grid, introducing a dynamic interaction. However, integrating EVs into the grid raises challenges related to grid safety, such as the risk of overloading. To address this, additional technical specifications are needed. The EV charging industry relies greatly on international standards. Therefore, market players seek clear guidelines for products enabling bidirectional power flows. However, technical requirements specific to V2G systems remain unspecified or divergent, creating obstacles for technology development and implementation by the industry. Harmonisation and standardisation of technical specifications are considered effective means for overcoming these challenges. However, existing literature lacks insights into the necessity and realisation of harmonisation. This study fills this gap by exploring the extent, reasons, and coordination efforts required for harmonisation of technical requirements specific to V2G. Particularly, this study investigates barriers stemming from the technical implementation of V2G and their impact on the adoption by key stakeholders.
An open-minded approach following the grounded theory principles identified primary obstacles in the technical implementation of V2G. The grounded theory approach included conducting semi-structured interviews with ten participants, which helped explore perspectives and needs of five key stakeholder groups. In addition, a comprehensive framework is consulted to provide a theoretical lens assisting the interpretation and analysis of the empirical results. Integrating the widely adopted Institutional Analysis & Development (IAD) framework and Multi-Level Perspective (MLP) aided in identifying and understanding the interplay between institutional arrangements, such as technical requirements and standards, and technology adoption. This novel approach has proven to be valuable in analysing the relationships between micro-level interactions (IAD) and macro-level influences (MLP).
This study has shown it is unclear to niche actors how to become ""V2G-ready"" due to a lack of clear guidelines, especially related to the charging standard (AC or DC), the communication standard between system operators (DSOs) and charge point operators (CPOs), grid connection codes, and who should be in control of discharging schedules. Requirements deficiency and disparities and the discussion on the control authority show constraining effects on the conditions for pilot projects. Realistic pilot conditions are shown to be essential for scaling V2G activities, and pilot projects are considered vital for exploring the possibilities of V2G technology. However, lacking conditions obstruct the development of definitive V2G configurations and designs necessary for large-scale diffusion. This study has shown two chicken-and-egg dilemmas play a significant role in the slow adoption of V2G. Niche actors are awaiting each other to continue their research and development activities. EV manufacturers demand V2G-compatible EV supply equipment (EVSE) to experiment with V2G technology, while EVSE manufacturers and CPOs await V2G-compatible EVs. Moreover, niche actors await definitive technical standards, while standardisation organisations and regulators are reliant on insights retrieved from practical experimentation to develop effective standards and regulations. These dynamics require a coordinated approach to empower and stabilise the development of V2G technology and enable widespread diffusion. Niche actors should be at the front of the developments, so active involvement with standardisation and requirement-setting is advised. Besides, collaborations between niche actors across Europe should be stimulated to prevent market fragmentation and segmentation, since these effects are detrimental to all V2G actors and the system in general. Therefore, the barriers identified pose a significant influence on pilot conditions, constraining experimentation, implementation, and the overall development of V2G technology.","V2G; Electric Vehicles; Grounded Theory; Institutional Analysis; Multi-Level Perspective","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:33651c36-9e08-480a-9d26-306b16453769","http://resolver.tudelft.nl/uuid:33651c36-9e08-480a-9d26-306b16453769","The European Ammonia Industry: Grey, Green or Gone?","van Muijen, Maarten (TU Delft Technology, Policy and Management)","Blok, K. (mentor); Roosenboom-Kwee, Z. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis explores sustainable and economically viable decarbonization pathways for the European ammonia industry. Through literature reviews, expert interviews, and detailed analyses, it addresses the industry's need to transition while maintaining competitiveness. Findings suggest that options like Autothermal Reforming (ATR) with Carbon Capture and Storage (CCS) are financially feasible, while biobased alternatives and electrolyzers face cost challenges but hold future potential. The study emphasizes the impact of the Carbon Border Adjustment Mechanism (CBAM) on global decarbonization efforts, reshaping trade dynamics. Policymakers must consider potential industry relocation and ensure food security amidst changing landscapes. Overall, this research provides a roadmap for sustainable decarbonization and underscores the importance of collaborative efforts for the European ammonia industry's future.","Ammonia; hydrogen; cost-effectiveness; Decarbonization; CBAM; Europe","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:41af2694-4f32-4249-ad45-da9215963963","http://resolver.tudelft.nl/uuid:41af2694-4f32-4249-ad45-da9215963963","Mitigating the gradual change in water partitioning at the Pannerdense Kop bifurcation","van den Brand, Siebe (TU Delft Civil Engineering & Geosciences)","Schielen, R.M.J. (mentor); Blom, A. (graduation committee); van Denderen, P. (graduation committee); Chowdhury, M. Kifayath (graduation committee); Kok, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","It is observed that the bed level development of the Pannerden Canal (PC) and the Waal changed since the peak flows of 1993, 1995 and 1998. The erosion rate of the Pannerden Canal decreased greatly just downstream of the bifurcation while the erosion rate of the Waal stayed more or less constant. This leads to an increasing depth of the Waal relative to the Pannerden Canal and therefore the Waal attracts more discharge. The model results from this study confirm that without anthropogenic interventions in the future, the Waal discharge fraction keeps increasing. The shift in discharge partitioning towards the Waal is an undesired development of the system as it causes problems for navigability, ecology, freshwater distribution and flood safety. Currently there is a lack of knowledge on how interventions can be used to mitigate the gradual change in discharge partitioning at the Pannerdense Kop (PK). This study aims at filling the knowledge gap by considering several interventions studying their effect on the discharge partitioning at the PK and on the Rhine branches surrounding the PK.
Firstly, an inventory of interventions which could possibly increase the Waal discharge fraction is made. The resulting selection of interventions is: A) a side channel from the Boven-Rijn towards the PC, B) fully opening the weirs in the NR-Lek, C) widening on the IJssel, D) directly steering the sediment partitioning at the PK and E) dredging in the PC and dumping in the Waal. These interventions are implemented in a 1D-morphological model of the Dutch Rhine branches.
It is found that the side channel from the Boven-Rijn to the PC (A) is not capable of significantly influencing the discharge partitioning at the PK. The backwater effects due to the water addition counteract the added discharge to the PC.
Furthermore, it is found that for the low Lobith discharges opening the weirs in the NR-Lek (B) can reduce the Waal discharge fraction below the initial value from the reference case for the whole simulated period of 60 years. The opening of the weirs does however lead to significant relative decrease in the IJssel discharge fraction which is unwanted regarding the freshwater supply of the IJsselmeer. For low Lobith discharges the widening on the IJssel (C) initially leads to a significant reduction in Waal discharge fraction of 2.4%. The effectivity reduces throughout the simulation because of aggradation along the widened reach. For high flows the opening of the weirs and the IJssel widening are less effective. The final relative Waal discharge fraction decrease is respectively 1.1% and 0.7%.
The results of the directly steering the sediment partitioning (D) are similar for high and low flows. The water level is not changed initially and therefore initially the discharge partitioning is not affected. Throughout the simulation the slope of the Waal adjusts to the increased sediment supply and the slope of the PC adjusts to the decreased sediment supply. For both flows the final relative decrease in Waal discharge fraction is 1.8%.
Dredging and dumping (E) shows similar effects on the bed level and discharge partitioning as steering the sediment partitioning at the PK. Dredging and dumping also does not alter the water level and the discharge partitioning initially. The relative bed level increase of the Waal is somewhat higher for the dredging and dumping simulation than for the simulation in which the sediment partitioning at the PK is steered. Therefore also the relative decrease in Waal discharge fraction is higher with 2.4% for the low Lobith flows and 2.2% for the high Lobith flows.
Currently the IRM program aims at stopping further skewing of the discharge partitioning of the PK. None of the interventions from this study is capable of realising this on its own. Therefore multiple river interventions will have to be combined to be able to reach the ambitions of IRM. The knowledge on the effect of the interventions on the discharge partitioning at the PK and the bed levels of the Dutch Rhine branches can be used as a basis for further study towards to combined effects of river interventions on the bed level development of the Dutch Rhine branches and the discharge partitioning at the PK.
Measurements confirm the significant influence of the ground coverage, mainly impacting the soil temperature in vertical (in-depth) direction. A detailed analysis reveals the quantitative effectiveness of the considered measures, indicating substantial cooling potential by modifying the ground coverage. Replacing concrete tiles with vegetation (in sandy soil) results in the most cooling effect of all analysed measures. White paint on concrete tiles emerges as particularly effective in reducing the soil temperature at the current depth in Rotterdam (0.7 m), and is considered an easily executable measure to prevent exceedances of the 25 °C threshold, mainly in case of urgency. In general, modifying the top layer as measure is considered feasible. This is because it avoids the need for excavation, in contrast to the alternative measures of relocation to a deeper level or in the shade. Additionally, the study quantifies soil temperature through simulations. A comparative analysis between simulations conducted by the soil temperature model and measurements facilitates an examination of the anticipated progression and the predicted effect of measures by the model, allowing for the formulation of recommendations to enhance the model.
As the results indicate that modifications to the ground coverage can significantly contribute to cooling the soil, this can mitigate potential threats to drinking water quality. The study advocates an integrated approach to decision-making, which includes collaboration between municipalities, drinking water companies, and research institutes. This cooperative effort is essential for identifying and implementing the most suitable and effective measures to safeguard high-quality drinking water, both now and in the future.","Drinking water temperature; Drinking water quality; Ground coverage modification; Soil temperature; Climate change; Urbanization; Field measurements; Soil temperature model","en","master thesis","","","","","","","","","","","","Water Management","",""
"uuid:b4c3e0b5-0431-4831-99af-21be86e10afa","http://resolver.tudelft.nl/uuid:b4c3e0b5-0431-4831-99af-21be86e10afa","A 24Gb/s PAM-4 Clock and Data Recovery Circuit With High Jitter Tolerance","Bas, Ivor (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Electronics)","Babaie, M. (mentor); Gurbaxani, R. (graduation committee); Sebastiano, F. (graduation committee); Delft University of Technology (degree granting institution)","2024","The escalating demand for higher data rates in modern communication networks are pushing more transmitters and receivers to use a modulation technique with more spectral efficiency, like pulse amplitude modulation 4-level (PAM-4).
On the receiver side, phase detection for PAM-4 has proven to be difficult with most receivers using phase detection for non return to zero (NRZ) data. This neglects most transitions and thus some phase information is lost. This results in low bandwidth and jitter tolerance, which is a problem in noisy communication systems where it will lead to a high bit error rate (BER).
This thesis explores an integrated PAM-4 clock and data recovery (CDR) circuit utilizing a novel PAM-4 bang bang phase detector (BBPD) considering all data transitions. A digital oscillator with variable gain is used in order to achieve high jitter tolerance as-well as low jitter generation. at 24Gb/s the CDR consumes 8mW and generates 487fs of jitter. and has a 1UI at 30MHz.","CDR; PAM-4; Jitter-Tolerance; BBPD","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:a93d4da4-ce95-4932-9293-4adcc95a2966","http://resolver.tudelft.nl/uuid:a93d4da4-ce95-4932-9293-4adcc95a2966","Towards a neutrally stable compressible metamaterial","Zhu, Jeffrey (TU Delft Mechanical, Maritime and Materials Engineering)","Radaelli, G. (mentor); Herder, J.L. (graduation committee); Delft University of Technology (degree granting institution)","2024","Neutrally stable metamaterials can maintain differ- ent shapes without any energy input, making it a key innovation in the quest for more energy-efficient technologies. Despite this intriguing property, the research in this area is scarce. This study proposes a method for achieving neutral stability in metama- terials. This method is validated with a novel unit cell design that utilizing two identical beam elements that are mirrored. Each element displays a constant force characteristic. By pre- tensioning these elements, we align their constant force regions, thereby inducing a state of neutral stability. Through finite element method (FEM) simulations and geometrical optimisation, the beam of this design is optimised to achieve the optimal constant force response. A prototype is made and a test setup is constructed to validate the accuracy of the simulations and the feasibility of the method for achieving neutral stability. Results indicate that while perfect neutral stability was not fully achieved, this method can be applied on other constant force mechanisms to create neutrally stable metamaterials.","Metamaterial; constant force; neutral stability","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:12135ffd-142c-4696-8ddf-3e41286eb042","http://resolver.tudelft.nl/uuid:12135ffd-142c-4696-8ddf-3e41286eb042","Functionally Graded 3D-Printed Scaffolds through Direct Ink Writing: Bridging Ceramics and Metals for Enhanced Multifunctionality","Zwart, Maarten (TU Delft Mechanical, Maritime and Materials Engineering)","Putra, N.E. (mentor); Mirzaali, Mohammad J. (mentor); Zadpoor, A.A. (mentor); Panahkhahi, S. (mentor); Taheri, P. (graduation committee); Delft University of Technology (degree granting institution)","2024","Over two million bone grafts are performed worldwide, each year. The preferred method is using autografts, but there are two important downsides. There is often insufficient tissue to harvest and the scar at the harvesting side is painfull for the patient. Therefore there exists a great need to improve synthetic grafts.
Traditionally, synthetic bone scaffolds are made from only one material, this can either be a (bioactive) ceramic or metal. The former has the benefit of promoting bone growth, but has insufficient mechanical properties. Metals on the other hand have no issue competing with bone in terms of mechanical properties, but they may not be biocompatible nor aid osteo-induction.
In this study direct ink writing was used to produce multimaterial Ti6Al4V and akermanite scaffolds. The goal was to combine the favourable mechanical properties of Ti6Al4V alloy with the osteo-inductive properties of akermanite. Composites of Ti6Al4V and akermanite were evaluated as well, but similar to akermanite ceramic on itself, their mechanical performance was deemed insufficient. Akermanite and Ti6Al4V was found to react and form titanium silicide and a calicum compound, presumed to be calcium oxide. A core shell scaffold was designed which uses a Ti6Al4V shell and an akermanite composite core in order to achieve both adequate mechanical and improved bioactive properties. This scaffold performed comparable to cortical bone in stiffness, and boasted superior strength.","Biomaterials; Bone; 3D Printing; Metal; Ceramic; Direct writing; Powder Metallurgy","en","master thesis","","","","","","","","2026-03-08","","","","Biomedical Engineering","",""
"uuid:c0e89fe5-bc26-4f68-a965-5017ab58bc9c","http://resolver.tudelft.nl/uuid:c0e89fe5-bc26-4f68-a965-5017ab58bc9c","First steps into the calibration chamber pile tests with glauconitic sands: On the behaviour of the sand fraction from glauconitic sand of the Kattendijk formation in Antwerp, Belgium","Rodriguez Piedrabuena, Alba (TU Delft Civil Engineering & Geosciences; TU Delft Geo-engineering)","Gavin, Kenneth (mentor); Kementzetzidis, E. (graduation committee); Mento, M. (graduation committee); van Wijk, Jort (mentor); Delft University of Technology (degree granting institution)","2024","The Global Wind Energy Council (GWEC) forecasts an accelerated increase in wind installations as a result of the aggressive climate change and green energy objectives set by the majority of countries. As a consequence, new regions worldwide that were previously thought to be unsuitable for the construction of offshore wind farms due to the soil characteristics are planned to be explored. This is the case of glauconite sands, which include glauconite pellets that are very susceptible to crushing. The crushing transforms the coarse-grained sand into a fine-grained soil, changing the geotechnical characteristics of the soil. This poses particular geotechnical challenges, since the soil-structure interaction between the pile being driven and the sand changes, the side friction increases with depth and the prediction of blow counts in drivability analyses is underpredicted. In addition to this, the glauconitic sand influences the response in cone penetration tests (CPTs), characterised by high cone tip resistance (qc) and sleeve frictions (fs), leading to high friction ratios (Rf) and resulting in misleading soil classifications. IQIP, as a company specialised in installation and foundation projects internationally that provides innovative and high-quality equipment and solutions for construction projects related to Offshore Wind industry, is interested in better understanding the mechanical behaviour of glauconite sand during pile installation due to the risks posed by this sand, e.g. premature pile installation refusal. In order to understand this soil that poses geotechnical challenges, laboratory test programs should be designed in order to capture the soil behaviour and physical modelling is a cost effective and valuable tool. This research aims to obtain detailed information on the soil response of glauconitic sand in saturated conditions during pile installation with a new sample preparation method for glauconitic sand containing fines. It will investigate the feasibility of cone penetration testing, cyclic loading, pile driving, as well as the analysis of the shear band and grain crushing around the instrumentation penetrated in the sample in a calibration chamber (CC). Based on the results from the three performed tests it could be concluded that the preparation method led to a good procedure to prepare repeatable and homogeneous samples under fully saturated conditions, as well to a representative response to pile penetration. With this, it is possible to perform further research on the sand fraction of glauconitic sands using the same sample preparation method and testing equipment.","glauconite; calibration chamber tests; pile installation; offshore","en","master thesis","","","","","","","","","","","","Civil Engineering | Geo-Engineering","",""
"uuid:f1db2b8a-49d3-4027-a04c-1e93fca0a397","http://resolver.tudelft.nl/uuid:f1db2b8a-49d3-4027-a04c-1e93fca0a397","Turbomachinery flow simulations with SU2: a numerical study","Vafi, Maurits (TU Delft Aerospace Engineering)","Pini, M. (mentor); Colonna, Piero (graduation committee); Pini, M. (graduation committee); Modesti, D. (graduation committee); Delft University of Technology (degree granting institution)","2024","Analysing and developing turbomachinery at off-design conditions requires the usage of robust and efficient Computational Fluid Dynamics (CFD) solvers. With the introduction of the computer, many advancements have been made in the field of numerical methods involving these flow problems. These numerical methods are used in order to solve these complex flow structures that are formed due to the interaction between the rotating machinery and the fluid. With the emergence of new design paradigms using computer-aided optimisation, novel solver methods are required which are able to deal with the increase in computational cost and the convergence difficulties following off-design conditions. One of the CFD solvers that is used for turbomachinery design is the open-source software SU2. SU2 is currently developed partially at the Delft University of Technology, where an increase in solver performance with respect to turbomachinery aerodynamics is greatly desired. The current work provides a numerical study of SU2's current performance with respect to turbomachinery analysis, as this is currently unknown.
The current performance of SU2 is to be analysed using steady RANS turbomachinery simulations. The research conducted by Xu et al. \cite{Xu2020} will be used as reference data in order to validate SU2's performance together with data obtained from the CFD solvers CFX and Numeca. The research conducted by Xu et al. resulted in the development of their NUTSCFD solver, which showed strong performance with respect to turbomachinery analysis. Four test cases are set up and used in order to analyse SU2. The test cases that are considered for the numerical study include: the NACA 0012 airfoil, the LS89 turbine cascade, the MTU centrifugal compressor and the 1.5 stage ETH turbine. The first three test cases are validated using the results obtained by the NUTSCFD solver, where the ETH turbine is validated using CFX and Numeca. Following these test cases, SU2's performance is analysed using the residual behaviour. Xu et al. dedicate their performance increase to be the result of the Newton-Krylov method that is implemented in their NUTSCFD solver. SU2 also includes a Newton-Krylov solver, but its performance with respect to turbomachinery is also unknown. A performance assessment with respect to SU2's Newton-Krylov method involving turbomachinery analysis is therefore conducted as well.
The results obtained using the NACA 0012 and LS89 test cases show a discrepancy in solver performance involving SU2. With respect to SU2's Newton-Krylov solver, the NACA 0012 test case shows a reduction in non-linear iterations where this is not found for the LS89 test case. Both results showed however a large difference in performance when compared to the NUTSCFD solver, where SU2's standard solver was also unable to match NUTSCFD. The results obtained following these test cases have led to the development of the MTU test case, where the MTU test case was to be used in order to provide a more accurate comparison between SU2 and NUTSCFD. Instead, SU2 was unable to run the MTU test case, where the solver showed stalling behaviour...","TURBOMACHINERY; NUMERICAL STUDY; SU2","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:072732ff-d91b-4c14-a903-94a35f430e08","http://resolver.tudelft.nl/uuid:072732ff-d91b-4c14-a903-94a35f430e08","Product-ProtoNet: A simple architecture for classifying supermarket products, using just a few example images","Dekker, Rick (TU Delft Mechanical, Maritime and Materials Engineering)","Wisse, M. (mentor); Caesar, Holger (graduation committee); Sabzevari, R. (graduation committee); Delft University of Technology (degree granting institution)","2024","Airlab, a collaboration between TU Delft and Ahold Delhaize, is developing Albert, a robot tailored to work in a complex supermarket environment. Key to Albert is a product detection and classification module that tells it what products to grasp and where they are located in a shelf. Albert’s existing YOLO‑based product detector a significant issue: Adding new products without re‑training the whole model is impossible. Especially in a dynamic supermarket environment with an ever‑changing stock, the latter is a major issue.
This problem will be the main focus of this paper and is addressed through few‑shot learning, which predicts similarity between query and target products. This simplifies adding new products to just supplying new target images. Few‑shot learning also requires significantly less data to train on. In supermarkets with 300.000 different products, requiring only a few images per product is a major advantage. For this reason, this paper aims to deploy a few‑shot model to classify products as either the target class or non‑target class for Albert’s picking task and defines the following research question: “What few‑shot classifier can identify products in a supermarket environment, is able to detect non‑target classes, and meets the requirements of deployment on a robotic platform like Albert best?“
This paper first analyses the potential of using TRIDENT and P>M>F, two state‑of‑the‑art few‑shot models, for deployment on Albert, and evaluates them on the requirements of this paper. P>M>F performs better on all requirements, which makes it the preferred model for Albert. However to work well, it still requires adjustments. Its inference time is still too high to work on Albert and it cannot classify query images as not the target product.
For this reason, this paper uses P>M>F’s two key ideas to construct Product‑ProtoNet, a new Albert‑suitable few‑shot model: 1) Using a good pre‑trained feature extractor; and 2) Comparing query images to a set of classes and matching only to the likeliest. P>M>F uses a ProtoNet model for classification that essentially does this; Like ProtoNet, Product‑ProtoNet constructs class prototypes from one or multiple examples of class images. Product‑ProtoNet then uses a sigmoid classifier to predict if query images have the same class as those prototypes. It compares query images to a set of similar class prototypes(helper prototypes) and classifies it as the likeliest. Product‑ProtoNet uses a ViT pre‑trained with DINO to extract image features. To bring down inference time, Product‑ProtoNet computes product prototypes before deployment.
With an accuracy of 99.1% on product classes seen during training and 99.8% on novel classes in a realistic supermarket setting, a low inference time of 2.89 ms and a memory usage lower than 4GB, Product‑ProtoNet is the only model that passes all requirements of this paper. When deployed on Albert Product‑ProtoNet successfully guides Albert to the right product in 97% of attempts. This makes Product‑ProtoNet the only few‑shot classifier that can identify products in a supermarket environment, is able to detect non‑target classes, and meets the requirements of deployment on a robotic platform like Albert.
This luxurious feeling is especially present when consuming the drink, served with beautiful latte art, in a café. At home, this luxurious feeling is far from achieved by many people. Most often, the coffee drinks with milk are served with thick, airy and dull foam. An affordable product that can reproduce café quality milk from the comfort of your home currently not offered.
The need for such a product was identified during the master elective Build Your Start-up at the Delft University of Technology. This thesis aims to develop a working prototype of stand-alone milk steamer for coffee enthusiasts that allows them to create café quality milk foam at home. The results of this thesis will serve as a starting point for the further development to one day be used by many people around the globe.
During this project, the target group for such a product was formed and product wishes were identified through user research, market analysis and expert interviews. These wishes were combined to identify the required theoretical knowledge about milk foaming and foaming technologies for the further development of prototypes.
Fluid and thermodynamics models were created to aid the identification of promising technologies. Three heating technologies were identified that could showed promise for the creation of a stand-alone milk steamer. These technologies were evaluated using physical prototypes. Eventually, custom nozzles were designed to complete the prototypes. These prototypes were evaluated with experts and deemed very capable of reproducing café quality milk foam.
The final prototype that is revealed during the final presentation will serve as a prototype that serves multiple purposes. It serves as a technology demonstrator which can be further developed. Furthermore, it can be used as a tool to gather funds for the further development of the concept. All with the goal of eventually manufacturing and shipping a product to many customers.
The project approach was implemented using a context-driven design approach, consisting of Research & Analysis, Ideation, Conceptualisation and Evaluation. Literature research on the topic and its context is carried out, while further knowledge is gathered through contacts with sixteen Dutch and Kenyan medical professionals. In addition to individual interviews, two studies are conducted in the Dutch and Kenyan contexts. A six-week field trip to Kisumu, Kenya, during which ten medical professionals in three hospitals were visited, made it possible to ensure that the design truly fits its context. These medical professionals provided valuable input to the iterative design process and helped to shape the final concept design of the training system.
Further designing is enabled through prototyping, the use of decision-making methods and continuous iteration. This allowed new ideas to be generated, tested, and therefore new improvements to be made. Ultimately, new requirements could be identified, providing the opportunity to create even more valuable designs.
Future research should focus on further developing these designs, giving them an embodiment, and creating the possibility of actually implementing the training system in its intended context.
This study investigates user perceptions of individualized versus standard and random gait patterns, focusing on enjoyment, comfort, and naturalness. A predictive gait pattern model, incorporating individual data and walking speed, was developed and tested with human participants using a grounded robotic lower limb device. Participants compared the three gait pattern types and provided subjective feedback through a questionnaire.
Findings indicate no significant preference for any gait pattern in terms of enjoyment, comfort, and naturalness, except for physical strain where the predicted pattern caused significantly more strain than the standard. The analysis also revealed that longer engagement with the device led to increased comfort and naturalness, suggesting an adaptation effect. A general tendency towards preferring the standard pattern was noted, though further research is necessary to determine whether a larger sample size reveals significant differences. Additionally, the perception of different gait patterns and their effect on the rehabilitation outcome should be explored with stroke patients.","Robotic gait rehabilitation; Reference joint trajectories; Gait generation; Human factor experiment; Comfort","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:6de6f1c1-1b97-4e44-a277-5a3f14f1c662","http://resolver.tudelft.nl/uuid:6de6f1c1-1b97-4e44-a277-5a3f14f1c662","2 DoF surgical training eye phantom for cataract surgery in a low-cost setting","Shenoy, Rajath (TU Delft Mechanical, Maritime and Materials Engineering)","Dankelman, J. (mentor); Oosting, R.M. (mentor); Delft University of Technology (degree granting institution)","2024","The human eye is a very delicate yet highly intricate organ, and treatments such as cataract surgery call for meticulous precision. Ophthalmologists hone their skills over years of practice, which they initially acquired during their studies in medical schools. Basic skills such as globe fixation and capsulorhexis training have a very steep learning curve as they are fundamental, albeit very challenging from the get-go. There is a lack of training simulators that can combine both surgical techniques as effectively and economically as animal eye setups. In this respect, the present research work aims at designing a cataract surgery eye phantom for capsulorhexis and globe fixation, which can replicate the movement of the eyeball in the orbit coupled with the inherent passive stiffness. The project culminates in the design of a 2-degree-of-freedom anterior human eye phantom with anatomically similar features of the human eye needed for training the aforementioned surgical steps. A significant part of the prototype structure is 3D printed using Draft resin V2 on the Formlabs Form 3+ printer to create minute yet almost anatomically impeccable components. Mechanical analyses were performed to tune the passive stiffness of the compliant mechanisms, and materials such as PlatSil Gel-00, hydrogel, and eggshell membrane were chosen and utilized to replicate the interactions between the tools and various tissues in the human eye. Clinical evaluations were conducted by a surgeon performing capsulorhexis on the prototype in a wet lab environment and several validation tests by an academic trainer for the suitability and practicality of the prototype. In that context, the present research serves as the first step toward creating innovative designs for training phantoms in the field of eye surgery.","eye phantom; Ophthalmology; capsulorhexis; training; 3D printing; Compliant Mechanism; Cataract surgery","en","master thesis","","","","","","","","","","","","Mechanical Engineering | BioMechanical Design Engineering","",""
"uuid:bdefeac4-4bae-46f6-9f9a-6ae36b9da988","http://resolver.tudelft.nl/uuid:bdefeac4-4bae-46f6-9f9a-6ae36b9da988","Towards Circular Batteries: Investigating Particle-Size Based Separation of Active Materials from spent Li-ion batteries","van Rooijen, Abel (TU Delft Mechanical, Maritime and Materials Engineering)","Botto, L. (mentor); Delft University of Technology (degree granting institution)","2024","With the enormous growth of portable electronics and the market expansion of electric vehicles, the demand for lithium-ion batteries is increasing enormously. To meet this demand, efficient recovery of battery components becomes crucial. Graphite, the material of choice for lithium-ion battery anodes, faces significant supply risks as current recycling technologies primarily focus on recovering economically valuable metal components like cobalt and nickel. Therefore, the effective separation of graphite from lithium-ion batteries is essential for recycling and reusing anode materials. The key to the direct recycling of graphite is the separation of the finest material fractions of Li-ion batteries: the anode and cathode. This work tests a circular battery manufacturing principle based on the idea that the an ode and cathode could be designed to have a difference in particle size to allow easy separation by centrifugation.
We analysed the particle sizes of anode and cathode material obtained from a spent Li-ion battery. A shift in particle size distributions is observed by grinding the materials, significantly reducing the particle sizes. We calculated the velocity distributions using Stokes’ formula for the settling velocity of spherical particles in dilute suspensions from these size distributions. Combining the velocity distributions for the anode andcathode showed the overlap of the velocities. A combination of milled and unmilled material shows the smallest overlap between the velocity distributions and, therefore, the largest difference in sedimentation velocity and the highest theoretical separation.
We measured the sedimentation of anode and cathode particles in water optically using a light source. A camera tracks the moving front of the dilute suspensions over time. Experiments of different milled samples for various concentrations show insights into the anode and cathode sedimentation behaviour. Results show that increasing concentration significantly reduces sedimentation velocities for the anode material. Theseresults deviate from what would be expected from the hindered settling of dilute suspension. A significant velocity reduction is measured for the milled anode and cathode, therefore showing the potential for separation if the materials have a marked difference in size.
In this thesis, a novel method is developed for characterising the sediment structure of the mixed active materials. By freezing sedimented suspensions, sample layers are horizontally cut off to look for the spreading of the different material components through the sediment. A combination of characterisation methods offers information about the anode and cathode fractions through the sediment layers. Significant differences between the sediment’s top and bottom layers regarding morphology, elemental components and thermal stability are observed.
The results show the potential for circular batteries in the future, where centrifugation can play a vital role in separating the electrode materials if they have a marked size difference.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:59a8a498-fc17-467d-ab9f-bb2433101f67","http://resolver.tudelft.nl/uuid:59a8a498-fc17-467d-ab9f-bb2433101f67","Aerostructural Assessment Approach of a Transonic Morphing Wing in 3DExperience","Middendorp, Lizzy (TU Delft Aerospace Engineering)","De Breuker, R. (mentor); Peeters, D.M.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","The implementation of morphing wings technology has the potential to significantly improve aircraft performance. However, due to the complex interplay between aerodynamics and structural integrity, it requires an aerostructural analysis. 3DExperience by Dassault Systèmes is a Computer-Aided Design (CAD) - based software with integrated structural and aerodynamic analysis tools. This software was employed to establish a methodology for conducting one-way aerostructural analysis of morphing trailing edge wings on a transonic transport aircraft. The methodology highlights the benefits of CAD-based modelling and the coupling between the aerodynamic and structural models. Nevertheless, the aerodynamic analysis results were affected by a node limit and the meshing options. Finally, a high-lift and an aerodynamically efficient test case were utilised to compare the impact of the trailing edge morphing to that of an unmorphed wing.","CFD; FEA Simulation; aeroelastic","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Flight Performance and Propulsion","",""
"uuid:53f55ed8-2cf3-4625-9071-28a3185029ee","http://resolver.tudelft.nl/uuid:53f55ed8-2cf3-4625-9071-28a3185029ee","Enhancement of Aeroacoustic Testing: Applied to closed-section wind tunnels","Hinssen, Yara (TU Delft Aerospace Engineering)","Simons, D.G. (mentor); Merino Martinez, R. (mentor); Delft University of Technology (degree granting institution)","2024","Aeroacoustic testing in wind tunnels is crucial for understanding and mitigating the noise generation mechanisms in several devices while maintaining satisfactory aerodynamic performance in the conceptual design stage. However, current measurements in closed-section wind tunnels face challenges in terms of installation, due to the effect of the boundary layer of the wind tunnel walls, and accuracy. To address these issues, the proposed methodology integrates advanced signal processing techniques and cost-effective and limited alterations in a closed-section wind tunnel. Different configurations, such as a perforated panel, a perforated panel with melamine foam rings, and the addition of melamine foam panels behind the array and inside the wind tunnel combined with the use of a microphone array consisting of 88 microphones, recessed behind an acoustically transparent stainless steel mesh, has led to significant improvements in signal-to-noise ratio and measurement accuracy compared to the baseline aeroacoustic testing. In general, this setup enables the identification of noise sources with a signal-to-noise ratio of at least -10 dB. Additionally, the utilisation of advanced beamforming techniques (CLEAN-SC and DAMAS) in post-processing yields clearer outcomes. Finally, the effectiveness of the set-up was evaluated, resulting in an approximate 15 dB improvement in peak prominence of the flow-induced noise source due to the higher number of microphones and beamforming.
‘What is the value of physical distance for Dutch tourists in the choice of their summer holiday destination?’
For this study, a stated preference survey was created to collect data for addressing the research question, resulting in a sample of 254 Dutch tourists. To understand if distance holds intrinsic value, once controlling for travel time and for travel cost, and to investigate if the value of distance would change when travel time and cost are considered, two versions of a stated choice experiment are included in the survey. The respondents are randomly assigned to one of the choice experiments, resulting in half of the respondents completing one version while the other half completing the other.
Since people prefer different types of holidays, including all attractiveness attributes in choice sets may lead to many immediate ’no-go’ options. Therefore, the survey started with an additional experiment for all respondents. In this experiment, the respondents rated the attractiveness of different holiday destinations on a 10-point rating scale. A regression model was estimated based on the observed ratings. This gives an indication of the attractiveness of holiday destinations.
To investigate the intrinsic value of distance and if the value of distance changes considering travel time and cost, the results of the two versions of the choice experiments are estimated with a Multinomial Logit model. When comparing the results of both versions it was found that in version Choice experiment presenting all attributes a very small positive value exists, so small that it can be negligible. This suggests that once controlling for travel time and costs, there is no intrinsic value in distance. This was unexpected as it was expected that further distances would have a positive influence on the utility...","Distance; Tourism travel behaviour; Stated Choice Experiment","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:b3b976ed-4bcb-4adf-bcbc-82feeee42ba9","http://resolver.tudelft.nl/uuid:b3b976ed-4bcb-4adf-bcbc-82feeee42ba9","Numerical Analysis of Aerodynamic Cooling Ducts for Fuel-cell Powered Aircraft","Gudaal, Sakariye (TU Delft Aerospace Engineering)","Baars, W.J. (mentor); Kotsonis, M. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis project undertakes a comprehensive numerical analysis of aerodynamic cooling ducts in the context of fuel-cell powered aircraft, aiming to enhance the efficiency and performance of these innovative propulsion systems. The use of fuel cells in aviation presents a paradigm shift towards sustainable and environmentally friendly air travel. However, the integration of fuel cells introduces new challenges, particularly in managing the excess heat generated during operation. Aerodynamic cooling ducts play a crucial role in dissipating this heat while minimising aerodynamic drag.
The numerical analysis involves the application of aerodynamics, thermal management and performance techniques to model and simulate the complex airflow within the cooling ducts. Parameters such as duct geometry, airflow properties, and heat transfer rates are systematically investigated to optimise the cooling process. The study also explores the interaction between the cooling ducts and the overall performance of the aircraft, considering the impact on drag and fuel efficiency.
This thesis uses an innovative approach to further enhance type annotation coverage in Python codebases by using a combination of machine learning predictions and combinatorial search. To do this, PyHintSearch was developed. PyHintSearch constructs a search tree to which a depth-first search is applied to systematically explore potential combinations of predicted type annotations and validate them using feedback from the Pyright static type checker. Ultimately, the goal is to identify a branch containing a valid combination of type annotations. These annotations can then be integrated into Python code, thereby enhancing the type annotation coverage, which leads to improved static analysis and ultimately better code quality.
PyHintSearch's effectiveness is evaluated based on type annotation coverage and correctness, performance, and practical usability. Experimental results demonstrate different improvements in type annotation coverage, depending on the machine learning model used for type inference. Type4Py showed an improvement of 62.45% and TypeT5 of 79.93%. The precision of type annotations from these models are 0.36 and 0.51, respectively. Performance-wise, PyHintSearch can efficiently explore the exponential search space, annotating 16 diverse projects, ranging from small to large, in approximately 13.75 hours when using the Type4Py model. Regarding practical usability, the impact of type annotations on downstream program analysis is examined through the generation of call graphs. The additional information that type annotations provide can be used to refine the call graph by eliminating irrelevant calls to make it more precise.","validated combinatorial search; probabilistic type inference; static analysis; type annotations; python; call graphs; machine learning type inference","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:8e32a52c-0019-42dc-962e-912377543df9","http://resolver.tudelft.nl/uuid:8e32a52c-0019-42dc-962e-912377543df9","Hybrid land/pontoon crane: Development of a removable connection system","Bajic, Tomi (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Marine and Transport Technology)","Jiang, X. (mentor); Schott, D.L. (graduation committee); Wijesinghe, C.S. (graduation committee); Pirija, Marko (mentor); Delft University of Technology (degree granting institution)","2024","An unfamiliar subject to the contemporary connecting options for cranes on pontoons is removability; Various difficulties and obstructions related to the heavy-duty nature of the equipment lead to a limited amount of practiced conventional connecting options for this purpose, which all have the absence of removability in common. Furthermore, the consideration of various types of efficiencies and performance aspects has not been common practice within this field. The aim of developing a removable and efficient crane-to-pontoon connection system is therefore set.
An initial literature research is performed in order to obtain a variety of connecting options which have the potential of forming the basis of a new removable connection system, optimized for crane-to-pontoon configurations. Subsequently, creating a unique rating system, specifically for crane-to-pontoon connection systems, led to a substantiated selection process for the most feasible option among the potential connecting options. The turnbuckle option obtained the highest ranking and was therefore selected to proceed the design process with.
Developing the turnbuckle option into a complete connection system and accomplishing all defined aims led to an encounter with various engineering challenges. An integral design process led to the discovery of a proficient combination of components, which overcome the challenges and provide satisfaction with respect to the aims of the project.
The parameters of the developed conceptual design are finally quantified in order to prove feasibility and efficiency. Applicable design parameters are found which pass the safety requirements, while minimizing the material consumption. With these parameters, the removable design is compared to conventional real case connection systems in terms of cost-efficiency, which resulted in the observation that multiple millions of euros in long-term savings are anticipated per deployed crane due to the removability feature.
Approximately 9 in 1000 children are born with congenital heart disease (CHD), of whom a quarter are classified as critical CHD (CCHD) and require an intervention within their first year. Monitoring these patients in the Paediatric Intensive Care Unit (PICU) is crucial, yet with increasing amounts of data, detecting subtle changes that are important for the disease progression interpretation of all vital signs becomes difficult, even for skilled physicians. Machine learning (ML) offers potential solutions, however, challenges such as inter-patient variability and the absence of clear definitions for haemodynamic instability persist. This study aims to develop a ML algorithm for early prediction of haemodynamic instability in CCHD patients with high frequency vital-signs, addressing these challenges through objective labelling methods and stratification approaches.
Methods
Two approaches, on population and patient level, were developed with nested cross-validation (CV). Due to a high inter-patient variability, the patient specific approach was added. A first iteration of objectively labelling haemodynamic instability was proposed, based on medical interventions such as medication administration and fluid therapy. Since it is difficult to retrospectively determine for how long patients were unstable, multiple values for instability duration (dT ) were added to the analysis.
To capture the temporal dependency of time-series data, lag-analysis was performed, adding the relation between the vital signs and their previous values to the model development. Lag-analysis included a sliding window that moved over the data. The width of sliding window (W ) was optimised during the model development. Additionally, a horizon (r ) was implemented, so the data within the sliding window were predicting future timestamps.
Results
This retrospective study included a total of 224 admissions in the analysis. Two random forest classifiers were trained using a nested CV structure to detect haemodynamic instability in CCHD patients. For both approaches the same temporal settings (W : 50 minutes, r : 45 minutes, dT: 120 minutes) were used. This study has shown that the between-patient approach had notable differences between the mean train (85%, AUCPR) and test performance (40%, AUCPR). The in-patient approach, while using 20% and 10% of the test data for training, still yielded a test performance of 96% (AUCPR) and 90% (AUCPR), respectively.
Discussion and conclusion
Generally speaking, the experiments suggest that the first iterations of the models were not robust and generalised poorly. It is most likely caused by a large inter-patient variability and a simple labelling system that is still depending on subjectivity.
This study has shown that the proposed prediction model, which combines high frequency vital signs, labels, and temporal settings (W, r, dT ), requires additional refinement before it can be considered clinically feasible to implement this model as a reliable bedside tool for predicting haemodynamic instability.
To adequately respond to reported crimes, police officers in the control room and units on the street rely on intuition, experience, and habit. This leads to the development of individual-specific strategies for handling interception scenarios. Reducing the dependency on these individualized approaches by identifying proven and robust strategies could increase the likelihood of successfully capturing a suspect. However, how the police currently save data on fugitive interception scenarios does not allow for such identification. Hence, alternative approaches to overcome this limitation must be found. Therefore, this paper explores whether simulation and game theoretic analysis are suitable methods for determining robust interception strategies for the police, aiming to increase the catch rate in fugitive interception scenarios.
Classical game theory is the mathematical theory of interactions among rational decision-makers with opposing interests. It offers valuable insights into the decision-making processes, compromises, and strategies the police and offenders may employ in real-world situations. To analyze the fugitive interception scenario with game theory, it is first simulated with an agent-based model. In this simulation model, the police and offender are individual agents with opposing interests and individual decision-making processes. Before modeling, research is conducted to find the current strategies that the police and offenders can potentially adopt.
Given the limited availability of data on fugitive interception scenarios, literature and expert interviews serve as sources for data collection. They provide insights into the behavior and strategies of both agents. Both sources emphasize the nature of the crime as a primary indicator of the offender's escape behavior. Large crimes, such as assassinations or armed robberies, are typically well-planned and characterized by predefined escape routes and rational behavior. During their escape, offenders of large crimes are found to be less susceptible to external factors such as crowd flows or police sightings. On the other hand, smaller crimes are more frequently committed spontaneously and associated with bounded rational behavior. This is depicted by their chaotic and unpredictable escape routes while taking many turns.
For the game theoretic analysis, the results of the simulation model are analyzed. The fugitive interception project is regarded as a non-cooperative zero-sum game. The results are presented in a payoff table, in which Nash equilibria are calculated. Nash equilibria are the points at which no player can single-handedly improve their outcome when the other player does not change strategy.
The pure-strategy Nash equilibrium resulted from the offender strategy where they started at a central metro station and aimed to transfer to a train network. These routes were frequently identified as the shortest compared to other end goals. Conversely, strategies that focused on getting as far away as possible, as quickly as possible, were found to be the least successful.
In determining the success of the police strategy, two factors were found to be crucial. Firstly, strategies where the police conducted surveillance on the metro platforms, as opposed to the station exits, proved significantly more effective. This highlights the importance for the police to strategically position themselves where the offender is most likely to pass, irrespective of assuming it to be the offender's final destination. Secondly, the police's response time served as an indicator for capture success. The quicker the crime is reported, the faster the police can take action to capture the offender, which increases capture chances.
Additionally to the game theoretic analysis, the relationship between the model’s output and its sensitivity to changes in input variables is tested. Results showed that variations in input did not lead to significant changes in output. This can be attributed to the deep uncertainty of this model. To address this challenge, the model must be refined, and done with more iterations.
In conclusion, by combining simulation and game theory new insights can be found beyond what either method can provide individually. By modeling the dynamic nature of a fugitive interception scenario, the success of the offender and police behaviour can be found. This can help the police during decision-making to adopt more robust strategies while considering the dynamic nature of the environment and strategic interactions with the offender.
The study addresses the knowledge gap by simulating offender and police behavior, and analyzing the result with classical game theory. This study has created a simulation model with an intuitively driven agent in a complex dynamic problem. Potential improvements in offender capture chances, with findings informing effective and unbiased police interception strategies. The study aims to contribute to crime reduction and foster increased trust in the Dutch national police. However, before generalizing the results future research must be done to overcome limitations resulting from the simplifications of this simulation model.","","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:e0b81afd-0a66-47da-8ff3-f51345d1fc12","http://resolver.tudelft.nl/uuid:e0b81afd-0a66-47da-8ff3-f51345d1fc12","Schematization uncertainties in the macrostability safety assessment: Case study for primary dikes in the Alblasserwaard","Naaktgeboren, Mariska (TU Delft Civil Engineering & Geosciences)","van den Eijnden, A.P. (mentor); Lanzafame, R.C. (mentor); Kapinga, Sander (mentor); Arends, Martin (mentor); Delft University of Technology (degree granting institution)","2024","The current macrostability safety assessment for primary river dike trajectories in the Netherlands is applied to approach the failure probability of a dike during high water events. However, in the current schematization process that is described in the Wettelijk Beoordelings Instrumentarium (WBI) to assess the macrostability, aleatory and epistemic uncertainties are approached ’sufficiently safe’ by applying design values based on expert judgement via a semi-probabilistic assessment. Several primary river dike sections in the Alblasserwaard do not suffice the current safety standard set for the failure mechanism macrostability. The region is composed of a highly complex subsurface with large spatial variation, resulting in large schematization uncertainties for the macrostability assessment of the primary dike trajectories of the Alblasserwaard. With the recent development of full-probabilistic analysis possibilities in software such as D-Stability, it becomes possible to consider uncertainties as a stochastic variable in the macrostability safety assessment. Including schematization uncertainties within the macrostability safety assessment will improve the approximation of the failure probability of the primary dike trajectory. The largest schematization uncertainties in the macrostability safety assessment are currently considered to be the schematization of the subsurface in a vertical soil profile and the uncertainties in the schematization process of the pore water pressures in the dike during high water events. These uncertainties will be included in the calculation process to investigate the influence on the expected reliability of the primary dikes in the Alblasserwaard region. The subsurface schematization uncertainties are investigated by using soil scenarios to investigate the influence of local subsurface schematization in the vertical soil profile. The simplification of the soil profile and position of the soil layers is considered. The pore water pressures are separated into three components: the hydraulic head in the aquifer, the intrusion length, and the phreatic line. Each component will be included as a stochastic variable in the stability analysis. Fragility curves can be applied to describe the distribution function for each pore water pressure component, where the combined fragility curve will provide the combined failure probability and reliability index that includes the schematization uncertainty of the pore water pressures considered.
The soil scenarios can be applied to include schematization uncertainties of the subsurface in the macrostability safety assessment. The analysis showed that the simplification of the subsurface schematization only has a minor influence on the reliability index and failure probability of the case study dike cross-section Kortenhoevendijk. The schematization uncertainties of the pore water pressures can be considered in the macrostability safety assessment by combining the fragility curves of each component describing the pore water pressures underneath the dike. Results of the pore water pressure analysis are that failure probability is improved significantly for case study Kortenhoevendijk by a factor 1000 and case study Bergstoep by a factor 10. The approach to consider schematization uncertainties in the macrostability safety assessment via a full-probabilistic analysis can be used for dike sections prone to the uplift mechanism. This approach provides insight into the influence of schematization uncertainties on the failure probability of a dike cross-section. Including the pore water pressure schematization uncertainties in the macrostability safety assessment can have a significant impact on the outcome of the assessment. Including these uncertainties can make the difference between deciding whether a dike trajectory needs reinforcement, or deciding that reinforcement is not necessary.","Macrostability; Dike reliability; Flood Defence; Flood management; Netherlands; Primary Flood Defenses","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:e3196d4d-1ed1-4be7-b791-7a9cd471d576","http://resolver.tudelft.nl/uuid:e3196d4d-1ed1-4be7-b791-7a9cd471d576","Creating a continuous outbound flow at the flower auction: A case study at Royal FloraHolland Naaldwijk","Gerritsen, Anouk (TU Delft Civil Engineering & Geosciences)","Vleugel, J (mentor); Duinkerken, M.B. (mentor); Negenborn, R.R. (mentor); Abels, Ingrid (graduation committee); Binneveld, Oscar (graduation committee); Delft University of Technology (degree granting institution)","2024","This paper investigates how two sequential sub-processes at a flower auction can be well aligned to efficiently execute the overall auction process. Existing literature mainly focuses on warehouses without perishable goods and warehouses where all orders are known before the outbound process is started. However, at a flower auction, the gathering of goods and distribution takes place while the auction is still ongoing. In addition, flowers are vulnerable goods that must be handled with care.
During a case study at Royal FloraHolland Naaldwijk, the current process of order picking and in-house delivery is investigated to find the main strengths and bottlenecks. This is done physically and with data. From this analysis,
it has been found that the main issues are the spread and share of waiting times in the in-house delivery process and the output of the order-picking process that is too low. To improve the overall process based on the found issues, a calculation model has been built in Python to test possible improvements. It has been found that implementing limited waiting times and other alterations to increase efficiency results in a more reliable and better predictable
process that can be executed with approximately the same number of work hours or slightly more than in the current situation.","Auction; Outbound flow; Order picking; In-house delivery","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","","51.998374, 4.229874"
"uuid:bc872e8f-61e9-43ac-b891-78d35497194c","http://resolver.tudelft.nl/uuid:bc872e8f-61e9-43ac-b891-78d35497194c","Operational limits of 2XL monopile installation: A comparative analysis of side and stern installation","Elzinga, Anke Marij (TU Delft Mechanical, Maritime and Materials Engineering)","Gelling, J.L. (mentor); Kana, A.A. (graduation committee); Stroo, J.D. (graduation committee); Delft University of Technology (degree granting institution)","2024","The offshore wind energy sector is rapidly growing with an increase in the capacity of offshore wind turbines, resulting in increasing dimensions of offshore wind turbines and their foundations. Additionally, there is a tendency to locate offshore wind farms more offshore because of more consistent wind speeds and more space. Sites with a water depth less than 70 metres are suitable for fixed-bottom foundations. A commonly applied fixed-bottom foundation is the monopile due their simplicity, ease of installation, and relatively low costs. Over the past years, the diameter of the monopile increased from an average of 5 metres tot 10-12 metres. These ever-growing monopiles need to be installed from floating vessels, such as heavy lift crane vessels, which are not dependent on water depth, instead of jack-up vessels. During installation, heavy lift crane vessels form a multi-body system with the crane and monopile and pile gripper ring, inducing coupled dynamic behaviour, resulting in resonance behaviour.
Currently, monopiles are installed at the side of a heavy lift crane vessel. However, a new technology is in development to install monopiles in a recess at the stern of the vessel. The upending and lowering of stage of monopile installation are considered as critical events and it is obligatory to assess the operational limits of these stages during the planning phase. The purpose of this thesis is to compare side and stern installation of 2XL monopiles from a heavy lift crane vessel during the upending and lowering phase in terms of operational limits. Therefore, a case study is performed, using Hornsea Offshore Wind Farm on the North Sea as a reference project. Time-domain simulations are conducted in OrcaFlex for various significant wave heights and spectral peak periods for both side and stern installation. The operability of the mission equipment is assessed, resulting in a comparison for side and stern installation. It is found that during upending stage, stern installation provides a larger operability window compared to side installation. During the lowering stage, side and stern installation have a comparable operability window. In conclusion, stern installation shows promising results to further develop this installation method.","","en","master thesis","","","","","","","","","","","","Marine Technology | Ship Design","",""
"uuid:a338e143-50ee-4954-afac-73f8f049d581","http://resolver.tudelft.nl/uuid:a338e143-50ee-4954-afac-73f8f049d581","Visual quantification of motor function during awake brain surgery: Towards a Neuro Research Operating Room","Gommers, Emma (TU Delft Mechanical, Maritime and Materials Engineering)","Kruizinga, P. (mentor); Mugge, W. (graduation committee); Satoer, D. (Djaina) (mentor); Vincent, A.J.P.E. (Arnaud) (mentor); Delft University of Technology (degree granting institution)","2024","During a brain tumour resection, a neurosurgeon is constantly navigating a delicate balance between resecting as much of the tumour as possible, while avoiding any damage to healthy brain tissue. This challenge is particularly difficult when the tumour is located in a critical functional area, involved in for example language or motor function. For these types of tumours, the awake craniotomy was developed. During this surgery the patient wakes up to perform language and motor tasks, to enable the surgeon to localize these functions inside the brain. In this thesis, we investigate and develop a new quantitative method to monitoring motor function that could potentially improve intraoperative decision making and enables neuroscientific and neurosurgical research.
Chapter 1 provides a background about surgical strategies and technologies that have been developed to aid surgeons’ decisions during complex brain tumour resections. We will explain the complexity of robust research in the neurosurgical environment and the need for a dedicated Research Operating Room to create an environment to improve neurosurgical and neuroscientific research.
In Chapter 2 we make an overview of the possible solutions to quantify motor function before, during and after awake craniotomies and discuss the best solution for the Erasmus MC.
In Chapter 3 we present a new frame to create a standardized environment inside the operating room for good quality data collection of patient functionality. To design this frame, we identified and interviewed all the important stakeholders and designed three prototypes. The two most promising prototypes were developed. The final prototype was implemented during three awake craniotomies.
This newly developed frame was used in Chapter 4 to explore video tracking as a new tool to quantify hand motor function. Three patients were followed one day prior to the surgery, during the awake craniotomy, and one day postoperatively. During these three cases, we identified several prerequisites for a reliable recording set-up and explored the potential to detect clinically relevant events during fingertapping and direct electrical stimulation (DES). This showed promising results and underscores the potential for video tracking to be further investigated for quantification of hand motor function.
In Chapter 5 we put the discussed work into context, discussing it’s clinical and scientific relevance and future perspectives. In this thesis, we have demonstrated that it is possible to implement a new quantitative measurement method to monitor hand function in the challenging environment of an operating room. Quantification of visual observations has shown to be low-cost, easily available and implementable in clinical context, because of the fast technological advancements in this field. Video tracking can be used for future research to investigate the relation between intraoperative findings and long-term outcomes, and has the potential to add valuable information for neurosurgical and neuroscientific research.
The waste stream of EOL steel scrap is heterogeneous and contaminated, complicating existing recycling routes used for industrial scrap. A way to combat the contamination is to apply heat treatment to the EOL scrap. This study investigates the implications of heat treatment on EOL tinplate, a tin coated steel product used for packaging.
Tin is considered a tramp element in a steel melt, thus the coating must be removed before recycling. Heat treating tinplate causes the tin coating to form an intermetallic alloy with the steel substrate, as a result tin atoms become more difficult to separate from the steel scrap product. The reduction/oxidation behaviour of the two most prevalent tin-iron intermetallic alloys, FeSn2 and FeSn, has been mapped through Cyclic Voltammetry(CV) in both an alkaline and acidic electrolyte. Pure tin and the steel substrate of tinplate scrap were similarly investigated to compare all elements in the system. The goal is to achieve if selective separation and recovery of tin. Further analysis of the anodic dissolution through Chronoamperometry(CA) analysis allow insight in how the current response over time. Based on the CA results, a detinning process is tested over trials of new industrial scrap and EOL municipal scrap. The process has a high detinning rate for new scrap, while for EOL scrap the detinning is hindered by a presence of paint or lacquer. Trials on heat treated EOL scrap showed a small reduction of the negative impact of lacquers and paint. At the same time it was observed that most of the free tin transformed into FeSn2.
The data from Cyclic Voltammetry, Chronoamperometry and detinning trials were used to propose a possible detinning line design for heat treated EOL tinplate scrap.
This thesis implements a model-free, feedforward controller based on wave preview for the above-rated region of a FOWT. The controller uses a preview of wave forces acting on the floating platform and aims for simultaneous rotor speed regulation and platform motion reduction using collective blade pitch control. As a model-free approach, a modified Data-enabled Predictive Control formulation that considers past and future information about measurable disturbances is proposed. The controller is implemented with a linear model of the NREL 5-MW wind turbine installed on the OC3-Hywind spar-buoy platform and tested in several cases. The effectiveness of the wave feedforward data-driven controller is evaluated in a high-fidelity environment using the QBlade simulator. A decrease in rotor speed variance of 67% and platform pitching motions of 71% is obtained, at the cost of a 7-fold increase in blade pitching effort compared to the baseline controller. In turbulent wind conditions, the wind proved to be the dominant disturbance, and including both wind and wave previews in the controller is recommended. This work demonstrated the feasibility of a model-free feedforward control strategy for wave effect mitigation in FOWTs. Further efforts are required to adapt this strategy to closed-loop operation and to validate its effectiveness across the entirety of the above-rated region.
A design framework is proposed to construct digital models to support a DT for retrofitting purpose. The proposed framework is tested on a case-study using a 300-meter bulk carrier. Since January 2019, operational ship data is collected through BDNs, a mandatory data collection method for ships of 5000 GT and above, adopted by the IMO. Constructing a DT based on BDNs is considered to be convenient as it provides a solid source of operational data in the future.
First, the available data from the BDNs is preprocessed using an adopted framework based on data science literature. The resulting 5,678 data points are used for the construction of a model representing the bulk carrier and a model representing the green ship technologies part. A fuel consumption model is constructed to represent the bulk carrier. It utilizes a gray-box modeling approach, consisting of a white-box resistance model and a black-box artificial neural network. Both models incorporate environmental-dependent inputs. The investigated green ship technologies for the potential retrofit are represented by various wind-assisted ship propulsion (WASP) systems, namely a towing kite, a DynaRig sail, and a Flettner rotor. These systems are modeled using a white-box modeling approach, together with available wind data. Using an adopted integration framework, based on the propeller-engine matching procedure, both representations are combined into one green ship digital model.
An environmental assessment is performed using the IMO's EEXI and CII assessment tools, respectively evaluating the design and operational aspects of the potential retrofit. Additionally, a financial assessment is conducted using the payback period. Results showed the design implications and emissions reduction potential of implementing such systems which will guide the retrofit decision by the ship's owner.
This thesis examines the role of co-creation in local energy cooperatives and highlights its importance in promoting sustainable energy practices and empowering citizens. It focuses on investigating co-creation within the energy cooperatives of the Metropolitan Region Rotterdam The Hague (MRDH) and connects theoretical concepts with practical applications in energy transition. The central research question is: ”In what ways does co-creation manifest within local energy cooperatives in the Metropolitan Region Rotterdam The Hague?”
A literature review was conducted using the PRISMA method and snowball technique to understand the academic perspectives of co-creation, especially in energy transition. This helped identify the scope of the thesis and gaps in current academic knowledge. The thesis contains two theoretical frameworks. The first, from Puerari et al. (2018), examines the dynamics of co-creation in local communities and identifies five key elements: intended purpose, process type, ownership, motivations and incentives, and spaces and places. This research applied these elements to understand co-creation in MRDH’s local energy cooperatives through qualitative case study analysis. The analysis reveals the cooperatives’ commitment to fossil-free energy and their encouragement of citizen participation through a mix of formal and informal methods, addressing different motivations and adopting a shared ownership model to promote community involvement.
The second framework consists of six criteria that define co-creation activities. These criteria are developed in this study, and derived from academic literature. These criteria include shared goals, active participation, equality and inclusiveness, iterative processes, value creation and mutual learning. Subsequently, the study used these criteria to evaluate the activities of energy cooperatives and assess their alignment with the concept of co-creation. Through interviews and observations, four key cooperative activities were identified: advisory services, information generation, renewable energy production and stakeholder engagement. While these activities meet the criteria for co-creation, there are opportunities for improvement in almost all areas of co-creation to fully realise the potential of these activities.
The findings suggest that cooperatives should organise regular stakeholder discussions, introduce paid functions, make more effective use of physical spaces, promote diversity and develop digital platforms for knowledge sharing. Policymakers can support these cooperatives by recognising their contributions, encouraging professional development and encouraging flexibility and innovation. Future research recommendations address the limitations of this study such as regional focus, time constraints and possible subjectivity of the qualitative method. Suggestions include expanding the geographical scope, involving a wider range of stakeholders, using mixed methods and testing the recommended strategies in different contexts.
In summary, this research is an important step in understanding co-creation manifestation within local energy cooperatives. It suggests strategies through which these cooperatives, in collaboration with policymakers, can effectively contribute to environmental sustainability and climate change mitigation.
Traditionally, higher education has focused on specialized knowledge, making it challenging for individuals to explore diverse fields beyond their expertise. However, modern technologies have democratized access to information, enabling non-experts to engage with complex topics.
Quantum computing, grounded in the principles of quantum mechanics, is notoriously complex and has historically been accessible only to a select few. This exclusivity presents a challenge for those outside the field who wish to explore the possibilities of quantum technologies.
Recognizing this gap, the potential of ChatGPT to make quantum computing more approachable and understandable to non-experts can be explored. This exploration is not just about enhancing the functionality of ChatGPT but about envisioning a future where quantum computing is accessible to all, transforming the landscape of computation and innovation.
This research serves as an initial validation of the potential for large language models to act as an accessibility layer to quantum computing, having as a design tool the newly created Quantum Buddy 2.0, a custom GPT-4, fine-tuned through iterative feedback and testing.
A key part of the study is the development of a set of tasks designed to serve as benchmarks for evaluating the performance of large language models. Among these, one task was designed to enable those without a background in quantum mechanics to solve quantum encryption problems. Another task involved a test comparing Quantum Buddy in its previous versions with the standard model of ChatGPT. This comparison not only highlights the capabilities of these models but also provides insight for future evaluations. By utilizing these models, non-expert participants can now design and create with quantum computing, suggesting a future where quantum development moves forward rapidly.
The research thus demonstrates that ChatGPT significantly simplifies the process of programming quantum computers, facilitating learning and performance across a broad spectrum of abilities. It enables novices to engage in creative coding, allows coders to gain insights into quantum mechanics, and enhances the efficiency of quantum experts. This variety in application underscores ChatGPT’s role in democratizing access to quantum computing.
However, it is important to recognize that these findings represent the beginning of a much larger exploration. The evidence provided by the study points to a future where ChatGPT and similar large language models significantly enhance the non-expert's access to quantum computing. Despite the progress made, the journey is not without its challenges. The evolving capabilities of ChatGPT, along with the dynamic nature of programming languages and quantum computing itself, require ongoing adaptation and enhancement.
Overall, the research contributes to a foundational understanding of how large language models like ChatGPT can make quantum computing more accessible. This work lays the groundwork for further studies and developments, signaling a promising direction for the broader application and understanding of quantum computing technologies.","Quantum Computing; ChatGPT; Artificial Inteligence; Knowledge gap; accessibility","en","master thesis","","","","","","Quantum Buddy 2.0: https://chat.openai.com/g/g-HuukcppqT-quantum-buddy-2-0","","","","","","Design for Interaction","",""
"uuid:8ebbd0b5-5faa-4ebc-8697-f03d10317b9e","http://resolver.tudelft.nl/uuid:8ebbd0b5-5faa-4ebc-8697-f03d10317b9e","Energy transition at mixed business parks: A case study and guideline for consultants, government officials and entrepreneurs","Janissen, Jeroen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Quist, J.N. (mentor); Fremouw, M.A. (graduation committee); van den Dobbelsteen, A.A.J.F. (graduation committee); Knigge, Joris (graduation committee); Delft University of Technology (degree granting institution)","2024","Climate change poses a significant threat to global lifestyles, necessitating urgent action to reduce greenhouse gas emissions (GHGs). The Netherlands, committed to the Paris Climate Agreement, faces the challenge of meeting ambitious emission reduction targets set by the European Union's Fit for 55 initiative. However, the integration of renewable energy sources, particularly solar photovoltaic (PV) and wind, and the electrification of industrial processes, strain the electricity grid, leading to grid congestion.
This thesis addresses the pressing question of designing and implementing renewable energy configurations in mixed business parks to increase renewable electricity usage and reduce grid congestion. Through a comprehensive approach, stakeholders are engaged, and a novel simulation tool combining Excel, Python, and EnergyPLAN is developed to evaluate various configurations.
Nine criteria, spanning economic, technological, social, and environmental aspects, are used to assess different configurations. A configuration featuring 6 MWp rooftop solar PV in an East/West orientation and 15% demand flexibility emerges as the optimal solution, significantly reducing grid congestion while providing economic benefits. Wind energy configurations coupled with solar PV achieve notable CO2 reduction but face integration challenges and higher costs.
Implications of integrating solar PV and flexibility extend to economic benefits, adaptation requirements, and exemplar roles for park stakeholders, governments, and Distribution System Operators (DSOs). Recommendations are provided for stakeholders involved in the energy transition, emphasizing collaboration, investment, and capacity building.
Future research should focus on including other energy and material flows on mixed business parks; a broader evaluation of the value proposition beyond direct economic benefits for the users on the park; and an in-depth analysis of the electricity balance using a detailed representation of the grid infrastructure.","Energy Transition; Energy Symbiosis; Mixed Business Parks; Grid Congestion; Renewable Energy Sources; Demand Response; Storage Modelling; MCDM; Stakeholder Analysis; Stakeholder Engagement; Case Study","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","","52.93283,5.95107"
"uuid:d2edc481-9acd-41c3-877a-5ea0838d88c0","http://resolver.tudelft.nl/uuid:d2edc481-9acd-41c3-877a-5ea0838d88c0","An integrated modeling approach to provide flexibility and sustainability to the district heating system in South-Holland, the Netherlands","Colussi, Eva (TU Delft Technology, Policy and Management)","De Vries, Laurens (mentor); Okur, Ö. (graduation committee); Chauhan, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","In the European Union, heating and cooling account for 50% of the total energy consumption and half of the carbon emissions, as 75% of the energy is still supplied by fossil fuels. In the Netherlands, energy transition decision-makers are sailing in the dark in an attempt to realize the heat transition in high-density areas. How can market parties optimize the mix of heat supply technologies, while responding to policies and network requirements? To adequately manage renewable energy on a large scale, flexibility measures need to be in place across all components of the system, from generation to distribution, to supply and demand. This master thesis is part of the TU Delft research project ""DEMOSES"" and is done in collaboration with the energy company Eneco. The project aims to study to what extent the integration of heat storage and additional heat sources can enhance the flexibility and long-term sustainability of the South-Holland district heating network. This is done by developing and analyzing a district heating network model of South-Holland, and expanding the network with additional heat sources and heat storage. Additional heat sources lead to increased flexibility and sustainability, while additional heat storage primarily increases only the flexibility. Looking ahead to 2030, to realize the heat transition in South-Holland, many additional sources and storage should be added in the following years to realize a flexible, sustainable, and affordable district heating network. However, with the proposal of the Collective Heat System Act, the question is whether investments in additional heat sources and storage are attractive for energy companies when ownership of these assets in the following years cannot be guaranteed.","","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:1c209393-9f57-4857-9bcd-f75c4f725558","http://resolver.tudelft.nl/uuid:1c209393-9f57-4857-9bcd-f75c4f725558","On the Modelling of the Feeds for Integrated Lens Antennas","Tadolini, Cesare (TU Delft Electrical Engineering, Mathematics and Computer Science)","Neto, A. (mentor); Cavallo, D. (graduation committee); Spirito, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","The analysis of integrated front ends operated in the high-frequency regimes is addressed in this work. The analysis of these problems has been a critical bottleneck for decades due to the difficulties arising in adopting full-wave techniques. Assuming, as typical at lower frequencies, that the structures are planar leads to the inaccurate representation of some characteristic reactive behaviors. As a case in point, the characteristic impedance of transmission lines, whose thickness is comparable to the width, is not well represented by planar tools. Moreover, existing analytic formulas based on quasi-static approximations for the surrounding fields typically fail when the dynamic components of the fields are also affected by the stratifications. In this thesis, planar stratified media with transmission lines and radiators are considered to be part of the front end, with this latter being integrated (or in package) thanks to the systematic presence of a dielectric lens antenna.","Lens antennas; method of moments; Spectral Domain Methods; integrated antennas","en","master thesis","","","","","","","","2026-02-28","","","","Electrical Engineering","",""
"uuid:9f49b75a-d4f3-47ad-bf4a-4d75c2333ce6","http://resolver.tudelft.nl/uuid:9f49b75a-d4f3-47ad-bf4a-4d75c2333ce6","Design of a Water Capturing System for Thermal Extraction of Water on the Moon: In the context of In-Situ Resource Utilisation (ISRU)","Kalis, Chris (TU Delft Aerospace Engineering)","Menicucci, A. (mentor); Kiewiet, Luca (mentor); Delft University of Technology (degree granting institution)","2024","In-Situ Resource Utilisation (ISRU) is gaining prominence in space exploration due to its potential to reduce the number of costly launches from Earth. Among these resources, water holds significant importance for future space endeavours, thanks to its multiple applications e.g. as potable water, for harvesting crops, and as fuel after electrolysis to hydrogen and oxygen. While prior research has concentrated predominantly on the extraction of water from regolith, there has been a notable gap in exploring methods for capturing and liquefying the extracted water vapour. Therefore, initial concepts are presented and three experiments are executed. This resulted in a design proposal for the LUWEX experiment at the German Aerospace Center (DLR). LUWEX is an acronym for Validation of Lunar Water Extraction and Purification Technologies for In-Situ Propellant and Consumables Production. In particular, the amount of water extracted is planned to be higher compared to other publicly available experiments.","","en","master thesis","","","","","","","","2026-02-28","","","","Aerospace Engineering","",""
"uuid:6d1a6103-d2db-4137-b0b0-98f1fd4727a6","http://resolver.tudelft.nl/uuid:6d1a6103-d2db-4137-b0b0-98f1fd4727a6","Advancing WEEE Management in the Automotive Industry: The Application of the International Data Space for Improved Information Sharing","Aardse, Angel (TU Delft Technology, Policy and Management)","Ubacht, J. (mentor); Bharosa, Nitesh (graduation committee); Kamp, L.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis examines the pressing environmental issue stemming from the accumulation of Waste Electrical and Electronic Equipment (WEEE), particularly within the automotive sector. Despite directives from the European Commission aiming to promote a circular economy, challenges persist in effectively managing WEEE, particularly in End-of-Life Vehicles (ELVs). Current dismantling practices often lead to the loss of valuable materials, exacerbating environmental and health risks. Recognizing the need for improved data sharing, this research investigates the potential of the International Data Spaces (IDS) initiative in enhancing WEEE management within the automotive industry, with a focus on ELV dismantling.
The primary research question centers on how IDS can facilitate WEEE information exchange between manufacturers and dismantlers within the automotive sector. The study is structured into five phases to comprehensively address this question:
Exploratory Phase: This phase identifies current barriers to WEEE information sharing in the automotive industry, employing the Circular Economy (CE) monitoring framework for analysis.
Requirements Elicitation Phase: Through desk research, legislative analysis, and stakeholder interviews, essential requirements for effective information sharing are identified, considering barriers, legislative mandates, and dismantlers' perspectives.
Application Phase: The feasibility of meeting identified requirements using IDS is explored, drawing on academic literature and documentation from the IDS initiative.
Concluding Phase: Findings from previous stages are integrated to address the main research question regarding IDS's role in facilitating WEEE information sharing.
Discussion Phase: The final phase evaluates the research approach, discusses conclusions, limitations, and suggests avenues for future research.
Barriers to WEEE information sharing within the automotive industry encompass incomplete documentation, lack of harmonization, information gaps, inconsistent data entry, and restricted accessibility. These findings inform the elicitation of requirements, emphasizing the need for detailed dismantling information, interoperability, and user-friendly interfaces.
Evaluation of IDS demonstrates its potential in providing dismantlers access to fundamental information, simplifying data access processes, ensuring data sovereignty, facilitating interoperability, and supporting initiatives like the circularity vehicle passport. However, its effectiveness relies on stakeholder participation and regulatory support.
In conclusion, this thesis proposes IDS as a solution to enhance WEEE information sharing in the automotive industry, contributing to sustainable development and advancing scientific understanding. Further research is recommended to address implementation challenges and develop specific ontologies tailored to the automotive sector.","Critical Raw Materials; WEEE; Automotive Industry; End-of-life Vehicles; Circular economy (CE); Car Dismantlers","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:89edcb6a-6a0c-471d-be51-9d7be3aa23a3","http://resolver.tudelft.nl/uuid:89edcb6a-6a0c-471d-be51-9d7be3aa23a3","Unravelling night train travel behaviour: A stated preference survey into the influence of operational and personal factors","Weißhaar, Thaddäus (TU Delft Civil Engineering & Geosciences)","van Wee, G.P. (mentor); Molin, E.J.E. (mentor); van Oort, N. (mentor); Donners, Barth (mentor); Delft University of Technology (degree granting institution)","2024","Night trains benefit society in multiple ways. They are environmentally friendly, improve the accessibility of regions and are space-effective. Understanding traveller's preferences enables night train operators to improve night train services and harness societal benefits better. This study conducted a stated preference survey with 1031 respondents from the Netherlands to dive deeper into the importance of operational factors like booking convenience, travel costs, long travel times of up to 18 hours and accommodations. Additionally, factors that make up a convenient booking scenario were revealed. Lastly, a latent class choice model (LCCM) was applied to derive insights into heterogeneity and to determine to which extent personal factors influence class membership. Results reveal that for a convenient booking scenario, being able to book one ticket and comparing travel options are most important. However, booking convenience only plays a minor role in determining night train mode choice. Travel costs and accommodation are significantly more important. Several classes have been revealed: Environmentally conscious comfort lovers make up 13% of the respondents, experienced night train travellers 29\%, cost-sensitive travellers 37% and flight lovers 20%. Applying a scenario analysis, night train market shares vary from 20% to 71%, with significant heterogeneity among respondents. For practitioners, this implies focusing mainly on prices and accommodation while taking the significantly different preferences of the population into consideration.","night trains; Stated Preference Experiment; Panel Mixed Logit model; Panel Latent Class Choice Model; booking convenience","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:1ecb656a-c237-456b-bd86-9cd87f1ea5a5","http://resolver.tudelft.nl/uuid:1ecb656a-c237-456b-bd86-9cd87f1ea5a5","Additive Manufactured Branched Column Connection","Leban, Tilen (TU Delft Civil Engineering & Geosciences)","Louter, P.C. (mentor); Tankova, T. (mentor); Hermans, M.J.M. (mentor); Delft University of Technology (degree granting institution)","2024","An investigation into the application of additive manufacturing to the production of structural joints was conducted for this thesis. The main goal was to determine all necessary aspects that someone needs to consider when designing a structural part that is intended to be printed with additive manufacturing. As an example, the branched column connection was selected due to its inherent limitations, which can be effectively addressed through the integration of additive manufacturing techniques.
Within the construction realm, wire arc additive manufacturing (WAAM) stands out as particularly advantageous due to its ability to yield high mechanical properties comparable to conventionally manufactured materials, coupled with a high deposition rate. As with any manufacturing process, WAAM operates within certain constraints dictating the types of objects feasible for production.
To ensure compatibility with WAAM while achieving desired structural and aesthetic benchmarks, three laboratory tests were conducted. These tests scrutinized the impact of input process parameters, overhang, and overlapping on the quality of the build. Factors such as travel speed, wire feed speed, voltage, and current were examined for their influence on welding bead dimensions and quality. Additionally, an investigation into how the percentage of overlap affected print quality was done. Among the constraints, the most pivotal one was the overhang limitation, determining the minimum allowed overhang angle in perpendicular and parallel directions depending on the direction of the print.
The primary objective in designing the connection was to reduce the necessary material for the branched column connection’s manufacture. Topology optimization (TO) played a crucial role in achieving this goal. Various models were constructed, each differing in TO input parameters to find the model with the lowest required mass while maintaining adequate structural performance. These models varied based on TO objectives (equivalent von Mises stress, compliance, mass, and volume) and TO constraints (either mass retain percentage or maximum stress), while also integrating manufacturing constraints obtained from lab tests. Ultimately, the model that offered the lowest mass and satisfactory structural performance focused on compliance as its objective, while retaining 15% of its initial mass.
Based on the above explained research a design guideline could be proposed and includes all necessary steps and considerations that someone needs to take into account when designing a connection manufactured with WAAM. The steps of the guideline include: 1. Selection of additive manufacturing process; 2. Material selection; 3. Determination of additive manufacturing process manufacturing limitations; 4. Design phase including TO with the proposed input parameters.
In illustrating the advantages and limitations of WAAM in the construction industry and proposed design guideline, a case study involving a comparative analysis between a steel plate and a WAAM branch column connection was done. This study centered on the real life project, 6 Bevis Marks in London. The findings showcased a notable reduction in the required material. However, the limitations of this approach were apparent in the increased manufacturing time and costs.","Additive Manufacturing; Wire and arc Additive Manufacturing; WAAM; Topology Optimisation; Branched Columns","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:5260bec4-70f4-4428-b5db-3c431e6b3e8c","http://resolver.tudelft.nl/uuid:5260bec4-70f4-4428-b5db-3c431e6b3e8c","Infragravity Wave Motions in the Scheldt Region","Grandiek, Teun (TU Delft Civil Engineering & Geosciences)","Reniers, A.J.H.M. (mentor); Tissier, M.F.S. (graduation committee); Hofland, Bas (graduation committee); Akrish, G. (graduation committee); Slomp, Robert (mentor); Delft University of Technology (degree granting institution)","2024","This study focuses on the infragravity (IG) waves at 5 measurement sites (Brouwershavense Gat 2 (BG2), Oosterschelde 4 (OS4), Cadzand, Hansweert and Bath) in the Scheldt region, examining their characteristics in these waters as well as to what extent they can be modelled using SWAN (Simulating WAves Nearshore). To facilitate this, data collected during the occurrence of four storms (Corrie, Dudley, Eunice and Franklin) that traversed the North Sea in January and February 2022 has been used.
A spectral analysis has been used to provide insight into the IG and sea-swell (SS) wave field. To this extent, the significant wave height for the IG (0.005 – 0.04 Hz) and SS (0.04 – 0.33 Hz) frequency bands have been derived, in addition to the storm averaged wave period. Measurement device BG2 documented the largest storm averaged significant wave height for both the IG and SS frequency bands (0.256 m and 3.46 m during storm Corrie, respectively). The measurement device with the smallest storm averaged significant waveheight values was Hansweert, which measured 0.024 m and 0.217 m for the IG and SS wave frequencies, also during storm Corrie. Most of the wave energy for the measurement devices in the Western Scheldt, Hansweert and Bath, can be attributed to waves with frequency values larger than the upper boundary for SS waves (T < 3 s). The fraction of the total wave energy contained within the IG and SS frequency bands ranged from 0.1 to 0.56 for these two measurement devices. Conversely, the IG and SS frequency bands contain 0.81 to 0.96 of the total wave energy for measurement device Cadzand, BG2 and OS4. The correlation between the IG and SS significant wave heights is mostly strong for the BG2, OS4 and Cadzand measurement devices (0.657 to 0.956) and generally moderate for Hansweert and Bath (0.474 to 0.87), with one outlier equivalent to 0.128). A bispectral analysis was used to offer more insight regarding the components contributing to the total IG wave field. The results at Bath proved to be erroneous for all storms except Corrie, as the derived free IG (FIG) contribution was negative. The origin remains unclear, although it appears to be related to unexplained spurious bursts in the wave elevation signal. The bispectral analysis for the remainder of the locations lead to maximum TIG wave heights ranging from 0.05 m to 0.12 m for Hansweert, 0.15 m to 0.39 m for BG2, 0.11 m to 0.35 m for OS4 and 0.21 m to 0.34 m for Cadzand for the 4 storms. The contribution of the bound IG (BIG) and FIG energy at Bath and Hansweert appears to fluctuate heavily, undergoing rapid changes on an hourly basis. For BG2, OS4 and Cadzand, the FIG energy contribution is strongly dominant as it generally amounted to > 0.75 of the TIG energy.
The capabilities of the SWAN model were evaluated by simulating FIG waves in the North Sea basin. The model relates incident SS wave energy to reflected FIG wave energy with FIG source lines based on the reflection parametrization of Ardhuin et al. (2014). The hourly SS wave inputs were provided by Copernicus Marine Service. The predictive skill was used to qualitatively assess to what extent the model is able to reproduce FIG waves in the Scheldt region. The model was unable to correctly predict the FIG waves Hansweert and Bath, where the predictive skill values for all storms ranged from 0.0003 to 0.0009 for Hansweert, and were 0 for Bath. Better predictive skill values were obtained for BG2 (0.5044 to 0.6565), OS4 (0.3327 to 0.4293) and Cadzand (0.6466 to 0.7510), but there is still room for improvement. The lacking skill values can be attributed to not enough FIG wave energy being able to penetrate the estuarine waters. Reflective lines were implemented along the coastline of the Western Scheldt in an attempt to force more FIG energy into the estuary. This only led to a minor increase for the predictive skill values (O(0.002) for Hansweert, 0 for Bath and O(0.0001) for BG2, OS4 and Cadzand) but from a spatial standpoint, it appears that there are locations in the estuary where the absolute increase was O(0.02), which is significant as there are areas in the estuary where the significant FIG wave height has doubled as a consequence of the implementation of the reflective lines.
systems and other active components which all require battery power. In order to save some of this battery power, reduce weight, and have the devices have a longer runtime, a form of energy regeneration is desired. Therefore the goal of
this study is to design and experimentally evaluate an energy harvesting system to power the control system of lower limb prostheses. The final prototype is designed following the process of setting up functional requirements, constraints and wishes. This leads to three derived concepts. After an evaluation against performance criteria and an in-depth evaluation concerning the power output, the compliant spring design is chosen to be worked out further and evaluated with a newly designed vibration shaker table. The relevant findings are laid out in the results. From these findings, interpretations and implications are discussed further, concerning advantages and limitations of the energy harvesters and the experiment. Results from the conducted experiment show a peak power output of 25.8 mW at an input amplitude of 12 mm and a frequency of 9 Hz. A power mass ratio of 0.21W/kg is achieved. The design meets the power demand requirements to power microprocessors and sensors of the control system of a lower limb prosthesis and extends runtime with 15.7%. However it is still important to investigate the power requirements for state of the art lower limb prostheses. Furthermore, it is recommended to improve overall efficiency in future studies, compare the results with state of the art energy harvesters based on vibrations, and execute a gait test for further design validation. The results from this study demonstrate comparable data and present the innovations possible in prosthesis design and the advancements in utilizing ambient power sources for energy harvesting. Enhancing the overall efficiency of this design, and promoting comparable results to other designs in the existing literature, could emphasize on the potential of energy harvesting applications.","Lower limb prostheses; Prosthetic device; Energy harvesting; Vibration energy; Vibration energy harvesting; Biomechanical energy harvesting","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:e15c2fb5-f022-421b-a581-720844755949","http://resolver.tudelft.nl/uuid:e15c2fb5-f022-421b-a581-720844755949","Computing implied volatility using quantum neural network","Yuan, Zibo (TU Delft Electrical Engineering, Mathematics and Computer Science)","Liu, S. (mentor); Vuik, Cornelis (mentor); Delft University of Technology (degree granting institution)","2024","Implied volatility is critical in financial markets, especially for option pricing. Traditional methods for its calculation sometimes are not well suited to some scenarios. Recent developments in neural networks have provided more efficient alternatives.
Leveraging advances in quantum computing, our research introduces quantum neural networks for computing implied volatility, assessing the feasibility and characteristics of this novel approach. We focus on two quantum neural network architectures: Dissipative Quantum Neural Networks (DQNN) and Parameterized Variational Quantum Circuits (PVQNN). DQNN, similar to classical neural networks in structure and training ease, faces challenges with quantum state outputs and data decoding, impacting performance negatively. Besides, limited by the reliance on network output states at each layer, DQNN faces challenges in implementation with the current state of quantum hardware.
In contrast, PVQNN offers a more promising solution. Compared to DQNN, PVQNN requires fewer qubits, can apply traditional optimizers to train the model, and can run on NISQ devices. This research thoroughly examines various aspects influencing PVQNN's performance, including training data characteristics, data re-uploading technology, network size, data encoding methods, and quantum circuit design. The selected PVQNN model can achieve high accuracy in implied volatility computation with $R^2$ of approximately 0.999. In addition, we find that the PVQNN can obtain satisfactory results even with limited training data, setting it apart from traditional neural networks.
This thesis not only adopts a new model to compute implied volatility but also deepens the understanding of quantum neural networks in financial modeling. However, due to resource constraints, our experiments are conducted in simulations on traditional computers, and thus our study focuses mainly on the expressive power of QNNs rather than their operational efficiency.","Quantum neural network; Implied volatility","en","master thesis","","","","","","code: https://github.com/eddie7373/QNN","","","","","","Applied Mathematics | Computational Science and Engineering","",""
"uuid:c5720b7e-1828-4948-a76a-89a6361b3e03","http://resolver.tudelft.nl/uuid:c5720b7e-1828-4948-a76a-89a6361b3e03","Delft Measures Rain: A quality assessment of precipitation measurements from personal weather stations","Boonstra, Marchien (TU Delft Civil Engineering & Geosciences)","Droste, A.M. (mentor); ten Veldhuis, Marie-claire (graduation committee); Schleiss, M.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Personal weather station (PWS) networks have the potential to supply precipitation data at high spatial and temporal resolution for urban hydrological modeling. Past research has shown promising results on the quality of PWS data, for example from Netatmo gauges, but studies on other PWS brands are limited. This thesis assesses the quality of precipitation measurements from the Alecto WS-5500 personal weather station. During a controlled experimental setup in an urban environment, the Alecto was found to overestimate rainfall due to incomplete emptying of the tipping bucket. Correcting this mechanical error by a 10 percent reduction factor lowered the relative bias to 0.00 or 0.06, when comparing the station to official KNMI gauge or KNMI gauge-adjusted radar, respectively. Correlations were high between stations with non-faulty setups, but at the 5 minute resolution, correlations were substantially lowered by sampling errors caused during the data transfer to PWS data platforms. A quality control method from de Vos et al. (2019) was adapted and applied to data from a citizen science project in Delft, the Netherlands, which had a 12-month period of measurements for 20 stations, and a 3-month period of measurements from 40 stations. The filtering of faulty zero measurements was improved by applying the filter on hourly accumulations, and the bias correction was stabilized. The variation over individual PWSs, however, remained high due to setup differences. The complex installation process for citizens and issues with software and data accessibility are limiting factors and warrant further research to improve the usability of PWS data for urban hydrological applications.","Citizen science; Personal weather stations; Precipitation; the Green Village; Crowdsourced data; Alecto WS-5500; Delft Meet; Delft Meet Regen","en","master thesis","","","","","","","","","","","","Water Management","Delft Meet Regen","51.99653766537575, 4.377590541793161"
"uuid:cb064764-3b7b-4fb2-b95c-aeb31523ce06","http://resolver.tudelft.nl/uuid:cb064764-3b7b-4fb2-b95c-aeb31523ce06","Footprint for the Future, Exploring Bio-Based Biodegradable Plastics in Trail Running Shoes","Balboni, Matteo (TU Delft Industrial Design Engineering)","Bakker, C.A. (mentor); Bos, P. (mentor); Delft University of Technology (degree granting institution)","2024","This research project explores the environmental issue of microplastic pollution, specifically focusing on its release from shoe soles into natural ecosystems. Despite increasing global awareness of microplastics, the particular impact of footwear, especially those used for outdoor activities in natural areas like trail running, has been largely overlooked. Microplastics released in these settings can directly impact ecosystems, affecting wildlife and soil health. This study investigates the potential of bio-based, biodegradable plastics, which can decompose harmlessly in soil, as an alternative material for trail running shoe soles. The aim is to mitigate harmful microplastic pollution and explore how the design of trail running shoes can be adapted to incorporate bio-based, biodegradable plastics.
The project begins by examining the problem of microplastic pollution, its origins, consequences, and the role of footwear. It distinguishes the difference between bio-based and petroleum-based plastics and the role of bio-based biodegradable and compostable plastics in embracing a circular economy. Through exploratory research, design iterations, prototyping, and critical analysis, this study evaluates the feasibility of using bio-based, biodegradable plastics in manufacturing trail running shoe soles. In fact, shoe soles have been identified as the primary source of microplastic pollution due to the constant abrasion with the ground.
A research was conducted in order to identify a bio-based soil-biodegradable plastic which could replace the currently used synthetic rubber. In terms of performance and environmental sustainability, PHA stands as the closest in meeting these criteria, yet it is not fully suitable for shoe soles application due to its limited flexibility.
Nevertheless, the project conceptualises “BioStep”, a trail running shoe that features a replaceable biodegradable outsole. The usage of such an outsole does not release any harmful microplastic when used during outdoor activities or in the decomposition process at the end of its lifespan.
This project sets the basis for the development of bio-based soil-biodegradable shoe soles for trail running shoes. Further research will be needed to identify a ready-to-use material and to address its performance in running scenarios.
Finally, this study emphasizes the need for collaborative efforts among designers, manufacturers, and material scientists to mitigate microplastic pollution and to set the path towards more sustainable footwear.","Microplastics; Bio-based plastic; Biodegradable plastic; Footwear; Shoe soles","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:6301b022-df21-4fbe-aac6-db5f9bfa5e5b","http://resolver.tudelft.nl/uuid:6301b022-df21-4fbe-aac6-db5f9bfa5e5b","Rethinking Youth Participation in Policymaking: A Frame Innovation Approach","Osinga, Susanna (TU Delft Industrial Design Engineering)","Gonçalves, M. (mentor); van der Bijl-Brouwer, M. (mentor); Delft University of Technology (degree granting institution)","2024","Youth participation is becoming increasingly important in municipal governance. However, despite the introduction of new tools, podcasts, and events designed to foster participation, engaging young voices in policy-making remains a challenge. This project explores whether a different perspective on this challenge can lead to more meaningful engagement.
This project is part of Healthy Start, a collaborative initiative between the Delft University of Technology, Erasmus Medisch Centrum and the Erasmus University Rotterdam, which seeks to enhance the well-being of young individuals. Among its ambitions is the enhancement of youth participation. The project will emphasize youth participation in municipal policy in Rotterdam.
Using the Frame Innovation method, a design approach focused on redefining problems to uncover innovative solutions, this study aims to discover unique insights by ‘reframing’ the issue of youth participation. Achieving an understanding of the stakeholders and their values was accomplished through a Research through Design approach. A variety of design activities were undertaken, including engaging policy advisors in creative sessions at Het Timmerhuis, and deploying cultural probes during dialogues with young individuals in a sounding board group and at the Rotterdam Blaak library.
The findings reveal that stakeholders have diverse needs. Not all officials view participation the same way, and differences among young people are significant. Four main themes emerged, reflecting the values of these diverse groups:
Open Hearts, Open Minds: This theme underscores the importance of genuine interactions and empathy, highlighting how prejudices can complicate matters. For example, officials may fear being perceived negatively by the public, while young people worry about being seen as inexperienced.
Navigating the Unknown: Participatory processes involve uncertainties, requiring both youths and officials to venture into unfamiliar territory. This theme explores how they can feel in control despite these uncertainties.
Driven by Responsibility: This focuses on the sense of duty and how it affects engagement. It questions when stakeholders consider something important enough to warrant their involvement.
What You Do Matters: This theme emphasizes the significance of feeling that one’s contributions are impactful and explores the dynamics of power within participation. Both youths and officials can feel powerless, doubting the impact of their efforts and not seeing the success of their actions.
Reframing the problem based on these themes offers new perspectives on addressing the challenges of youth participation. Navigating the Unknown might suggest a future with a municipal “travel agency” for participation, providing guidance, resources, and connections to make the participatory process less daunting and more accessible. Or adopting an “open hearts, open minds” approach one could imagine facilitating transparent discussions about roles and aspirations, enhancing engagement and ensuring participation leads to meaningful outcomes.
This report proposes new strategic approaches to youth participation in Rotterdam’s policymaking. By gaining a deeper understanding of the problem space and reframing it, these new perspectives offer avenues for further exploration. Insights into the frame innovation methodology are also shared to inform future studies.
Four affected physical properties were determined through literature research: blood flow, blood pressure, skin resistance, and skin temperature. Of these properties, skin temperature was found to be the most promising based on a literature study, as it seemed to be both easily measurable and relatively independent of other bodily functions. Then the constraints of healthcare in low-income countries were examined and devices that work within these constraints were identified. Of these, the infrared camera showed the most promise, because of its ease of use and cost to accuracy ratio.
A single-subject study was performed to test the restorative capacity of the autonomous system by deliberately changing the temperature of the hand with a heating and cooling agent. Four locations were used on both hands, and both palmar and dorsal side of the hand, using different doses of the agents. The temperature change of the skin was measured using an InfraRed (IR) camera.
A large variation in results was found, but the results did show some evidence for structural differences in the temperature normalization between the affected and unaffected hand. The palmar side shows a stronger reaction than the dorsal side. The cooling agent seems to be more effective, but there are some caveats attached to its use. An interesting observation is that the most noticeable difference between left and right was measured in an area of low circulation. This gives some indication that this area has the most difficulty with returning to the neutral state.
Conclusion: This research shows that skin temperature variation as a result of applying heating or cooling agents to the skin can be measured using an infrared camera, suggesting that minor variations in skin temperature as a result of neuropathy can also be measured, further research with more test subjects should be done.","Leprosy; Neuropathy; Infrared Camera; Temperature; Detection","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:09a0ef1d-9c35-4817-b515-e025a9ab837f","http://resolver.tudelft.nl/uuid:09a0ef1d-9c35-4817-b515-e025a9ab837f","Design for Togetherness at Home: Integrating Technology to Bond Children and Grandparents Through Shared Experiences","Xu, Hongxin (TU Delft Industrial Design Engineering)","van der Vegte, Wilhelm Frederik (mentor); Gielen, M.A. (mentor); Delft University of Technology (degree granting institution)","2024","Strong intergenerational relationships can provide emotional support and stability for both grandparents and grandchildren. Technology tools have been proven to be beneficial in connecting grandchildren and grandparents. Prior work mainly examined how to use technology to connect children and their grandparents over a distance. Those collocated grandparents and grandchildren who keep regular physical contact are often neglected in the research.It is essential to understand technology’s potential in bonding them in physical meet ups considering the trending of multigenerational families.
This graduation project aims to foster connectedness between children aged 8 to 12 and their collocated grandparents in the Netherlands through physical interactions. Adopting a holistic approach, we explored moments of togetherness, identifying desired qualities of these interactions and defining promising shared experiences for the future.
The research involves three phases of empirical investigations. This research begins by examining how technology could positively impact their bond. Using participatory cards during interviews, this phase captures participants' perspectives, employing mixed methods including sentiment analysis, group clustering, and thematic coding to identify key elements in shared activities that promote or impede intergenerational connectivity. This analysis informs a framework to guide the development of technology aimed at supporting connectivity. Next, the second phase, insights from two design workshops are synthesized into a series of design concepts, which are then visualized through storyboards. The final phase involves evaluating these concepts by presenting the storyboards to both experts and child-grandparent pairs. This step is intended to refine our understanding of how these technological solutions can be leveraged to strengthen family bonds.","Intergenerational Relationships; Child-Grandparent Connection; Technology in Family Bonding; Design and Family Dynamics","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:01c0155a-7236-4cf2-bb92-89b5e56d8410","http://resolver.tudelft.nl/uuid:01c0155a-7236-4cf2-bb92-89b5e56d8410","Designing for Mindful Food Consumption: Enhancing Consumer Engagement to Reduce Food Delivery Waste","Jiang, SHIYUE (TU Delft Industrial Design Engineering)","Schifferstein, Hendrik N.J. (mentor); Miao, X. (mentor); Delft University of Technology (degree granting institution)","2024","In China, the takeaway industry has been steadily rising, gradually maturing, and evolving into a large-scale dining market. Urban white-collar consumers constitute the primary user base for food delivery and are the target user group for this project. However, food delivery waste has yet to be adequately addressed. During the theme exploration and research phases, through methods such as interviews and co-creation, it was found that subjectively, there is a weak awareness of reducing food waste, unclear criteria for judging the freshness of leftovers, and a lack of facilities for storage and reheating. However, the most significant objective obstacles include portion sizes exceeding appetite, and the taste not meeting consumer expectations.
This corresponds to an opportunity to bridge the information gap between consumers' expectations and the actual portion sizes and tastes of dishes. Combining with the SICAS consumer behavior model, which aims to increase the conversion rate of consumers' willingness to reduce food delivery waste into actual behavior. The goal of this project is to assist consumers in feeling confident, inspired, and encouraged about how to order takeout that suits their food intake and tastes, thereby reducing food waste. Through brainstorming sessions and prototype testing during the ideation phase, the final design was iterated in both intuitive and conscious directions.
The final design is a new takeout ordering app that primarily integrates an AI assistant - Order Pal, and visual information prompts to help consumers of different personas order takeout with appropriate portion sizes and flavors in various scenarios. Through Order Pal learning users' ordering preferences, recommending suitable portion sizes and flavors, the app assists consumers in quickly and conveniently placing takeout orders while feeling guided and inspired. Additional details in visual information continually prompt and reinforce consumers' understanding of portion sizes and flavors. With long-term reminders and encouragement from Order Pal, consumers gain a better understanding of their food preferences and intake, enabling them to find meals that suit them, reduce food waste, and cultivate a more mindful food consumption.","","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:109888a8-05de-4bb6-afd2-f5e7048a3ed9","http://resolver.tudelft.nl/uuid:109888a8-05de-4bb6-afd2-f5e7048a3ed9","Transfer-free Fabrication and Assessment of Transparent Multilayer CVD Graphene MEAs for in-vitro Optogenetic Studies","León González, Gonzalo (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Bio-Electronics)","Giagka, Vasiliki (mentor); Vollebregt, S. (mentor); Mastrangeli, Massimo (graduation committee); Accardo, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Electronic interfaces, particularly microelectrode arrays (MEAs), are crucial for studying electrophysiological processes in the body, with applications ranging from implants to deep brain simulators. In neuroscience, they play a vital role in exploring neuronal cell distribution and behaviour, as well as disorders like epilepsy and Alzheimer’s disease. However, electrophysiological recordings have limitations, that have led to the exploration of optical approaches like calcium imaging. To address the shortcomings, a promising strategy involves integrating electrophysiology and optical methods for simultaneous cellular activity measurement, capitalising on their combined temporal and spatial resolution. The challenge lies in developing fully transparent MEAs to overcome the limitations of traditional opaque electrodes.
Graphene’s versatile properties, spanning from electrical conductivity to mechanical flexibility, position it as an ideal material for transparent and flexible electronics, particularly in neural recording and stimulation. Due to these properties, graphene MEAs (gMEAs) allow integration with various optical techniques, overcoming limitations associated with traditional opaque MEAs.
In this project, we designed and fabricated a transparent gMEA, intended to perform electrical signal recordings and optical voltage mappings simultaneously from photostimulated optogenetic cell lines. The design allows for photostimulation from a source beneath the gMEA, while enabling unobstructed optical measurements from above. The electrodes were crafted from multilayer chemical vapour deposition (CVD) graphene, chosen for its transparency and favourable electrical properties. Quartz and sapphire were evaluated as potential substrates for the device. After demonstrating the synthesis of multilayer graphene was possible on both substrates, quartz was selected as the preferred material due to its resistance to graphene delamination.
Characterisation of the gMEAs was done using various techniques, including optical transmittance (OT), electrochemical impedance spectroscopy (EIS), and measurements of the signal-to-noise ratio (SNR). The stability of the gMEAs was also assessed by immersing the devices in cell culture medium and with ageing tests performed in PBS. Initial electrochemical characterisation of the gMEAs exhibited promising signal detection despite a relatively high baseline noise of ∼ 23 μV . In comparison, commercially available MultiChannel Systems MEA (60MEA200/30iR-Ti), showed a lower baseline noise (∼ 4 μV ), but gMEAs achieved comparable signal sensitivity. EIS of gMEAs revealed an impedance at 1 kHz ranging from 3.2 to 9.89 MΩ, largely surpassing values in other studies. However, when area normalised, the impedance remained comparable to reported values. Stability tests identified issues related to the permeability of the encapsulation layer and degradation of molybdenum structures, causing large variations in the SNR and EIS measurements after exposure to liquid media.","Graphene; Chemical Vapor Deposition (CVD); Microelectrode arrays; Optogenetic Compatibility","en","master thesis","","","","","","","","2025-02-28","","","","Biomedical Engineering","",""
"uuid:aee43460-d19f-47d3-925b-89802f5addfb","http://resolver.tudelft.nl/uuid:aee43460-d19f-47d3-925b-89802f5addfb","The erosion process of cohesive soil due to a submerged inclined water jet","Snyder, Andrew (TU Delft Mechanical, Maritime and Materials Engineering)","Alhaddad, S.M.S. (mentor); Keetels, G.H. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis investigates the erosion process of cohesive soil due to a submerged, moving, inclined water jet. This study provides a visualization and description of the failure mechanics of cohesive soil due to a submerged inclined water jet and develops a new equation for estimating the erosion process of cohesive soil while including the angle of the water jet as a parameter. Figures providing support to this new equation are also provided in Chapter 7. The primary variables tested are jetting angle, stand-off distance, forward velocity, and jetting velocity.
The study is guided by two primary research objectives. First, to visually and descriptively understand the failure mechanics of cohesive soil subjected to a submerged inclined water jet. Second, to develop a method of predicting the erosion process of cohesive soil, considering variable jet angles, stand-off distances, forward velocity, and jet velocity of a submerged inclined water jet.
Experimental testing was conducted in the ""Dredging Lab"" of Delft University of Technology. The experiment involved eroding cohesive soil blocks using half of a circular nozzle placed along the wall of a flume. This nozzle configuration allowed for the nozzle to be visible during testing. I designed several half nozzles that were tested in a flume provided by TU Delft. Nozzles with a variety of nozzle diameters were designed to have either a 25, 45, 65, 90, 115, 135, or 155 degree jetting angle. Seventy-two clay blocks with a known undrained shear strength were used as the cohesive test soil, allowing for immediate replacement after each test. Detailed experimental procedures are outlined in Section 5.3, offering insights into the design and execution of the tests.
The data analysis provides evidence that the jetting angle has a notable impact on the erosion process of cohesive soil. This includes a “deflecting jet” failure mode, the formation and prediction of the sediment plume, and the estimation of the erosion cavity depth. The failure mode of the soil can be seen in Section 5.5 and data analysis figures are provided in Chapter 6. Section 7.1.2 provides a new estimation for the erosion depth of cohesive soil using an inclined water jet (Equation 7.17). This study contributes to our understanding of cohesive soil erosion and methods of estimating erosion processes. The findings emphasize the crucial role of the jetting angle and provide a foundation for future research aimed at refining erosion prediction models and exploring additional parameters influencing the process. Practical applications may include improved design considerations for projects involving water jet erosion such as deep-sea mining, water injection dredging, trailing suction hopper dredgers, as well as other dredging processes involving water jetting.
To find the effectiveness of the obtained accessibility measures a stated preference study is held. From this study it is obtained that the transportation mode habit that one has is a key factor within the mode choice. Besides the effect on accessibility additional decision factors are discussed to find the best measure which can be applied best at the AFAS AZ Stadium. Based on the decision factors and the performance of the accessibility measures a total of six effective measures are determined.","MNL; Planned Special Events; Stadium; Choice modelling; Survey; Expert interviews; Stated preference","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:f68ed06f-0c16-46a3-9004-ecaffb18611b","http://resolver.tudelft.nl/uuid:f68ed06f-0c16-46a3-9004-ecaffb18611b","Fabrication and characterisation of autoparametric resonators","Wansink, Nick (TU Delft Mechanical Engineering)","Alijani, F. (mentor); Farhadi Machekposhti, D. (mentor); Li, Z. (graduation committee); Hunt, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Micromechanical resonators, which have become feasible due to advanced manufacturing techniques, have shown several interesting phenomena including mode coupling in a range of applications that span metrology and sensing. Conventional fabrication methods of these resonators have been used throughout the field. However, fabrication of micromechanical resonators by femtosecond laser ablation which could accelerate prototyping, access to three dimensional resonators and reduce clean room use, has received little attention.
In this study, a protocol for fabricating and measuring laser cut micromechanical resonators using computational analysis and experimental techniques has been developed and validated through comparison with previous works by characterising doubly clamped beam resonators. The fabricated prototype is demonstrated to exhibit autoparametric resonance and coupling showcasing the potential of the new approach in engineering and fast prototyping of coupled micromechanical resonators.","laser Doppler vibrometer; femtosecond laser vaporization; femtosecond laser ablation; autoparametric coupling; mode coupling; internal resonance; micromechanical resonator; geometric nonlinearity","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Micro and Nano Engineering (MNE)","",""
"uuid:d825e9cc-d4c6-4a2a-bf15-16bd16e6570c","http://resolver.tudelft.nl/uuid:d825e9cc-d4c6-4a2a-bf15-16bd16e6570c","Optimizing Nanomechanical Resonators","Algra, Hendrik Jaap (TU Delft Mechanical, Maritime and Materials Engineering)","Alijani, F. (mentor); Li, Z. (mentor); Aragon, A.M. (mentor); Delft University of Technology (degree granting institution)","2024","The predominant influence of geometry and tensile stress on the Q factor of nanomechanical resonators is a phenomenon commonly described as dissipation dilution. In recent years, a variety of studies has looked into maximizing this effect, resulting in an assortment of softly-clamped resonator designs. This paper proposes a methodology that uses topology optimization (TO) to design nanomechanical structures with very high Q factors, by maximizing the effects of dissipation dilution. A novel equation, based on the tensile and bending energies of a prestressed finite element model, is proposed to capture this effect. Through adjoint sensitivity analysis, the sensitivity of this function with respect to (changes in) element-level design parameters was determined, which is a capability that is not available in commercial finite element packages. Furthermore, the absence of information required a priori to the optimization makes the proposed methodology versatile and easy to use. After verification of the equation and its sensitivity, it is used as an objective in TO to optimize resonator geometries inspired by state-of-the-art resonator designs. Given a thickness of 340nm and prestress of 1GPa, the final designs show a numerical Q × f0 that competes with optimized designs found in literature.","Topology optimization; Dissipation dilution; Q factor; Adjoint problem","en","master thesis","","","","","","","","2026-03-01","","","","Mechanical Engineering","",""
"uuid:a6e380df-aded-4f0d-b78d-8d8568d458d1","http://resolver.tudelft.nl/uuid:a6e380df-aded-4f0d-b78d-8d8568d458d1","Exploring how the public’s voice can be more effectively incorporated in the front-end of a project: The Museumpark case","Frangu, Alesia (TU Delft Civil Engineering & Geosciences)","Ninan, Dr Johan (mentor); Esteban, T.A.O.E. (mentor); Elmohr, S.E.M.A. (mentor); Delft University of Technology (degree granting institution)","2024","Infrastructure and urban development projects continue to experience problems and tensions when it comes to external stakeholders, even though the importance of collaboration and dialogue between the external stakeholders and project developers is being increasingly stressed. There seems to be a lack of supporting policy and management strategies for better involvement of the public in public projects and confusion and uncertainty from the project developers’ side on how to engage with them in a more constructive way.
This research looks into how the public’s voice can be more adequately incorporated in public projects in the front-end, where the course the project will follow is set, and its values and relationships with stakeholders defined, in order to improve the collaboration between public governing bodies and their citizens. It does so by analysing an example of public projects with unsuccessful public participation that resulted in conflict: the Museumpark case, where the municipality of Rotterdam decided to make changes to the previous large asphalted square in-between Rotterdam’s most known museums by following standard greening designs. The issue was that this square was one of the most popular skating spots in the city with a growing community. Different disciplines that fall under the skating umbrella could be seen in the square, the main ones being skateboarding, longboarding and rollerskating. Besides these, other urban sports and styles were part of the scene, such as freestyle dancers, hoola-hoopers, and so on. Unbeknownst to the community, the redevelopment plans were progressing, and they only found out about the changes that would happen when the decision was finalised. Because the decision-making was done without consulting the skaters, this led to resistance from them, council debates, a delayed project, and growing distrust about the municipality from the community.
The aim of this research is to to understand current issues commonly faced in projects in the public sector in management, communication and stakeholder participation design, and to highlight strategies to improve public engagement in public projects.
The result of the research is a conceptual framework with strategies derived from the prevailing issues found in literature and what went wrong in practice (as seen in the empirical case). Novel participation methods and alternative approaches for external stakeholder engagement in culturally sensitive projects are needed more in practice in order to reduce the risk of problems occurring in projects or resistance. By testing these strategies in practice, a push is made towards a more inclusive participation, better communication between project developers and the public or the governmental body and the public, more inclusion of social values in projects, higher transparency and authenticity, and implementation of innovative ideas that come from the public’s knowledge.","Public participation; Public projects; Collective action; External stakeholders; Social value","en","master thesis","","","","","","","","","","","","Construction Management and Engineering","","51.914264, 4.471730"
"uuid:a79b4861-1fba-47bb-a925-19726339d751","http://resolver.tudelft.nl/uuid:a79b4861-1fba-47bb-a925-19726339d751","Operational Impact of Ammonia as Marine Fuel: A MILP model for an Ammonia-Powered Shipping Network","Boersma, Femke (TU Delft Mechanical, Maritime and Materials Engineering)","Pruyn, J.F.J. (mentor); Naghash, H. (mentor); van Hassel, E.B.H.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","The consequences of climate change are becoming more and more visible. A significant cause of this is CO2 emissions; the shipping sector is responsible for 3% of global CO2 emissions. As a result, the Fourth IMO GHG Study 2020 presents pathways to reduce the GHG emission of the shipping industry by 50% by 2050. Recent IMO goals have overtaken this to reduce net emissions to zero by that year.
As a result, research in renewable energy sources has grown in significant interest, offering a wide range of potential solutions. Recently, (green) ammonia (NH3) has been added to these pools, as it is carbon-free and has a higher storage density than liquid or pressurized hydrogen. However, when comparing ammonia to the current conservative fuels, its energy density is still not at the same level, and more fuel volume would be required to deliver the same amount of energy. There are two ways to address this challenge. More frequent bunkering or larger volumes for the fuel tanks on board at the cost of cargo space and thus income. This is a difficult choice to make in the pre-design as it depends on the choices of other owners as well.
This report investigates the impact of a fuel switch to ammonia on the ship design and bunkering pattern based on the current operational profile of 1025 seagoing ships. A mixed integer linear programming model will establish the optimal fuel tank volume and bunkering strategy for each vessel. This model considers rerouting for trips that are not feasible and two approaches for the bunker strategy. Besides, a port model will establish the ammonia bunker pricing based on the resulting demand in each port. The estimated ammonia bunker prices are implemented in the bunker strategy model. This is repeated till a balance is found. The two models represent an Ammonia Powered Shipping Network considering a homogeneous shipping market. The report presents the results and key factors influencing the balance between the fuel tank volume and the sailing range. The simulated bunker strategies show different possibilities for finding this balance and reducing the operational impact caused by the transition to ammonia.","Green Ammonia; MILP Optimization; Bunker Strategy Optimization; Bunker Port Network; Green Shipping; AIS Data","en","master thesis","","","","","","","","","","","","Marine Technology","",""
"uuid:27ee4f59-1bbc-4274-9912-debb01310d26","http://resolver.tudelft.nl/uuid:27ee4f59-1bbc-4274-9912-debb01310d26","Influence of Business Model Innovation and Competitive Strategies on the Performance of Dutch High-Tech Startups","Sancheti, Avi (TU Delft Technology, Policy and Management)","Khodaei, H. (mentor); Kamp, L.M. (mentor); Scholten, V.E. (graduation committee); Delft University of Technology (degree granting institution)","2024","This master's thesis examines the effects of business model innovation and competitive strategies on the success of high-tech startups in the Netherlands. Understanding how high-tech startups can improve their performance and compete successfully is of utmost relevance given the increasing importance of technology entrepreneurship and the emergence of high-tech startups. High-tech businesses frequently fail within the first 18 months despite their promise; thus, it is necessary to identify techniques that encourage their expansion and success. Considering its significance in Europe and its ability to promote international entrepreneurship, this study concentrates on the Dutch high-tech startup ecosystem. By examining the interaction between business models, competitive strategies, and startup performance, the study seeks to fill a gap in the body of existing literature. The central research question, ""What is the influence of competitive strategies and business model innovation on the performance of high-tech start-ups in the Netherlands?"" serves as the focus of this study.
The study employs a quantitative research approach to gather insights from high-tech businesses established between 2017 and 2020. Data is meticulously collected from high-tech startups in the Netherlands, utilizing a meticulously crafted survey questionnaire. This comprehensive analysis aims to unravel the intricate dynamics between business model innovation and competitive strategies, shedding light on pivotal performance variables such as number of employees and revenue, pivotal indicators of startup growth. Through rigorous statistical analysis performed on a sample of 49 high-tech startups, the study unveils a noteworthy positive correlation between the interaction of business model novelty and cost-leadership strategy with enhanced startup performance, particularly in terms of full-time employees. However, other direct and interactional relationships failed to yield significance concerning the performance variables. Consequently, the study delves into discerning the prevalent business models and preferred competitive strategies within the Dutch high-tech startup ecosystem. Further, despite the significant insights garnered, this research acknowledges certain limitations, including sample size constraints, sampling methodology, and primarily the questions in the survey questionnaire design, which may have influenced the outcomes of the research study.
The results of this study have ramifications for practitioners as well as scholars. By providing insights into the intricate interactions between business models, competitive strategies, and startup performance, it adds to the body of academic literature on strategic management and entrepreneurship. The research also provides important insights from high-tech startups on their decision-making to enhance their performance with developing market trends. The research helps business owners make informed decisions that promote growth, profitability, and market competitiveness by identifying effective combinations of business models and strategies. Delving into these dynamics, this research not only enriches the academic understanding of entrepreneurship but also provides valuable insights with practical implications. Through its rigorous analysis and findings, this thesis aims to equip high-tech startups with the knowledge and strategies needed to succeed in today's dynamic world. In conclusion, this master's thesis provides a thorough examination of the complex linkages between business model innovation, competitive strategies, and the performance outcomes of Dutch high-tech startups.","Business Model Innovation; Competitive Strategy; High-Tech Startups; Firm Performance","en","master thesis","","","","","","","","2024-12-31","","","","Management of Technology (MoT)","",""
"uuid:23093bde-4438-46a0-ad48-3b12f7c6ea01","http://resolver.tudelft.nl/uuid:23093bde-4438-46a0-ad48-3b12f7c6ea01","Experimental Study of L-PBF Micro-channel Surface Roughness: An Experimental Study on the Effects of Build Angle on Surface Roughness and Fluid Flow Performance in Laser-Powder Bed Fusion manufactured Micro-channels","Thiam, Arthur (TU Delft Aerospace Engineering)","Cervone, A. (mentor); Gradl, Paul (mentor); Delft University of Technology (degree granting institution)","2024","Progress in Laser powder bed fusion manufacturing has led to greater use of Additive Manufacturing in combustion chambers/nozzles. This allows for the creation of more intricate and efficient solutions, but generates the need for a better understanding of how surface roughness in micro-channels used for engine cooling relates to build angle and performance. The research presented in the framework of this thesis assesses the friction factors and internal surface roughness of 18 additively manufactured channels, through the means of flow testing and microscope inspection. Positive correlations between build angle and friction factors were found, and further investigation revealed relative roughness levels outside the applicability range of Moody charts. Comparison of open and closed contour channels confirmed roughness similarity, providing valuable input for the manufacturing of witness channels in cost/time sensitive applications. Finally, the relation between surface roughness parameters and sand-grain roughness were investigated, revealing their dependency on flow properties.","LPBF; Laser Powder Bed Fusion; Additive Manufacturing; Channel flow; surface roughness; Liquid rocket engine; surface texture; build angle; Cooling channels","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:8100ecad-ef5f-4e62-ab4d-73cef93b7826","http://resolver.tudelft.nl/uuid:8100ecad-ef5f-4e62-ab4d-73cef93b7826","The Effect of ECM Stiffness on Tip/Stalk Cell Patterning during Early Sprouting Angiogenesis: A computational approach","Waasdorp, Britt (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dubbeldam, J.L.A. (mentor); Rens, E.G. (graduation committee); van Gijzen, M.B. (graduation committee); Checa, Sara (graduation committee); Delft University of Technology (degree granting institution)","2024","Angiogenesis, i.e. the formation of blood vessels from existing ones, plays a vital role in bone or wound healing. The expansion of vascularization facilitates the healing process through the delivery of oxygen and nutrients to the injured site and through the removal of waste products. Clinical observations indicate that impaired angiogenesis can impede the healing process, or can result in non-healing outcomes.
The computational model developed in this thesis predicts tip/stalk cell patterning, marking the initial phase of sprouting angiogenesis. Growth factors signal endothelial cells to differentiate into tip and stalk cells. Tip cells branch from the existing vessel, leading the sprout, while stalk cells proliferate and follow behind, forming the newly emerged blood vessel. Understanding tip/stalk cell patterning is vital to ensure successful angiogenesis, as an excess or deficiency in tip cells leads to improper healing.
Despite several experimental studies and mathematical models exploring the signaling pathways behind tip cell selection, there is a noticeable gap regarding the effect of extracellular matrix (ECM) stiffness on this process. Given that alterations in stiffness occur in various physiological and pathological processes, comprehension of this effect is clinically relevant. This thesis aims to address the existing gap by investigating the specific influence of ECM stiffness on tip/stalk cell patterning.
A computational model is created that simulates a vessel sprout under stimulation of growth factors. This model is able to predict the cell patterning over various ECM stiffness levels, and highlights the relevance of incorporating ECM stiffness in the investigation of angiogenic treatments.
Enhancing the models’ accuracy and validating the ECM stiffness-dependent model predictions requires additional experimental data. However, further development of the model has great potential for deepening our understanding of angiogenesis dynamics and for facilitating the investigation of treatment strategies.","Angiogenesis; Cell Patterning; Mathematical Modelling; Computational Modelling; ECM Stiffness; Tip Cell Selection; Notch Signalling","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:17530d48-4c76-4972-ab62-087d2abc66bf","http://resolver.tudelft.nl/uuid:17530d48-4c76-4972-ab62-087d2abc66bf","The effects of passing seagoing vessels on the inland ships in the outer harbour and locks of Hansweert","Heijboer, Dick (TU Delft Civil Engineering & Geosciences)","de Boom, L. (mentor); van Koningsveld, M. (mentor); van der Hout, A.J. (mentor); Tissier, M.F.S. (mentor); Delft University of Technology (degree granting institution)","2024","The lock of Hansweert, located in the province of Zeeland in the Netherlands, serves as a crucial inland shipping node between the Western Scheldt estuary and the Rhine Delta. The outer harbour of the lock complex provides the connection to the Western Scheldt and accommodates waiting facilities for inland ships. The Western Scheldt is a vital gateway for maritime traffic, linking the Port of Antwerp to the North Sea. As the navigation channel of the Western Scheldt is located close to the outer harbour of Hansweert, multiple ship incidents at this location are attributed to the water motions generated by passing seagoing vessels on the Western Scheldt. This research investigates how the water motions induced by passing vessels in the Western Scheldt contribute to unsafe situations in the outer harbour and locks of Hansweert, and what preventative measures can be identified to effectively minimize incidents and mitigate risks.
Investigating the incident records reveals that the key contributors are the primary water motions generated by the passing vessels in the Western Scheldt. The phenomenon, experienced as a sudden lowering of the water level and suction forces, can lead to the breakage of mooring lines and uncontrolled movements of inland ships, resulting in a range of safety hazards and operational disruptions. Several documented incidents, field studies and interviews highlight the urgency for effective measures to mitigate the potentially harmful effects of passing vessels on the ships in the Hansweert outer harbour and locks.
A seven-week measurement campaign, involving 1281 passages of so-called oversized vessels, reveals distinct patterns of water level fluctuations during a vessel’s passage. A vessel is considered oversized if its length exceeds 210 metres or if its draught is larger than 10 metres. These patterns are described as a translatory drawdown wave travelling into the harbour, reflecting against the lock complex and oscillating back and forth in the outer harbour until dampened. The key parameter characterizing this wave is the lowering of the water level, referred to as the drawdown height. The average measured drawdown height approximates 6 centimetres, with maximum observations up to 40 centimetres. The main factors influencing the drawdown height are the vessel’s passing distance to the outer harbour, its speed relative to the currents and its dimensions, shown by a correlation analysis between the parameters describing the passing vessel and the generated drawdown height. Extreme drawdown events were exclusively observed during a combination of a relatively high speed through the water of the seagoing vessel and small passing distances relative to the harbour’s entrance.
The impact of the drawdown effects on the inland ships is determined by the forces generated by the pressure difference along the ships, caused by the inclination of the water level. A critical drawdown height of 12 centimetres is set, based on existing force criteria and the linear relation between the drawdown height and water level slope. To improve on the existing drawdown height prediction methods, a site-specific drawdown height prediction equation has been derived. Validation of this equation using the observations made during the measurement campaign yields a Pearson correlation coefficient of 0.81 and an Mean Absolute Error score of 2.2 centimetres.
Preventative measures are identified, aiming to minimize incidents and mitigate the risks related to the water motions induced by passing vessels. The predicted drawdown, generated by the passing vessel, is kept below the critical level by recommending a maximum speed related to the passing distance and dimensions of the vessel. Practically, this measure could be applied as a calculation tool or as an overlay on the pilot’s electronic sea chart. Coupling this information with awareness campaigns for pilots will contribute to minimizing the adverse effects on the ships in the outer harbour. The resilience against drawdown-induced risks could be strengthened by restricting the maximum combined width of ships moored alongside. Furthermore, by limiting the excessive slack in the lines of the moored ships, through signage and floating bollards, the movements of the ships will be restricted, reducing the risk of line breakage. Notifications of anticipated critical drawdowns would allow traffic controllers or lock operators to caution the inland ships and delay the lock chamber door openings, whilst alerting the passing vessel. Incorporating the mitigation measures recommended in this research could positively impact the safety of navigation in the Hansweert outer harbour and locks.","ship waves; Ship response; Western Scheldt; Inland shipping; primairy water motion; drawdown","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","","51.4396, 4.01111"
"uuid:340d4e2f-f82e-4e7a-b095-abf5404e7a8b","http://resolver.tudelft.nl/uuid:340d4e2f-f82e-4e7a-b095-abf5404e7a8b","Design Study on the Feasibility of a Self-closing Flood Barrier: A Case Study of the City of Arcen, Limburg","Jhinkoe-Rai, Suryand (TU Delft Civil Engineering & Geosciences)","Kok, M. (mentor); Voorendt, M.Z. (mentor); van Nederveen, G.A. (mentor); Nooij, Ruud (mentor); Delft University of Technology (degree granting institution)","2024","Flood risks and its consequences become more and more challenging and are demanding for the currently present dike systems and hydraulic structures in the Netherlands. This automatically raises the question for the possibility of adjustable temporary deployable flood defences that work autonomously. Nowadays it is more likely that a standard earthen dike will not be possible everywhere, due to site-specific characteristics such as available space or protected townscape. At these specific locations, a self-closing flood barrier can offer a solution, in view of minimally affecting the spatial quality with a reliable solution. The goal of this design study is to gain insight in the feasibility of applying a self-closing flood barrier in areas where spatial quality plays an important role and where the hydraulic boundary conditions demand for heavier structures. With the increase of densely built areas nowadays, the concept of an autonomously closing barrier is promising and an interesting alternative to consider.
In this thesis the objective is to develop a customised design of an adaptive self-closing flood barrier in the upper river region of the Netherlands. The city of Arcen in this case study is characterised by its cultural-historical values and its strong connection to the Meuse. This makes it difficult to construct conventional earthen dikes.
For the design purpose software with statistical data such as Hydra-NL is used to provide site characteristics, hydraulic and geotechnical boundary conditions and literature for information on currently applied barrier types and drive mechanisms. Furthermore, the design process has been completed with the help of the Design Guide Hydraulic Structures of Rijkswaterstaat and the Eurocode, from which a design originated in which stability and strength requirements have been met. The design resulted in a floating flat barrier of steel, which does not involve a complex design and is in line with integrating the structure in the area with minimal hindrance. In the design the focus lies on the functionality and the structural integrity with an in-depth look at adaptability and integrability.
The result shows that the design of a floating flat barrier is feasible as a self-closing structure in the city of Arcen. However, because of the location of the structure with the requirement of no townscape obstructing elements, a heavy support structure is needed for a design water level of 2.5 m above the ground surface. Furthermore, the applied boundary conditions leads to a large floater, even though the structure is slender. In order to develop a complete design, it is recommended to make a detailed design on the concrete foundation, to do a cost analysis on the construction and materials and investigate how a certain structure is received by the residents of Arcen. This will gain insight in areas to optimise the design furthermore.
This study focused on enhancing our understanding of the interaction between smart building technology and users. A specific focus is placed on climate control technology within the broader landscape of smart building systems. By employing an exploratory approach, in which a combination of qualitative and quantitative methods was utilized, smart building users’ preferences and behavioral mechanisms were explored. Through semi-structured interviews with smart building occupants, the study delved deeper into understanding the motivations, barriers, and other aspects of occupant behavior and interactions with smart building technology. Additionally, insights from interviews with industry experts provided valuable perspectives on which key factors contribute to the increased adoption of smart building technology. These qualitative findings were complemented by quantitative data collected through an online survey (n=92), which allowed trends and preferences to be identified from a larger group of smart building occupants.
The study showed that the successful implementation of smart building technology goes beyond mere technological details. It provided empirical insights into the preferences and behavioral mechanisms of smart building occupants, filling a gap in the existing literature. By exploring these aspects, the research deepened our understanding of user interactions within smart buildings. Consequently, it advocates for a user-centered approach to smart building initiatives, emphasizing the importance of understanding human preferences and incorporating user feedback to effectively adapt technology to their specific needs and routines. However, the study revealed a diverse range of user preferences and motivations regarding interaction with smart building technology, including preferences regarding control levels, system feedback, transparency, flexibility, and interface design. This diversity highlighted the absence of a one-size-fits-all approach to implementing smart building technology. Consequently, specific practical recommendations were developed to guide stakeholders in smart building initiatives, emphasizing a more user-centered implementation that ensures adaptability to the unique needs and preferences of building users.
results of the simulations are extracted and post-processed into margins of safety (MoS) using the direct results or (semi-)analytical equations for each individual component. In total five failure modes are assessed, which are Von Mises stress, displacement of engine, crippling, panel buckling and column buckling. The most critical MoS and the relation to the parameters is used in combination with an adaptive damping parameter to optimize each individual part. This design methodology is tested using the Flying-V, which is a novel aircraft configuration in the shape of a V, as a reference aircraft. Therefore, first the requirements, critical loadcases, loads and initial design have been developed based on regulations and previous research regarding the Flying-V and have been used as input for the CAD model and simulations. The design methodology has proven not be perfect as regions with stress concentration cause the minimum MoS to be below zero for all iterations and could not be solved within the time frame of this research. However, the design methodology has proven to be significantly faster compared to a design of experiments used in previous research. Overall it should be noted that there are still many other limitations regarding this method. These limitations are related to the limited amount of failure modes used and the fact that it still requires some kind of Design of Experiment, which is time consuming. The final mass of an optimized engine mounting structure is estimated to be approximately 40% higher than the design methodology used in previous research, which is due to the higher amount of details in the design as well as the significant higher estimated mass for the engine. This means that the current estimated mass for the full engine mounting structure including landing gear and engine is approximately 22150 kg compared to the estimated 17360 kg before.
Furthermore, an assessment of the influence of the developed design on the crashworthiness of the Flying-V has been done. This assessment focuses on one of the four main criteria regarding occupant protection, namely the guarantee of acceptable acceleration and loads sustained by the occupants. This is done by connecting the engine mounting structure to the developed wing-fuselage structure of the Flying-V with a tie connection. In order to compare different cases a new variable has been created, which is based on empirical equations developed by the U.S. Air Force. In addition, a new method based on moments of inertia has been developed to take the rest of the aircraft into account without increasing the size and thus computational effort of the simulation. To validate this method, the wing-fuselage structure has first been extended to fit the structure and results are compared to previous research. This has shown large, unexpected, differences which could not be explained even with thorough investigation. Applying the inertia method to the extended fuselage has shown small but explainable and expected differences, validating that the method has no unforeseen effects on further results. Simulations using this inertia method and the wing-fuselage with full engine mounting structure shows an improved performance in crashworthiness as the engine mount also absorbs some of the energy. Similar simulation without engine shows an even more improved performance, meaning that engine separation is of interest for the Flying-V. Initial further-reaching investigation into engine separation, using a point-mass model, shows that engine separation might be possible without impacting the Flying-V for crashes without any initial yaw, pitch or roll angle.","Engine pylon structure; Unconventional aircraft; Crashworthiness; Design methodology","en","master thesis","","","","","","","","","","","","Aerospace Engineering","Flying-V",""
"uuid:f84137ec-4851-4bf0-8e20-49e480e72eaf","http://resolver.tudelft.nl/uuid:f84137ec-4851-4bf0-8e20-49e480e72eaf","Technical errors in a novel mixed reality navigation system for orthopedic implant surgery","van Bakel, Roan (TU Delft Mechanical, Maritime and Materials Engineering)","Weinans, Harrie (mentor); van der Wal, Bart C.H. (graduation committee); Nguyen, Chien (graduation committee); Delft University of Technology (degree granting institution)","2024","Introduction
An innovative, patient-specific, hip implant was developed for canine patients suffering from developmental hip dysplasia. In a first cohort study, the post-operative positions of the implants deviated with an average of 5 mm when compared to the pre-operatively planned positions. A novel augmented reality (AR) based intra-operative navigation method (Holoma) was proposed to decrease implant positioning errors to a maximum of 3 mm. Holoma provides ArUco marker-based, mixed reality surgical navigation on the Hololens 2. The goal of this study was to quantify the error associated with each technical component in Holoma, to determine its navigational accuracies.
Methods
Holoma’s technical errors were quantified in a laboratory setting within a surgical operation room. The accuracy of ArUco marker localization and the amount of jittering (falsely detected marker movement) were tested by performing stationary and dynamic experiments. The precision of three image-to-patient registration methods (marker pose, point-to-point, point cloud) was determined by calculating the euclidean distance between multiple registration attempts. Lastly, implant navigation accuracy was quantified by positioning an implant on a precisely predetermined position and recording Holoma’s navigation instructions.
Results
Holoma’s ArUco marker localization accuracy had a median error of -0.97 mm (min; max: -2.04; -0.27) with a marker-to-camera distance of 60 cm, and median jittering was 0.65 mm (min; max: 0; 2.07). Median image-to-patient registration precision was 2.20 mm (min; max: 0.39; 6.00), 3.52 mm (0.21; 6.92), and 3.79 mm (0.55; 8.83), for marker pose, point-to-point, and point cloud registration, respectively. Lastly, implant navigation instructions showed median errors of 2 mm (min; max: 0; 7), 3 mm (0; 7), and 4 mm (0; 9) after marker pose, point-to-point, and point cloud registration, respectively.
Discussion and conclusion
The experiments suggest an accumulation of technical errors in Holoma’s navigation application. The accuracy and precision of the navigation software were determined to be inadequate to decrease patient specific hip implant placement errors, at the time of investigating. Future improvements within Holoma will determine its feasibility for accurate implant navigation. Other navigation techniques should be considered in the search for optimal implant positioning guidance.
Purpose: The construction industry is responsible for great amounts of resource consumption, waste generation and both carbon and greenhouse gas emissions in the European Union. The currently still predominant linear mindset of take-make-consume-dispose must shift to reduce the industry’s environmental impact and enable the transition towards a circular construction industry. Circular material hubs facilitate more circularity by collecting, processing, and redistributing secondary building components to make them available for another life cycle. In literature, little can be found about the system circular material hubs are embedded in and their connection to architects. This study investigates the system of circular material hubs in the Netherlands, its challenges, opportunities, and potential future developments in the transition towards a circular construction industry. A special focus is placed on the role of architects within this system, as a circular construction industry also needs designers to include secondary building components in designs. The Netherlands is one of the top nations in the reuse of secondary raw materials and therefore provides as an exemplary setting for this exploratory research.
Methodology: An exploratory, qualitative research approach was chosen. Fourteen semi-structured interviews were conducted with representatives from circular material hubs, architects, as well as experts in the fields of circular economy, circular construction industry and construction logistics. Practitioner interviews aimed at identifying practices performed within the system of circular material hubs, and detecting challenges, opportunities and potential future developments as perceived by practitioners in the field. Expert interviews were conducted to validate the information gathered through literature review and practitioner interviews.
Findings: The research found four practice bundles which are important for the system of circular material hubs. These practice bundles are acquisition practice, processing practices, sales practices, and material harvesting practices. Within these practice bundles, different practices and performances of these practices were identified. The practices influence each other. Which building components are acquired influences which processing practices need to be performed. The type of building component also influences the sales practice as different building components target different groups of clients and are sold through different communication channels. It is also crucial whether the building components are sold offline or online. The online marketplace of Insert was found to be particularly helpful, as it is specifically designed for the construction industry and used by circular material hubs as well as architects. This shows the influence of the choice of communication channel on a circular design practice and scouting practices. By providing as much information as possible about the secondary building component at hand, circular material hubs also facilitate a circular design practice. Additionally, challenges, opportunities, and potential future developments of the system of circular material hubs were identified. Challenges the system faces are related to uncertainty and irregular assortment, guidelines, costs, and the provision of guarantees, while opportunities are governmental decisions, the provision of information and the use of shared online marketplaces. Missing collaborations are both a challenge and an opportunity. Future developments are primarily related to changes in the provision of secondary building components.
Research limitations: The limitations of this study are that social practice theory was not applied to the entire research as it is not suitable for the assessment of challenges, opportunities, and potential future developments. A greater variety of circular material hubs, not in number but in their field of activity, could present a different view on the system. Semi-structured interviews also always have certain limitations. Identifying the practices while they are being performed could also have led to more in-depth results.
Originality/value: By providing a comprehensive view on the system of circular material hubs in the Netherlands, this research helps to understand the dynamics within the system and allows the identification of potential interventions based on the practices that constitute the system.","circular construction industry; circular material hubs; material scouting; social practices; circular design","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:6dad7119-1455-4bd0-9439-ce5ba0962857","http://resolver.tudelft.nl/uuid:6dad7119-1455-4bd0-9439-ce5ba0962857","Water City Vienna - Transitioning Towards Climate Resiliency","Morscher, Leon (TU Delft Architecture and the Built Environment)","Hausleitner, B. (graduation committee); Furlan, C. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis project explores the imperative of strenghening Vienna's climate resilience through mitigating weather extremes and fostering knowledge of them by reimagining its current water and ecosystem dynamics. The central focus is on integrating a blue-green infrastructure into the urban environment and a just distribution of their services, thereby establishing a balanced coexistence with the ecosystem.
The objectives encompass mitigating pressing urban challenges such as the urban heat island effect and biodiversity loss, while fostering awareness of the symbiotic relationship between a healthy ecosystem and human well-being. These efforts are pivotal in the city's journey toward climate resilience and adapting to dynamic climate conditions.
The broader aspiration is to illuminate a path towards socially and environmentally sustainable urban transformations.","Climate resilience; Green-blue infrastructure; Environmental Justice; Landscape Urbanism; Vienna; Urban Ecology; Socio-Ecological System; Research by design","en","master thesis","","","","","","","Campus only","","","","","Architecture, Urbanism and Building Sciences | Urbanism","Master thesis project","48.2082, 16.3719"
"uuid:ae0b272f-455d-468a-8965-fc263e14a3dc","http://resolver.tudelft.nl/uuid:ae0b272f-455d-468a-8965-fc263e14a3dc","Pallas: Novel Sound Classification at the Edge","Groenenboom, Max (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Networked Systems)","Zuniga, Marco (mentor); Liang, K. (graduation committee); Delft University of Technology (degree granting institution)","2024","Sound pollution is becoming an increasingly pressing issue in today’s world. To effectively address it, it must be measured. To this end, Serval was developed, an edge-ai powered sound recognition solution. Its lack of accuracy, however, makes it difficult to deploy. This thesis examines the potential for improving this solution while staying within its technical limitations in order to raise the accuracy to satisfactory levels. Multiple aspects of Serval were evaluated and compared to the current stateof-the-art: its data augmentation, the embedding it uses, and the hardware it runs on. Alternatives for each of these components were evaluated and each aspect was optimized.
The results show that after these improvements, the single-label F1-score increased from 0.60 to 0.76, and the single- and multi-label combined F1-score increased from 0.64 to 0.67. Finally, power consumption has been reduced by 14%, partially thanks to the usage of specialized hardware. One issue that has yet to be adequately addressed is the size of the dataset. By increasing the number of samples, the accuracy could be further improved.","Audio classification; Machine listening; Data augmentation; Noise pollution; Environmental sound classification","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:71e250d5-28ab-49b2-ba54-1b3f79906561","http://resolver.tudelft.nl/uuid:71e250d5-28ab-49b2-ba54-1b3f79906561","Topology and variable optimization of a planar quasi-zero stiffness mechanism for motion isolation during the installation of wind turbine blades","de Groot, Patrick (TU Delft Mechanical, Maritime and Materials Engineering)","Aragon, A.M. (mentor); Holtzer, B. (mentor); Wellens, P.R. (graduation committee); Radaelli, G. (graduation committee); Koppen, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","Current service and installation activities for offshore wind turbines are carried out by jack-up vessels, which eliminate wave disturbances to a large extent. The use of these vessels imposes several disadvantages, including high operational costs and the limitation of operating in restricted water depths. An alternative is a crane mounted on a floating vessel (monohull), which is quicker in operation and multi-deployable. With these vessels, however, a motion compensator is needed to eliminate most of the hydrodynamic disturbances. The purpose of this graduation assignment is to develop a concept design for a passive motion compensator (PMC) to isolate the vessel motion from the payload.
This goal is achieved by the implementation of a multi-objective optimization algorithm based on genetic programming (GP) that constructs a two-dimensional PMC based on the principle of quasi-zero stiffness. The GP employs a set of genetic operators to explore the design space in terms of topology and design variables, which effectively mimics Darwin’s Theory of Evolution. The better a mechanism performs, the higher the fitness, and thus the higher the chance this design appear in future generations.
Each design is a composition of cylinders and nodes that are assembled into a mechanism. During the fitness evaluation, all mechanisms are examined by a nonlinear finite element method to extract the force-displacement relations. The arc-length control method is used due to the large displacements and rotations of the members in the mechanism, whereby both the nodal displacement vector and external load vector are varied to follow an equilibrium path in an incremental-iterative way. To provide sufficient support to the payload, the mechanism is prestressed, which is applied by using the arc-length procedure as well.
Static and dynamic results show that the GP is suitable for constructing a high-level planar QZS mechanism for the intended application in various sea states. From multiple runs, it can be concluded that the optimizer generally produces designs with the same topology. Dynamic analyses in the time domain show that motions are effectively mitigated in the horizontal and vertical directions for the chosen designs. In addition, analysis in the frequency domain shows that the mechanisms effectively attenuate motions in the frequencies of interest.
Leveraging co-creation sessions and interviews with employees of TD, the study shows that the internal team’s focus is seen as the main barrier to multidisciplinary collaboration within TD. This focus stems from team-specific targets, that discourage collaborative efforts between teams and cause each team to prioritise their own proposition to clients. As a result, clients are unaware of other services offered by TD and employees under-utilise the expertise of their colleagues. This internal team focus comes from TD’s existing organisational structure. Therefore, a new organisational structure is proposed as the final solution for TD. The proposed organisational structure introduces dynamic, project-based teams that replace traditional, static teams, facilitating fluid cross-domain collaboration and enabling a more agile response to client needs. The expected positive impact of the final design for clients is a broader service offering and improved client satisfaction, and enhanced learning and innovation for employees of TD.
The report concludes with recommendations for the adoption of the new structure and recommendations for future work. The first aim to mitigate the reorganisation’s potential challenges, like coordination & communication and a loss of team identity and include involving employees for successful implementation. The latter suggested areas for further research, including broadening the focus group, incorporating diverse research methodologies and conducting follow-up studies to assess the impact of the changes implemented.
The findings provide valuable insights into employees’ perception of the current situation, the barriers to collaboration and the desire for engaging employees in decision making. To align with employee demands and enhance multidisciplinary collaboration, TD is recommended to transition towards the proposed organisational structure that facilitates dynamic project team configurations.","co-creation; organisational structure; synergy; collaboration; creative agency","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:9ab2b4ba-8f91-4891-8190-4a96f77c471e","http://resolver.tudelft.nl/uuid:9ab2b4ba-8f91-4891-8190-4a96f77c471e","Adaptive Visual Servoing Control for Quadrotors: A Bio-inspired Strategy Using Active Vision","Hazelaar, Sander (TU Delft Aerospace Engineering)","de Croon, G.C.H.E. (mentor); Yedutenko, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","New insights into the landing behavior of bumblebees show an adaptive strategy where the optical flow expansion of the landing target is step-wise regulated. In this article, the potential benefits of this approach are studied by replicating the landing experiment with a quadrotor. To this end, an open-loop switching method is developed, enabling fast steps in divergence. An adaptive control law is used to deal with non-linear system dynamics, where the control gain is scheduled based on the control effectiveness of the actuator inputs during the steps. It is demonstrated that the quadrotor can reliably land on the target from varying initial positions, and the switching strategy shows a slight reduction in landing time compared to a constant divergence strategy with the same average divergence over distance. This strategy also reduces the maximum velocity during the landing.","Visual Servoing; Autonomous Landing; Quadrotor Control; Non-linear systems; Computer Vision","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:5cdae823-66c5-40e2-b624-29da6a3d8456","http://resolver.tudelft.nl/uuid:5cdae823-66c5-40e2-b624-29da6a3d8456","Automated Carnegie Staging of the Human Embryo in 3D Ultrasound using Deep Learning","Niemantsverdriet, Ruben (TU Delft Mechanical, Maritime and Materials Engineering)","Vos, F.M. (mentor); Bastiaansen, Wietske (mentor); Klein, Stefan (mentor); Rousian, Melek (mentor); Delft University of Technology (degree granting institution)","2024","The periconceptional period, encompassing the embryonic phase, is a critical window where a majority of reproductive failures, pregnancy complications, and adverse pregnancy outcomes arise. The Carnegie staging system comprises 23 stages which are based on embryonic morphological development. This allows for the assessment of normal and abnormal embryonic development during this critical period. In-utero Carnegie staging using three-dimensional (3D) ultrasound scans visualized with virtual reality offers valuable insights but is currently a time-consuming manual process. To address this, we propose a deep learning approach for Carnegie staging in 3D ultrasound scans.
We used a dataset comprising 1413 3D ultrasound scans from the Rotterdam Periconceptional Cohort, annotated with Carnegie stages spanning from stages 13 to 23, including fetal subjects. Various training strategies were explored. We compared a metric regression approach, which considers the ordered nature of the Carnegie stages by treating the Carnegie stages as a continuous variable, with a multi-class classification approach, treating stages as independent categories. Additionally, we evaluated the influence of using a loss function accommodating the categorical nature of the Carnegie stages in the metric regression approach and examined the impact of incorporating embryonic size in the model input. Ultimately, a regression approach using the Mean Squared Error (MSE) loss function emerged as the optimal choice.
This model achieved a classification accuracy of 0.59 and a Root Mean Squared Error (RMSE) of 0.62 on the test set. This performance is comparable to an intermediate human rater, which achieved an accuracy of 0.63 and a RMSE of 0.65. Our findings represent a significant step towards the development of an automated Carnegie staging method, offering the potential for a more comprehensive evaluation of the critical embryonic phase in the clinic.","Machine Learning; Deep Learning; embryonic development; 3D Ultrasound","en","master thesis","","","","","","","","","","","","Biomedical Engineering | Medical Physics","",""
"uuid:e9e845e4-fd01-4a9c-8d44-a4e3d7dfe2bf","http://resolver.tudelft.nl/uuid:e9e845e4-fd01-4a9c-8d44-a4e3d7dfe2bf","Investigating the Risk of Galveston's Proposed Floating Sector Gates Failing Under Reverse Loading Conditions","Metselaar, Meno (TU Delft Civil Engineering & Geosciences)","Jonkman, Sebastiaan N. (mentor); Labeur, R.J. (mentor); Schoemaker, M.A. (mentor); Mooyaart, L.F. (mentor); Delft University of Technology (degree granting institution)","2024","br","Galveston Bay; Floating sector gates; Storm surge barrier; Reverse loading; Reverse head; Wave loading; Delft 3D flexible mesh; Hurricane; Storm surge; Risk; Probabilistic; Exceedance probability","en","master thesis","","","","","","","","2025-02-21","","","","Civil Engineering","","29.350629489725065, -94.7675911959141"
"uuid:9a295d44-1e95-4911-a4a2-4a96c498fe79","http://resolver.tudelft.nl/uuid:9a295d44-1e95-4911-a4a2-4a96c498fe79","Design of an inherently fully dynamically balanced aerial manipulator with omnidirectional workspace","Bom, Alexander (TU Delft Mechanical, Maritime and Materials Engineering)","van der Wijk, V. (mentor); Hamaza, S. (mentor); Herder, J.L. (graduation committee); Goosen, J.F.L. (graduation committee); Delft University of Technology (degree granting institution)","2024","Drones are increasingly used nowadays, primarily for visual inspection tasks facilitated by onboard cameras. The field of aerial manipulation tries to expand the capabilities of drones by attaching a manipulator, enabling physical interaction. Unfortunately, the usability of aerial manipulators is hindered by disturbances resulting from the movements of the manipulator. These disturbances, including reaction forces and a shifting centre of mass, not only affect manipulation accuracy but also pose safety risks by potentially destabilizing the drone. In this thesis, a design is presented that addresses this challenge by leveraging the theory of dynamic balance.
A new design approach of making a manipulator fly, instead of the common approach of mounting a manipulator arm to a drone was used. This new approach avoids interference with the drone's components, allowing to focus on the design of the manipulator arm. Furthermore, it made it possible to create a manipulator which can manipulate above, to the side and underneath itself. This makes the presented manipulator arm more versatile than common aerial manipulators whose workspace is mostly located only above or below the drone. The kinematics, workspace and balance conditions of the manipulator arm are presented. Furthermore, the design's workspace is optimised while the mass of the manipulator is minimized in a bilevel optimisation. Finally, the design is validated both by simulation and measurements performed with the built prototype.
The design presented is the first inherently fully dynamically balanced manipulator with omnidirectional workspace which can be used for aerial manipulation.
Initially, we build upon an existing framework for quadruped jumps, where we refine the trajectory optimization (TO) algorithm and introduce a new Variable Impedance Control (VIC). The VIC is specifically developed to facilitate softer landings. This improved system was then utilized to generate a comprehensive synthetic dataset, including 11,000 samples that cover a diverse range of jumping scenarios. This dataset served as the foundation for training a neural network. The primary objective of the network is to emulate the performance of the model-based approach. Structurally, the network is designed to process the robot's current state as input and generate the corresponding control actions for its 12 motors as output.
The most significant achievement of this research is the neural network's ability to closely replicate the outcomes of the model-based solution. Notably, it ensures more compliant behavior and lower stress on the motors during the landing phase than an MPC. The neural network demonstrates a 97.4% success rate. This high level of performance underscores its potential for on-the-fly application in robotic systems. The effectiveness of our method is further validated through a series of simulations and practical tests conducted on a Go1 quadruped robot.","Behavior Cloning; Robotics; Variable Impedance Control; Soft Landing; Quadruped; Jumping","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:3a82e7c5-b7d4-435c-ab48-4949846a2ef8","http://resolver.tudelft.nl/uuid:3a82e7c5-b7d4-435c-ab48-4949846a2ef8","A Systemic Approach to implement Education for Sustainable Development in Applied Sciences: A Case-study of the TU Delft Applied Sciences Faculty","Wijnia, Puck (TU Delft Technology, Policy and Management)","Gammon, A.R. (mentor); Kamp, L.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis delves into the pivotal role of engineering education in equipping students to tackle the challenges posed by climate change. Despite widespread efforts in higher education institutions, particularly in engineering, to incorporate education for sustainable development (ESD), effectiveness often falls short, leading to a regression to previous norms. Moreover, there is a dearth of literature on the implementation of ESD in applied sciences (AS) institutions, compounding the issue. This study focuses on the AS Faculty at TU Delft, which, despite ambitious climate goals, has a low percentage of courses addressing sustainability. The emphasis is on a systemic approach to ESD implementation, with the aim of providing recommendations for the educational portfolio of the Climate Action Plan of the AS Faculty of TU Delft.
The study begins with an extensive literature review on ESD implementation and systemic change within higher (engineering) education institutions (HE(E)Is). Findings elucidate specific strategies, barriers, drivers, and uncertainty factors, emphasizing the significance of context and culture. Two case studies on universities of applied sciences, NHL Stenden and Van Hall Larenstein, are conducted to contextualize theoretical insights within the AS Faculty at TU Delft. These case studies provide real-life examples of systemic ESD implementation, highlighting associated challenges and successes.
Subsequently, the AS Faculty of TU Delft is investigated through interviews with stakeholders relevant to ESD implementation. The exploration reveals engagement in both top-down and bottom-up initiatives, such as modifications in the Master program in Chemical Engineering and the implementation of the Green Thread initiative. The faculty has established a Climate Action Team to devise a Climate Action plan, including an educational portfolio, drawing insights from the research conducted.
Twelve influential thematic variables and their associated barriers, drivers, and uncertainty factors are identified through interviews. A focus group SWOT analysis further explores these variables, leading to the development of five strategies (ST1 to ST5) aimed at structuring the education portfolio of the AS Climate Action Plan. These strategies address change direction, context and culture, planning and preparation, design and implementation, and maintaining momentum and sustaining change.
The study concludes by recommending continuous evaluation of the proposed strategies and further exploration of outcomes related to the Climate Action Plan. It acts as a catalyst for discussions within the faculty, promoting broader conversations with the potential to positively impact the AS community.","Education for Sustainable Development; Systems Change; Higher Engineering Education Institutions; Applied Sciences; Education","en","master thesis","","","","","","","","2024-02-27","","","","Industrial Ecology","Education portfolio of the Core Team of Sustainability at TU Delft",""
"uuid:60217add-7c30-4c62-9309-4b6a92349f29","http://resolver.tudelft.nl/uuid:60217add-7c30-4c62-9309-4b6a92349f29","Design and Operation Optimization of Hybrid Energy Systems for a General Cargo Vessel: Well-to-Wake Emission Analysis and Plant Lifetime Estimation","Beeravelli, Arun Datta (TU Delft Mechanical, Maritime and Materials Engineering)","Coraddu, A. (mentor); Polinder, H. (graduation committee); Mylonopoulos, F.M. (mentor); Delft University of Technology (degree granting institution)","2024","The Global Shipping industry is responsible for transporting 90% of global commerce and is responsible for 3% of global greenhouse gas (GHG) emissions. Addressing this, the International Maritime Organization (IMO) aims to reduce GHG emissions from international shipping by 40% by 2030 and achieve net zero by 2050. This study explores Low Temperature-Proton Exchange Membrane Fuel Cell (LT-PEMFC) hybrid energy systems as a potential solution to reduce shipping emissions. Emphasizing the operational zero-emission capability of PEMFC fueled by hydrogen, the research scrutinizes the emission intensity from hydrogen production and the impact of component degradation on hybrid system efficiency and hydrogen consumption.
The research pivots around optimizing the design and operation of ship hybrid energy systems to minimize costs while considering well-to-wake (WTW) emissions and component lifetime. It investigates two hybrid configurations: PEMFC/Li-ion battery (LIB) and Diesel Generator (DG)/PEMFC/LIB. Employing a Mixed Integer Linear Programming approach for component modeling, the study conducts a two-stage analysis: design optimization considering various hydrogen sources and plant lifetime estimation focusing on PEMFC and battery degradation.
Initial findings reveal that system design costs do not significantly differ across hydrogen grades. The DG/PEMFC/LIB configuration emerges as cost-effective, reducing CAPEX by 62.8% compared to the PEMFC/LIB setup. Carbon Capture and Storage (CCS) hydrogen grades strike a balance between cost and emission reduction, notably cutting emissions by up to 85% in the PEMFC/LIB configuration at a 27% OPEX increase.
Lifetime estimation highlights the effectiveness of a hierarchical optimization method in mitigating PEMFC voltage loss and extending component lifespan, albeit with increased battery cycling aging. The study underscores the importance of selecting the appropriate hydrogen grade and operational strategies to enhance the sustainability and economic viability of maritime hybrid energy systems, aligning with IMO’s emission reduction goals.","Proton exchange membrane fuel cell; Li-ion battery; Hybrid Energy System; Mixed Integer Linear Programming (MILP); Optimization; Degradation","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:fd233065-a854-4477-8009-497282dff5b4","http://resolver.tudelft.nl/uuid:fd233065-a854-4477-8009-497282dff5b4","From Scroll to Soul: Design Features of Social Media Platforms and Their Impact on Users' Mental Well-Being","Jaff, Mani (TU Delft Technology, Policy and Management)","de Reuver, Mark (mentor); Marin, L. (graduation committee); Figueroa, C.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","The prevalence of mental health issues among adolescents and young adults is increasing, with social media usage identified as a potential contributing factor. As technology becomes more integral to daily life, the design decisions of social media platforms emerge as significant determinants of users' mental well-being.
Despite awareness of social media's general adverse effects, there is a gap in understanding the specific impact of different design features. Academic literature identifies features like likes and direct messaging as having nuanced effects on mental well-being, but lacks a comprehensive overview for developers to reference. This thesis seeks to bridge this gap, focusing on design features and their psychological impacts to propose design interventions for enhancing mental well-being.
Employing Value Sensitive Design (VSD) as an analytical framework, this study is the first to apply VSD to social media in the context of mental well-being. It involves a tripartite methodology — conceptual, empirical, and technical investigations — to identify significant design features and values related to mental well-being on social media platforms. A novel social media platform, Dime, designed with mental well-being in mind, serves as a case study for evaluating these features and proposing design requirements.
The study identifies 8 key design features affecting mental well-being and outlines 13 values divided into higher and lower levels, facilitating focus on critical values for desired outcomes. It explores value interrelationships and conflicts, particularly noting indirect tensions between values like authenticity and connection, and between personalization, control, and autonomy.
Proposed design interventions aim to resolve identified value conflicts, enhancing authenticity to support connection and enhancing personalization and control to support autonomy.","Social media; Mental well-being; Value Sensitive Design","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:a5e2194b-ddd5-49d0-a7ca-66ecf75af95c","http://resolver.tudelft.nl/uuid:a5e2194b-ddd5-49d0-a7ca-66ecf75af95c","Identification and prioritization of factors and their influence on corporate venturing success: The analysis of influential factors affecting the success of the corporate ventures using the Best-Worst methodology and their prioritization assessment","Gopalakrishnan, Aditya (TU Delft Technology, Policy and Management)","Scholten, V.E. (mentor); Wagner, Ben (graduation committee); Delft University of Technology (degree granting institution)","2024","Corporate venturing practices have been one of the most successful practices in terms of shared innovation or leveraging outside talent to further one’s competitive edge in the rapidly growing and evolving industry of technology. Since, technology has been one of the main driving factors in providing solutions for achievement of short term and long term goals in the space, organizations are interested in finding out potential research findings and data that will enable them to maximize their probabilities of success for the particular venture. Streamlining such points of interest will improve the innovative capabilities of all participating organizations. A repository of literature was combed for identification of key factors that influence success in ventures. For this research BWM methodology has been employed to assess the importance therefore the prioritization of these factors and their impact through a set of curated list of respondents from the industry.","Best-Worst Method; Corporate Venturing; innovation; Extrinsic influencing factors; Intrinsic influencing factors; Open Innovation; Partnerships; Alliances; Port XL; Port Industry; Organizational sustainability goals; Prioritization; Organizational Structures","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:01d5f8ee-1096-4e51-a407-e75973174a89","http://resolver.tudelft.nl/uuid:01d5f8ee-1096-4e51-a407-e75973174a89","Agile risk management: Enhancing the risk management process in projects through agile principles, methods and tools","Liapi, Anastasia (TU Delft Civil Engineering & Geosciences)","Bosch-Rekveldt, M.G.C. (graduation committee); Jalali Sohi, A. (mentor); Hall, Daniel M. (mentor); Delft University of Technology (degree granting institution)","2024","A construction project is inherently complex, involving numerous stakeholders, lengthy timelines, and intricate relationships, leading to significant risk potential. The increased complexity of construction projects and the fact that a large percentage of projects are still delivered with significant delays and cost overruns has led to the necessity of applying different methods by the project managers and the teams. Agile project management is an example of that as its implementation in construction projects can help increase flexibility, achieve continuous improvement, help the project stay on track without schedule overruns and achieve an overall improved quality through effective collaboration and constant feedback. Despite agile project management's flexibility and continuous improvement benefits, its integration with risk management remains underexplored in the construction industry.
The objective of this study is to propose a framework that could help improve the risk management project by offering a more proactive approach and at the same time enhance people’s engagement with risks. The goal of this thesis project is to support construction companies in approaching risk management in a way that incorporates agile methods.
Implemented in a project and evaluated through questionnaires and a focus group, the framework showed promise in elevating risk awareness and fostering interdisciplinary collaboration. However, incomplete implementation of some elements limited definitive effectiveness assessments. Subsequent expert judgment sessions confirmed the framework's potential in proactive risk identification and management, alongside the initial findings of heightened awareness and collaboration. Recommendations for improvement include clearer risk impact depiction and explicit framework objectives communication. Essential for success are targeted risk training, clear vision articulation, and structured step-by-step implementation supported by project managers and risk coordinators.
In summary, the proposed framework merges agile principles with risk management, aiming to cultivate a proactive risk culture, enhance team communication, and mitigate increase engagement with risks. While showing significant benefits, it also highlights the need for adjustments to fully realize its effectiveness in the construction industry's risk management practices. This study underscores the importance of agile methodologies in enhancing traditional risk management approaches, offering insights for future application and refinement.","Risk management; Agile project management; scrum method; Framework development","en","master thesis","","","","","","","","2025-02-26","","","","Construction Management and Engineering","",""
"uuid:36c2f0bd-f1b6-4f72-aabe-211518115aed","http://resolver.tudelft.nl/uuid:36c2f0bd-f1b6-4f72-aabe-211518115aed","Natural User Interface in Augmented Reality to Control Spot: A Large Scale User Study on Speech and Gesture Control of Robots With The Microsoft HoloLens","van der Linden, Jesse (TU Delft Mechanical, Maritime and Materials Engineering)","Eisma, Y.B. (mentor); Delft University of Technology (degree granting institution)","2024","The increasing presence of robots calls for a more seamless and information-rich communication method between humans and robots. This paper explores how natural user interface (NUI) modalities, particularly speech and gesture controls, can be used through augmented reality (AR) to operate robots. The increasing presence of robots calls for proper evaluation methods of how to use AR for operating mobile robots. The study uses the Microsoft HoloLens and the robot, named Spot, from Boston Dynamics as primary technologies. The research consists of a user study consisting of 218 participants, one of the largest participant pools for this field to date. The experiment consists of walking the robot over a trajectory with discrete steps, with the perspective of following the robot or standing on a predetermined stationary point. To support the control of the robot, visual information and feedback are included in the HoloLens. Speech control showed the best time performance of the experiment, regardless of the perspective condition. Conversely, errors made during the trials were the majority for the speech condition, due to the waiting time of the speech recognition that caused participants to repeat the commands. The walking condition gave participants the impression that control commands were more intuitively mapped to the robot's motion. Overall, the participants preferred the speech control method while walking with the robot, and the least preferred method was using gestures in a stationary perspective. Even though the speech was the preferred control method and perspective-taking was preferred by participants, this was only for the experiment and task discussed in this paper. Both control methods have different characteristics that make them favorable to be used for specific tasks. Speech and gestures can be used for different tasks when operating a robot with Augmented Reality glasses; preference will depend on the task at hand and the control method design.","HoloLens 2; Robotics; Augmenetd Reality; Human-Robot Interaction; speech recognition; Gesture Recognition; Teleoperation; Natural User Interface","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:b32a6259-1cb7-4464-becf-ee3a13a8bbf0","http://resolver.tudelft.nl/uuid:b32a6259-1cb7-4464-becf-ee3a13a8bbf0","A Novel Micro-Indentation Based Method for Local Mechanical Property Characterization","Zheng, Qinyi (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Materials Science and Engineering)","Popovich, V. (mentor); Troost, N.C.H. (mentor); Hermans, M.J.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Micro-indentation testing has shown great promise in extracting local mechanical properties of ductile metal materials. Although the relevant contact physics has been well revealed since the 19th century, interpreting the indentation data still poses many challenges at the application level. Regarding one of the mainstream methodologies for extracting metal's representative stress-stain curve, the semi-analytical method has demonstrated remarkable performance towards the well-defined contact system involved. However, applying such a model to other indentation scenarios tends to cause some practical measuring problems. The validity of the results depends heavily on the practical experimental setup and the hardware testing calibration, which is inherently related to its accomplishment level in capturing the entire mechanical response. This thesis investigates such practical issues through a provided semi-analytical model validation. In addition, to capture a material's elastic modulus with less reliance on initial data, a novel analytical model has been proposed, with a special focus on the extensive unloading/reloading data.
However, both the analytical and semi-analytical methods are not fully applicable. For the analytical model, the first unloading segment contributes the most matched estimates of effective modulus to the tensile reference value, with an average deviation error of 6%. But there still remain relatively large discrepancies between two similar samples. Besides, the validity of its results highly depends on accurate profile radius determination, which demands a more precise profilometry system. For the semi-analytical model validation, the resulting indentation strain-stress curves appear to exhibit post-yield behavior and fail to capture the effective modulus as well as the yield strength. It reveals the model's performance that heavily relies on the initial elastic data.","Micro-indentation; Local mechanical characterization; Semi-analytical model; Profilometry; Elastic modulus; Yield strength","en","master thesis","","","","","","","","2026-02-26","","","","Materials Science and Engineering","",""
"uuid:581e4697-0079-4783-bc03-5e2547e0bf11","http://resolver.tudelft.nl/uuid:581e4697-0079-4783-bc03-5e2547e0bf11","Digital twin for dynamic coordination of systems in complex and variable environments: A case study at KLM Engineering & Maintenance","Niers, Jesse (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Transport Engineering and Logistics)","Napoleone, A. (mentor); Negenborn, R.R. (graduation committee); Noble, B.O.M.N. (graduation committee); Delft University of Technology (degree granting institution)","2024","This research investigates the application of a digital twin in managing challenges of variability and complexity within systems by using a case study at KLM Engineering & Maintenance. Using the DMADV (define, measure, analyse, design and verify) methodology, the research evaluates the problem using a literature review, measures and analyses the current state of the Logistic Handling Area (LHA), designs a digital twin concept and verifies its value. The literature review highlights the variability in the Maintenance, Repair and Overhaul (MRO) industry, which enables the investigation of digital twin applications for dynamic coordination. The analysis phase reveals significant operational challenges arising from variability in inflows and processing times, enhanced by system complexity and integral coordination issues between departments. To address these challenges, a digital twin is designed that enables real-time monitoring of KPIs, testing of dynamic resource allocation and integral operational target setting. The value assessment shows that the digital twin can support process operators by managing variability through continuous monitoring and evaluation of resource allocation, ultimately achieving predictable and stable system performance in a complex and variable environment.","Digital Twin; Variability; Complexity; Operation; System; Coordination","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:aee1e384-e9d4-4d97-9826-51abddeb7b97","http://resolver.tudelft.nl/uuid:aee1e384-e9d4-4d97-9826-51abddeb7b97","Towards a fully distributed multivariable hydrological deep learning model with graph neural networks","Nelemans, Peter (TU Delft Civil Engineering & Geosciences)","Taormina, R. (mentor); Bentivoglio, Roberto (graduation committee); Hrachowitz, M. (graduation committee); Dahm, Ruben (graduation committee); Meshgi, Ali (graduation committee); Buitink, Joost (graduation committee); Delft University of Technology (degree granting institution)","2024","Fully distributed hydrological models take into account the spatial variability of a catchment, and allow for assessing its hydrological response at virtually any location. However, these models can be time-consuming when it comes to model runtime and calibration, especially for large-scale catchments. Meanwhile, deep learning models have shown great potential in the field of hydrological modelling, but a multivariable, fully distributed hydrological deep learning model is still lacking. To address the aforementioned challenges associated with fully distributed models and deep learning models, we explore the possibility of developing a fully distributed multivariable deep learning model by using Graph Neural Networks (GNN), an extension of deep learning methods to non-Euclidean topologies. We develop a surrogate model of wflow_sbm, a fully distributed, physics-based hydrological model, by exploiting the similarities between its underlying functioning and GNNs. The GNN model uses the same input as wflow_sbm: gridded static parameters based on physical characteristics of the catchment and gridded dynamic meteorological forcings. The GNN model is trained to approximate wflow_sbm outputs, consisting of multiple gridded hydrological variables such as streamflow, actual evapotranspiration, subsurface flow, saturated and unsaturated groundwater storage, snow storage, and runoff. Our results show that the GNN model accurately predicts multiple hydrological variables in unseen catchments (median KGE=0.76), and can serve as an emulator of wflow_sbm with a shorter runtime. We furthermore demonstrate how the GNN model can function up to a prediction horizon of a full year, using physical system states to account for system memory, as well as a curriculum learning strategy combined with a multi-step ahead loss function during training. Overall, this study contributes to the field of fully distributed modelling using a deep learning approach.","Hydrological modelling; fully distributed models; Deep Learning; graph neural network; multivariable; surrogate modeling","en","master thesis","","","","","","","","2026-02-01","","","","Water Management | Water Resources Engineering","",""
"uuid:f4b2e90c-373f-4106-ba6f-f832559eca85","http://resolver.tudelft.nl/uuid:f4b2e90c-373f-4106-ba6f-f832559eca85","Deep learning-based Image similarity estimation for geo-localization of Historical Aerial imagery","Liu, Yushan (TU Delft Civil Engineering & Geosciences)","Lindenbergh, R.C. (mentor); Dahle, F. (mentor); Wouters, B. (graduation committee); Delft University of Technology (degree granting institution)","2024","Historical aerial imagery serves as a valuable data source for observing Antarctica, facilitating an extended temporal scale of observation and enabling comparisons to deepen understanding of glacier dynamics. However, many historical aerial datasets, including the Antarctica Single Frames dataset utilized in this study, lack geo-referencing and orientation metadata essential for spatial analysis. One method of geo-referencing these historical images involves image matching to establish Ground Control Points (GCPs). This study focuses on the prerequisite for image matching: ensuring alignment between unreferenced historical images and already geo-referenced images in terms of scene and approximate resolution, a process termed 'geo-localization' herein.
Geo-localization is achieved by comparing the historical image with positions within a predefined geo-referenced Area of Interest (AoI). Two predefined remote sensing datasets are used: Sentinel-2 and Quantarctica Rock Outcrop Mask, from which AoIs are generated. Positions within the AoI exhibiting the highest similarity to the historical image are likely to correspond to the same ground area, thus providing the location of the historical imagery.
This similarity assessment employs two Siamese Networks: SigNet and ResNet-50. SigNet, originally designed for signature verification tasks, consists of four convolutional layers. In contrast, ResNet-50, initially developed for image classification purposes, is characterized by its deep architecture comprising approximately 50 convolutional layers, as suggested by its name. In this study, these two models are initially pre-trained on cross-domain datasets and subsequently adaptively trained with task-specific datasets created in this study. The adaptive training datasets comprise triplets of similar and dissimilar images pre-processed using methods devised in this study. An evaluation methodology based on confidence level is developed to assess the model and workflow performance, which is then applied to 51 test historical image samples.
Overall, the results indicate that the ResNet-50 based network outperforms SigNet, achieving a 95.5% average confidence level. However, the method does not meet the initial expectation of directly providing the location of the historical image within the AoI. Instead, it identifies potential locations. Nevertheless, this outcome is valuable as it streamlines the search process for subsequent image matching steps. For instance, a 95.5% average confidence level for the ResNet-50 based network correlates with an approximate 95.5% reduction in processing time for geo-referencing when integrated with image matching in subsequent steps.","","en","master thesis","","","","","","","","","","","","Applied Earth Sciences","",""
"uuid:4987ca25-9510-435e-9673-d1e41804aaf8","http://resolver.tudelft.nl/uuid:4987ca25-9510-435e-9673-d1e41804aaf8","Metal oxide materials for solid-state fluoride ion batteries","Peng, Linhan (TU Delft Mechanical, Maritime and Materials Engineering)","Braga Groszewicz, P. (mentor); Abrahami, S.T. (mentor); Bottger, A.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","This study explores the potential of metal oxide fluorides as cathode materials for solid-state fluoride-ion batteries (FIBs), aiming to combine the stability of intercalation-based electrode materials with the high energy density of conversion-based materials. Through comprehensive experimental investigations using techniques such as electrochemical impedance spectroscopy (EIS), cyclic voltammetry (CV), galvanostatic charge-discharge (GCD), linear sweep voltammetry (LSV), and X-ray diffraction (XRD), the compatibility and electrochemical performance of transition metal oxides (Cu2O, FeO, and Mn2O3) with CsPb0.9K0.1F2.9 (PK10) solid electrolyte and Pb/PbF2 composite anode are evaluated. Results indicate negligible room temperature capacity for Cu2O, FeO, and Mn2O3, suggesting potential limitations related to the cathode fluorination reaction. Additionally, PK10 electrolyte displays slight instability at room temperature, indicating potential electrochemical activity. Symmetric cell testing using Pb/PbF2 composite electrodes confirms the suitability of the Pb/PbF2 composite as both counter and reference electrodes. Notably, Cu2O full cells show enhanced specific capacity at elevated temperatures (60 °C), reaching 310.24 mAh/g during the first cycle, equivalent to 82.96% of the theoretical specific capacity. This considerable increase in capacity due to only a slightly higher temperature is attributed to reduced overpotential and enhanced fluoride ions diffusion rates. However, observation of capacity fade between cycles for the Cu2O cell at 60 °C suggests irreversible reactions, necessitating further investigation. In conclusion, this study highlights the potential of metal oxide cathode materials in solid-state FIBs, emphasizing the importance of understanding electrolyte stability and cathode compatibility for battery performance enhancement.
Physics-based NBMs utilizing SCADA data have been successfully used for fault detection of the main bearing. However, the application of these methods for degradation trend monitoring have not yet received attention. The primary reason for the premature failure of wind turbine components is attributed to the variability of the wind conditions. However, current NBM methods are based solely on the mean value records and do not consider the variations within the 10-minute time frame. Furthermore, seasonal fluctuations in operating conditions can adversely affect the obtained degradation trend.
The main objective of this thesis is to improve physics-based NBM employing SCADA data to monitor the degradation trend of the main bearing. The proposed approach uses a physics-based NBM available in the literature as the baseline. It aims to increase the monotonicity and reduce the dispersion of the developed HI to enable accurate degradation trend monitoring. To achieve this objective, the proposed method takes into account seasonal variations and variability of operating conditions within the 10-minute SCADA time frame when modeling the main bearing temperature. To mitigate the impact of seasonal changes on the HI, the proposed method develops multiple physics-based NBMs corresponding to monthly time windows. To take into account the variability of the operating conditions, the main bearing temperature is modeled by performing a Monte Carlo simulation using the SCADA data mean and standard deviation values. In this case, the HI is defined by the data density within a threshold region. Two case studies are conducted to demonstrate the advantages of the proposed method compared to the baseline approach. The results show that with the proposed approach, the seasonality effects are reduced by more than 50%, as measured through cross-correlation metric with the ambient temperature, the HI monotonicity increases by 260% as measured by the Mann-Kendall τ monotonicity metric, and the dispersion reduces by 30% and 35%, as evaluated by the Mean Square Error and a noise metric obtained using the Complete Ensemble Empirical Mode Decomposition with Adaptive Noise approach.","Physics-based NBM; Health Indicator; Degradation; Main Bearing; SCADA; temperature","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:48078884-e157-4c0c-9fc3-01c0caaebb56","http://resolver.tudelft.nl/uuid:48078884-e157-4c0c-9fc3-01c0caaebb56","Implementing an Adaptive Haptic Shared Controller in Pursuit and Preview Tracking Tasks","Mckenzie, Max (TU Delft Aerospace Engineering)","Mulder, Max (mentor); van Paassen, M.M. (mentor); Borst, C. (graduation committee); Ribeiro, M.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Haptic shared controllers (HSCs) are a promising solution to prevent human over-reliance on automation during tasks such as car driving. However, research has shown that if the HSC is tuned incorrectly, then there is a risk of haptic conflicts between the human and HSC. To address this challenge, this paper presents the design and implementation of a novel adaptive HSC that continuously adjusts its look-ahead time. By estimating the time shift between the reference state of the HSC and the actual state, the HSC adapts to the look-ahead time of the human it is interacting with. Results from a human-in- the-loop experiment show that the novel HSC achieves similar subjective ratings as a fixed preview HSC, as well as a significant improvement over a fixed pursuit HSC. Going from pursuit to preview, objective experiment data shows that as the adaptive HSC adjusts its look-ahead time, haptic conflicts are reduced and tracking performance is increased. The presented findings are a step forward in designing haptic support systems with high chances of user acceptance. The proposed adaptive look-ahead algorithm provides a new method for online estimation of human look-ahead time, with or without a HSC in-the-loop.
The cases' workshop activities were structured into seven phases: preparation, information, function analysis, creative, evaluation, development, and presentation. The governance mechanisms used included coordination, building-capability, goal-setting, monitoring, and roles & decision-making. Based on both theoretical and empirical results, the developed project governance process involves several workshop activities and governance mechanisms, where value is defined in terms of impact and then working backwards through a multi-phase process. The process is divided into the following phases: usefulness & necessity phase, preparation phase, information phase, outcome and impact analysis phase, creative phase, evaluation phase, development phase, and presentation and reporting phases. A visual representation of this process can be seen in Figure 4.1 in the original document. Once developed, a workshop confirmed its feasibility for implementation because all phases were clearly explained. Experts' recommendations included linking these phases with work packages, conducting risk analysis before the ""creative phase,"" and making it more visually appealing through a flowchart full of icons or colors to help technical actors better understand it and thus make it more practical.
Integrating the benefits management and value engineering approaches can be achieved by incorporating them into the already-established value engineering multi-phase process. This requires considering all scales along which value evolves, starting from impact, and evaluating them once identified. By doing so, actors are equipped to take more parameters into account, mitigating the uncertainty between expected and realized value. Additionally, governance mechanisms play a crucial role in aligning all actors' expectations towards achieving high-value goals by bringing them together towards a shared goal.","Value engineering; Benefits management; Value Creation; Front end; governance mechanisms","en","master thesis","","","","","","","","","","","","Construction Management and Engineering","",""
"uuid:3d0c2e76-2016-4db3-9f1a-df4ae62f76b9","http://resolver.tudelft.nl/uuid:3d0c2e76-2016-4db3-9f1a-df4ae62f76b9","Towards a measurement-based approach to estimate farm-specific ammonia emissions: With feed management parameters and the slurry manure composition as indicators of the AEP","van Hamel, Emiel (TU Delft Technology, Policy and Management)","Erisman, Jan Willem (mentor); Mogollón, José (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2024","The research focuses on a novel measurement-based approach to estimate the farm-specific ammonia emission potential (AEP) in the dairy sector. By measuring and evaluating the feed-manure chain, feed management strategies and manure parameters influencing AEP can be identified. Ammonia emissions from dairy farms are not only considered to be an important driver of biodiversity loss, but are also responsible for nutrient losses in the farm cycle. Currently, farm-specific ammonia emissions are calculated using the Kringloopwijzer model, which tends to over- or underestimate actual ammonia emissions. Therefore, the possibilities of a measurement-based approach are evaluated.
This study analyses the relationships within the feed-manure-AEP sequence. A comprehensive approach is used, involving 23 manure parameters and 12 feed management parameters. The most important predictors of the AEP include N, TAN, Norg, N90, and the C/N ratio, whilst urea in milk, pH, and DS showed low significance. Silage maize and VEM are identified as feed management parameters with a positive indirect relationship with the AEP, whereas other roughage and fresh grass exhibit a negative indirect relationship. The calculated TAN value plays a central role in the emission calculations of the Kringloopwijzer model. There are concerns about the accuracy of this value as well as the absence of other manure parameters in the calculation, highlighting the need for further research. Currently, it is uncertain whether the AEP measurements will be suitable for an emissions-based policy, due to the incapacity to directly represent actual ammonia emissions and the uncertainty regarding the interpretation of the results caused by the period prior to the measurements. Nonetheless, the measurements are valuable in assessing the influence of the manure composition on the AEP, and how it has been affected by feed management strategies.","dairy farming; Ammonia; Manure","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:46353baa-6b74-4c92-a454-7d11d5fa30aa","http://resolver.tudelft.nl/uuid:46353baa-6b74-4c92-a454-7d11d5fa30aa","Automatic Tuning Saxophone Mouthpiece","Zhang, Yifan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Langendoen, K.G. (mentor); Venkatesha Prasad, Ranga Rao (graduation committee); Delft University of Technology (degree granting institution)","2024","Saxophone is a musical instrument that tends to be out of tune. I have invented an automatic tuning saxophone mouthpiece that can help saxophonists play in tune by correcting their notes' frequencies in real time during performances using a stepper motor.","Music; Saxophone; Tuning; FFT; Frequency detection","en","master thesis","","","","","","https://www.youtube.com/watch?v=-isqbL49Cvc Demonstration video of the Automatic Tuning Saxophone Mouthpiece","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:aec205b3-a5d0-4f6c-816c-6ac4c172cff1","http://resolver.tudelft.nl/uuid:aec205b3-a5d0-4f6c-816c-6ac4c172cff1","Empowerment Through Customization: System of Tools to Present NAVii® Protective Cover to Users and CPOs","Franco, Laura (TU Delft Industrial Design Engineering)","Xue, H. (mentor); Filippi, M. (graduation committee); Harðardóttir, Sigríður (mentor); Delft University of Technology (degree granting institution)","2024","Prosthetic limbs are devices that change users’ lives in every aspect. They allow the wearer to live and be independent again. Nevertheless, despite the numerous benefits, they carry cultural stigmas, as well as physical and mental discomfort, along with various daily challenges. While the functionality and physical comfort of these devices can be objectively evaluated using instruments with differing levels of sophistication, assessing psychological well-being is highly subjective and challenging.
It is known that the aesthetic of prosthetic limbs can have a significant impact on the users’ wellbeing. Research demonstrated that an aesthetically appealing prosthesis can improve user confidence and overall quality of life (Össur® systematic Review, 2022). It can promote a positive self-image and a more active involvement in society (Bekrater-Bodman, 2020), reduce the risk of anxiety, depression, and psychological distress and increase the frequency of use of the device (Lee at al., 2022). In this scenario customization emerges as a viable approach empowering prosthetic users to improve their life and the embodiment with their prosthetic limb.
This thesis has been conducted in collaboration with the prosthetic company Össur®. The project revolves around NAVii®, a new protective cover designed for the knee component of transfemoral prosthesis. For the first time, NAVii®’s users will be able to customize their prosthetic limb by choosing between five different colors and three available sizes. Össur® is now looking into the modalities by which these customization options can be presented to the market. The focus is on the main stakeholders: the prosthetic Users and the specialists Certified Prosthetist/Orthotist (CPOs). The project takes a user-centered design approach that aims to a deep understanding of the users, the context and the current system’s dynamics. By applying qualitative research methods such as interviews, focus group and observation the goal is to improve the whole user experience during the selection of the NAVii® cover.
This research highlighted the impact of the different CPOs on the journey of each patient. Even though every user goes through a subjective amputation experience, it is possible to identify common touch points throughout the prosthetic acquisition process. The final design is the result of an iterative process of concepts’ prototyping and testing. The findings obtained from the testing lead to the development of a system of tools that aim to support the CPOs and the users in the selection of the NAVii® protective cover. This new design system, is able to suit diverse user’s needs. The design outcome yielded positive impacts on the user’s psychological empowerment, consequently enhancing the CPO-User relationship.","Prostheses; Customization; Empowerment","en","master thesis","","","","","","","","","","","","Design for Interaction | Medisign","",""
"uuid:ce61e261-b48a-4988-b2df-b7065837ba2b","http://resolver.tudelft.nl/uuid:ce61e261-b48a-4988-b2df-b7065837ba2b","Bistable Interlocking Mechanism in SHCC-to-SHCC Interfaces: An Experimental Study","Papoulidou, Sofia (TU Delft Civil Engineering & Geosciences)","Lukovic, M. (mentor); Šavija, B. (graduation committee); He, S. (mentor); Delft University of Technology (degree granting institution)","2024","The current structural demands include complex designs, efficient utilization of material resources, and maintenance of existing structures and infrastructure. In all these cases, the connections between structural components are the main focus of design since they are widely considered the weakest link in a structural system. The demand for strong and durable connections with cementitious materials is higher than ever. Creating reliable connections is largely connected to material reuse, waste reduction, ease of disassembly, and the ability to extend the life cycle of structures. These principles contribute to a more sustainable approach to construction.
Recent research shows that by implementing intricate interlocking geometries, toughness can be added to inherently brittle materials like ceramics or polymers. With the concept of ""toughness by segmentation,"" new metamaterials emerge with enhanced properties compared to the monolithic material they are made of. In this study, the focus is on bistable interlock, a new type of connection. Inspired by nature, the connection is based on double-radii morphologies that geometrically lock into two equilibrium positions under tensile load, exhibiting two distinctive peaks in their force-displacement diagram. When the bistable interlock mechanism was applied to Acrylonitrile Butadiene Styrene (ABS), a relatively brittle yet strong polymer, the sutured material was up to 10 times tougher than monolithic ABS. The focus of this research is to manufacture the bistable interlock mechanism with cement-based materials and specifically, Strain Hardening Cementitious Composite (SHCC). SHCC belongs to the category of fiber-reinforced concrete and is distinguished by its tensile hardening behavior and pseudo-ductility stemming from its fiber-bridging property. Combined with the geometrical hardening of bistable interlock, the ultimate goal is to create resilient connections that balance toughness and strength.
The performed literature study was focused on three areas: the bistable interlock mechanism, interfacial load transfer mechanisms in concrete-to-concrete interfaces such as friction, chemical bond, and mechanical interlock, and the material and mechanical properties of Strain-Hardening Cementitious Composites (SHCC).
Two main areas of interest were the objects of the experimental study. The first was to understand the tensile behavior of bistable interlocks, and the second was to optimize it by appropriately tailoring the interface and geometry. The design of the experiments featured three parameters: the key shape (straight & curved keys), the interface treatment (untreated & lubricated interface between the two parts), and the geometry (based on width-to-height ratios for straight keys & radii ratios for curved keys).
From the experimental results, it was found that the shape of the keys changed the tensile response of the specimens greatly. The influence was different for untreated and lubricated interface specimens. For the untreated specimens, the complex shape of the bistable interlocked geometry combined with interface adhesion led to 78% of the untreated specimens rupturing at the interface. Only 44% of the straight keys showed failure under the same conditions. In this application of bistable interlock, no benefits of geometrical hardening could be exploited due to the strong adhesive bond causing premature failure of the keys at the interface. For the lubricated specimens, shifting from straight to curved geometry brought simultaneous increases in force and energy (i.e. defined as the area under the force-displacement diagram) for all the specimens, fully exploiting the benefits of the frictional contact of the bistable interlock mechanism. The increase in force documented ranged from 41-62% and in energy from 9–96%.
The aforementioned difference in tensile response highlights that the interface treatment is a governing parameter. Only 56 and 22% of untreated straight and curved specimens fully delaminated (e.g. instead of breaking) in comparison to 89% of their lubricated equivalents. The rest of the specimens exhibited (localized) SHCC failure due to the strong interface bond. Untreated specimens showed a higher resistance force (approximately 20% for straight and 10% for curved keys) but a more brittle response, resembling a monolithic connection, while lubricated specimens showed less resistance to tension, resembling a sliding connection. This trend is consistent with broader findings in the literature: inherently brittle monolithic materials compared to their architectured counterparts exhibit greater strength but lower toughness. For the straight keys, lubrication made the failure mode more uniform but decreased the strength and energy. The strong bond of untreated specimens, accompanied by a hardening response due to fiber activation against torsion and/or bending, was responsible for this result. Specimen imperfections caused this state of combined loading. Curved lubricated keys showed an enhancement in energy absorption (i.e. area under the force-displacement diagram) due to the exploitation of the bistable interlocks. Special curved keys made of assembled parts were investigated, simulating a precast-to-precast connection. The assembled keys did not outperform the lubricated and untreated curved keys in terms of strength and energy absorbed. Their benefits lie in two areas: they were easier to manufacture, and they attained a larger second peak than the first in the force-displacement diagram. This characteristic is beneficial for the mechanical stability of the system.
To optimize the response, the specific geometry of the specimens was analyzed (w/h and 𝑅1/𝑅2). The influence of the geometry on the tensile response was not as prominent as the interface treatment. However, improvements were noticed when increasing geometry parameters. For untreated and lubricated straight keys, increasing the length led to a proportional increase in absorbed energy but not in strength. For the curved untreated specimens, the increase in geometry yielded no major differences since the interface treatment governed the response. Conversely, for the lubricated specimens, with a geometry increase, the response was enhanced in both strength and energy and eventually, a design threshold at 𝑅1/𝑅2 = 1.10 was noticed. A clear trend of an increase in the first peak, and a decrease in the second peak as the geometry increased, existed. Extensive cracking and loss of stiffness after the second equilibrium position due to the geometrical interference of larger keys were responsible for that.
Overall, the architectured SHCC material, straight or curved, attained 1/3 of the strength of the monolithic SHCC. This was even lower for lubricated keys. When it came to energy absorption, the lubricated curved keys with bistable interlocks performed better, reaching up to 75% of the SHCC’s energy. This is contrary to the literature findings, where bistable interlocked materials made of ABS were tougher than monolithic ABS. In the case of SHCC, the material properties were different. Due to the extensive cracking of the key, reduced frictional contact occurred, and reduced energy was absorbed. However, a beneficial characteristic of the architectured SHCC keys was their sustained resistance to tension at higher strain levels. That makes them beneficial for many engineering applications where energy absorption and resistance to impact loads and thermal and/or hygral effects are prioritized. Another benefit exists in the customization of their tensile response by fine-tuning geometrical parameters. For a radii ratio of 1.10 in bistable interlocked keys, a satisfactory balance of strength and toughness was achieved, showing that with appropriate design, the connections have promising results.","Bistable Interlock; Strain-Hardening Cementitious Composite (SHCC); Architectured Material; Interface; Ductility; Geometrical Hardening","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering | Concrete Structures","",""
"uuid:dc75e4b8-356e-4ce5-bbd0-7a672c5ae394","http://resolver.tudelft.nl/uuid:dc75e4b8-356e-4ce5-bbd0-7a672c5ae394","Electronic quality factor control for piezoelectric transducer arrays","Wemelsfelder, Tom (TU Delft Mechanical, Maritime and Materials Engineering)","Lopes Marta da Costa, T.M. (mentor); Delft University of Technology (degree granting institution)","2024","In recent years, many therapeutic applications for medical ultrasound have arisen, such as ablation of tumors, breaking up kidney stones, and neuromodulation. To localize the target area, often ultrasound imaging methods are used. Conventionally, a different transducer array is used for imaging and therapeutic applications because of their conflicting requirements of transducer damping. If this damping would be done electronically, it can be switched on or off on demand, allowing a single transducer array to be used for both therapeutic and imaging ultrasound. This would allow medical ultrasound devices to be smaller and therapeutic treatments to have reduced overall system complexity. This thesis develops a PCB to compare a combination of existing and novel methods of electronic damping on a single transducer, also called electronic Q-factor control. A maximum damping of 23\% is achieved relative to the undamped transducer mounted on the PCB. This is achieved by a novel method based on a feedback loop around a second 'dummy' transducer. Methods of electronic Q-factor control should eventually be extended to be applied in an Integrated Circuit for damping of entire ultrasound transducer arrays.","Ultrasound Imaging; ultrasound neurostimulation; Ultrasound Transducer; Piezoelectric; Q-factor; Quality factor; Neuromodulation","en","master thesis","","","","","","","","2026-02-23","","","","Biomedical Engineering","",""
"uuid:9faf532f-ffc3-42fa-affd-721c0559c4b5","http://resolver.tudelft.nl/uuid:9faf532f-ffc3-42fa-affd-721c0559c4b5","Exploratory study of dealing with substances of concern in a product with an ex-ante LCA: a case of an LCD monitor","van Breukelen, Roelie (TU Delft Technology, Policy and Management)","Guinée, J.B. (mentor); Balkenende, R. (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2024","The study discusses the challenges posed by substances of concern (SoCs) in products, emphasizing the need to address them within the framework of a circular economy (CE). It introduces the Safe and Circular Design (SCD) method as a potential solution but notes its lack of practical testing. The study focuses on implementing the SCD method in addressing indium tin oxide (ITO) within a liquid crystal display (LCD) monitor, highlighting difficulties due to limited data on SoCs and strategies for their management. An ex-ante life cycle assessment (LCA) compares ITO and an alternative, revealing environmental impacts and challenges in evaluating toxic trade-offs. The application of the SCD method to the LCD case identifies insights and challenges, emphasizing the importance of data availability and multidisciplinary collaboration for safer and sustainable product development. The study recommends refining the SCD method and conducting further case studies to assess its effectiveness across different scenarios. It underscores the need for enhanced data transparency and collaboration among stakeholders to advance safe and sustainable product design.","Substance of Concern; Substance of Very High Concern; Life cycle assessment (LCA); Safe by Design; Safe and Sustainable by Design; LCD monitor","en","master thesis","","","","","","","","","","","","Industrial Ecology","NWA project",""
"uuid:539c8984-a0a4-4a89-be3e-c5dba706ee7d","http://resolver.tudelft.nl/uuid:539c8984-a0a4-4a89-be3e-c5dba706ee7d","Modeling, Design and Experimental Evaluation of an Agile Long-Reach Robotic Arm","Hompes, Jouke (TU Delft Mechanical, Maritime and Materials Engineering)","Jovanova, J. (mentor); Kortman, V.G. (graduation committee); Delft University of Technology (degree granting institution)","2024","Flexible robotics offers promising solutions for navigating complex environments, and this study contributes to its advancement through innovative methodologies optimizing both flexible robotic arm kinematics and a novel flexible actuator. The first methodology focuses on optimizing kinematics using quadratic programming, enabling the determination of optimal segment configurations without prior knowledge of specific materials or working principles, thus introducing a novel systematic first step in flexible robotic design. Addressing computational intensity and solver compatibility limitations, valuable insights are provided into designing segmented flexible robotic arms, offering a systematic methodology for real-world challenges. Simultaneously, an electromagnetically actuated Kresling cylinder is introduced, leveraging tunability in axial stiffness and electromagnetic actuation. Through dimensional optimization using finite element analysis (FEA), critical design considerations such as coil dimensions and core configurations are systematically explored. Experimental validation extends the application to full-sized robotic arms for package unloading in confined spaces, underscoring the significance of magnetic force in overcoming gravitational resistance, especially in logistics environments. These methodologies represent significant contributions to the field of flexible robotics. The first provides a systematic framework for kinematic optimization, while the second introduces an innovative actuation mechanism tailored for flexible robotic arms in industrial settings requiring long-reach capabilities. Their integration opens new possibilities for designing adaptable robotic systems capable of complex tasks in diverse environments. By addressing computational challenges and practical constraints, this research advances the frontier of flexible robotics, facilitating real-world implementation across various industries.","Flexible robotic arm; Kinematic Optimization; Prototyping; Electromagnetic Actuator; Finite Element Analysis; Origami mechanisms","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:36d8640b-c65a-415b-9296-4aefa848637e","http://resolver.tudelft.nl/uuid:36d8640b-c65a-415b-9296-4aefa848637e","PVT SOLAR EXPLORATION: The Circular Photovoltaic-Thermal panel of the future","Kroon, Youp (TU Delft Industrial Design Engineering)","Balkenende, R. (mentor); Vogt, M.R. (mentor); Delft University of Technology (degree granting institution)","2024","This graduation project presented the development of a new Photovoltaic-Thermal panel (PVT) module design, aimed at addressing sustainability challenges in conventional solar panels. The research focused on improving repairability and recyclability by replacing the standard ethylene-vinyl acetate (EVA) laminate with a liquid encapsulant. This transformation enhanced the module's thermal stability and light transmittance and innovatively converted the panel into a pioneering photovoltaic-thermal (PVT) system. Experimental prototypes, conducted at the Photovoltaic Materials and Devices - TU Delft, demonstrated the feasibility of this concept. The outcome of this graduation project, conducted for Biosphere Solar, laid a robust foundation for future developments in sustainable solar energy solutions.","Photovoltaic-Thermal (PVT); liquid PV encapsulation; Industrial Design Engineering","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:af82529b-1547-403c-9623-4cdb6226bb3f","http://resolver.tudelft.nl/uuid:af82529b-1547-403c-9623-4cdb6226bb3f","The application of reusable hollow core slab connections in steel frames: Investigating the behaviour of a newly proposed reusable connection with increased tolerances","Wintermans, Marten (TU Delft Civil Engineering & Geosciences)","Veljkovic, M. (graduation committee); Kavoura, Dr. Florentia (mentor); Stark, Rob (mentor); Jonkers, H.M. (graduation committee); Poliotti, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","To align with the objective of the European Green Deal [46] policy of achieving net-zero greenhouse gas emissions by 2050, the construction sector must transition to a circular built environment. Most of the waste in the Netherlands is related to construction and demolition waste, with the industry accounting for approximately 35% of CO2 emissions [89]. The goal of the circular built environment is to minimise waste by reducing, reusing, recycling, and recovering materials throughout the life cycle of a product [66]. Therefore, making an impact in the construction industry can lead to a significant reduction in CO2 emissions and contribute to the achievement of the European Green Deal [46].
This research contributes to the ""reuse"" component of the aforementioned circular built environment goals. Specifically, the focus is on developing a reusable connection between hollow core slabs and steel frames by implementing increased execution tolerances. Increased tolerances are necessary to allow reuse, as alignment-related problems often occur. The research consists of several parts: the design process, structural verification, experimental research on demountability, and environmental impact assessment. The design process comprises a tolerance analysis supported by a Monte Carlo simulation, variant studies, and a comprehensive qualitative trade-off analysis. After the best scoring alternative is determined, the verification part assesses the structural behaviour of the connection in terms of strength and stiffness. This is done using a combination of analytical and numerical calculations. The purpose of the experimental research is to investigate the demountability potential of the reusable connection. The final part of the research investigates the impact of the reusable connection compared to conventional connections for different lifecycle scenarios.
The research demonstrated that incorporating additional tolerances in the connection between the hollow core slab and steel frame is crucial to achieve a reusable construction. Three connection alternatives were generated that can incorporate these tolerances based on a literature review and meetings with experts in the field of building construction. The alternatives were weighted on tolerance inclusion, ease of installation, demountability potential, and costs. The best option was identified as a connection consisting of a square hollow section and a bolted shear stud encased in mortar. This alternative outperformed competitors in terms of tolerances, installation, and costs. However, the demountability potential was identified as a critical part of the connection and, therefore, was further investigated experimentally. The experiments showed an increased demountability potential in situations that include pre-treatment. Vaseline-treated specimens showed no signs of chemical bonding and better lubrication compared to oil-treated specimens, resulting in the lowest resistance and, therefore, the best demountability. The last step of the research investigated the environmental impact of the reusable connection and compared it with the conventional construction technique. Results showed that a marginal addition of 1.3% to the initial environmental impact of the superstructure results in a significant reduction over the full lifespan of the structural elements. This was attributed to the reusability of the connection and the ability to reuse structural elements in another building in a second life cycle.
From the results, it is concluded that a reusable and structurally feasible connection between hollow core slabs and integrated steel beams can be created with a small additional investment upfront, resulting in a substantially reduced environmental impact. The purpose of this study is to provide guidance and persuade decision makers, such as project developers, building owners, and government organisations, to consider implementing reusable construction methods in their real estate projects. By doing so, they can contribute to the objective of the European Green Deal [46] policies and the goal of achieving net-zero greenhouse gas emissions by 2050.","Reusable; Hollow-core slab floor component; Steel frame; Monte Carlo simulation; Execution tolerances; Environmental Impact Assessment; Connection design; Trade-off Analysis","en","master thesis","","","","","","","","","","","","Civil Engineering | Environmental Engineering","",""
"uuid:4bd4dc86-e55a-4c48-89c7-59d3f666c7ee","http://resolver.tudelft.nl/uuid:4bd4dc86-e55a-4c48-89c7-59d3f666c7ee","Integrating cognitive user data in journey maps: Explorations towards designer affinity","Bhamidi, Sreeniza (TU Delft Industrial Design Engineering)","Bourgeois, Jacky (mentor); Schneegass, C. (graduation committee); Delft University of Technology (degree granting institution)","2024","User-centric design practice rely on journey maps and personas as a way to communicate user needs to the design team (Lanius et al., 2021). However, journey maps in practice have been found to lack a dimension that could inform designers about intrinsic cognitive needs of the user. This research project aims to address this gap by exploring ways to make human cognitive data designer-friendly. Through multi-study approach, including the Research through Design (RtD) (Godin & Zahedi, 2014) method, the study investigates dimensions of the relationship between designers and cognitive user data to make it easy-to-approach in future.
Following the context exploration studies, designers' perspectives and practices regarding cognitive user data are delved into. Findings from exploratory interviews reveal varied interpretations of cognitive data, challenges in accessing and visualizing data, and a keen interest in its potential benefits for design processes. Designers identify time constraints as a major hurdle but express enthusiasm for the posterity and revisiting advantages of effective cognitive data visualization.
The RtD method helps in investigating a way of presenting holistic data to designer that merges intrinsic and extrinsic factors of the user. Designers favor clarity, interactive exploration, and reliability in data presentation. The study provides a comprehensive list of neurophysiological measures that can aid designers in decision-making. Additionally, insights into designers' thinking styles, distinguishing between big-picture and detail-oriented thinkers, add a nuanced layer to understanding their affinity with cognitive data.
The report acknowledges limitations, including biases in the Research-through-Design method, reliance on self-reported data, and the controlled environment of prototype evaluations. Future research is encouraged to address these limitations and enhance the ecological validity of findings.
In conclusion, this research offers valuable insights into integrating cognitive user data within designer practices and establishes a way of integrating observational data with user journey maps. The findings provide a foundation for developing tools and approaches that align with designers' preferences, ultimately enriching the design process through meaningful integration of cognitive insights.
Composite offshore structures however pose challenges due to the involved complex damage mechanisms and the need for novel maintenance procedures, introducing uncertainties concerning their operation. A Structural Health Monitoring (SHM) system is proposed for increasing confidence in the safe operation of the new composite gangway.
The suggested SHM system relies on inverse Finite Element Methods (iFEM) deflection reconstruction using Fiber Optics (FO) strain data. The gangway design is simplified to a U-shaped beam geometry under bending load, modeled using IQS4 elements. Its performance was assessed using mock strain data generated numerically through FEM software.
Deflection reconstruction using both tri-axial and uni-axial strain measurements was investigated, revealing that uni-axial measurements can be sufficient for the current application. The sensing network was streamlined by focusing on line configurations along the length of the beam, leveraging the capabilities of FO sensors.
The introduction of strainless inverse elements highlighted the limitations of strain pre-extrapolation with Smoothed Element Analysis (SEA) for such a geometry. Modeling guidelines and their effect on improving the robustness of SEA are explored. A strain sensing network using four uni-axial sensing lines is found to offer a sufficiently accurate deflection reconstruction for the application.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:d217b773-e8c7-4f42-8d10-64bbc4ed9a63","http://resolver.tudelft.nl/uuid:d217b773-e8c7-4f42-8d10-64bbc4ed9a63","Numerical Modelling of Skin-Stringer Separation in Thermoplastic Composite Stiffened Panels","Malladi, Avyadhish (TU Delft Aerospace Engineering)","Turteltaub, S.R. (mentor); Bisagni, C. (mentor); Alderliesten, R.C. (graduation committee); Giovanardi, Bianca (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis project focuses on the evaluation of the Extended Finite Element Model (XFEM) for modelling skin-stringer separation in thermoplastic composite stiffened panels. The study uses test results from a reference study in the literature on a PEKK-FC carbon composite panel with three stringers joined to the skin using a short-fibre reinforced butt joint. The modelling strategy was developed using Double Cantilever Beam (DCB) specimens. The XFEM-based panel models were then developed using two different damage initiation criteria: Quadratic Stress Criterion (QUADS) and Maximum Principal Stress Criterion (MAXPS). These models were compared with the Virtual Crack Closure Technique (VCCT) model from the reference study. The project also addresses the challenges posed by the crack tip impingement problem in the XFEM-based model with MAXPS and tests different strategies to overcome it. A proof of concept is provided for using the UDMGINI subroutine to address these challenges, setting the stage for future studies and algorithm development.","XFEM; VCCT; DCB; Stiffened Panel; butt-joint; Thermoplastic Composite; PEKK-FC; UDMGINI; skin-stringer separation; Post-buckling analysis; Abaqus","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Structures and Materials","",""
"uuid:49068914-5ff6-4786-be8c-c39bea62722f","http://resolver.tudelft.nl/uuid:49068914-5ff6-4786-be8c-c39bea62722f","Exploiting the benefits of a mobility hub to incentivize shared car usage: To what extent could a mobility hub play a role in the adoption of shared cars located at the mobility hub?","Hoogenboom, Jim (TU Delft Civil Engineering & Geosciences)","van Oort, N. (graduation committee); van Wee, G.P. (graduation committee); Annema, J.A. (mentor); Bootsma, Anna (graduation committee); van Gerrevink, Iris (graduation committee); Delft University of Technology (degree granting institution)","2024","Recent research studies have been focused on the adoption of shared cars and mobility hubs separately. Which is why there exists a knowledge gap regarding the influence of a mobility hub on the adoption of shared cars. This qualitative research study aims to fill the knowledge gap by answering the main research question: To what extent could a mobility hub play a role in the adoption of shared cars located at the mobility hub? Multiple angles had to be researched as unilateral answering the main research question was not possible. Therefore, a literature study provides insight in which aspects that are related to the use of a shared car could be influenced by a mobility hub (sub question 1). Next, expert interviews contribute to the knowledge regarding the mutual influences between mobility hubs and shared cars (sub question 2). Finally, a case study captures what stimulates residents to use a shared car at their nearby mobility hub (sub question 3).
In the extensive literature study 50 relevant articles were collected. The Thematic Content Analysis (TCA) that followed identified reoccurring themes. This resulted in subthemes that are related to: financial aspects; functional requirements; personal requirements; aspects of the journey; the attitude of potential users; psychological influences; challenging characteristics of potential users and aspects of a mobility hub. By analysing their influence, it became apparent that the identified themes (i.e. the independent variables) have a causal relationship with shared car usage (i.e. the dependent variable) and that certain aspects of a mobility hub (i.e. the moderator variable) could influence this relationship. These relationships have been presented in a conceptual model. Based on the influence of the identified subthemes, suggestions have been made for the guidelines that a mobility hub should fulfil in order to influence these relationships. By fulfilling the guidelines, a mobility hub can be developed in such a way that it considers the tangible and intangible aspects potential users value to attract more potential users to the hub and lower the barrier of using a shared car located at the mobility hub. Therefore, this qualitative research study showed that there are indeed factors of a mobility hub that can influence the adoption of shared cars located at the hub.","shared car; Mobility hub; guidelines","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:451373f3-51a1-41de-9318-4a6f83bb481d","http://resolver.tudelft.nl/uuid:451373f3-51a1-41de-9318-4a6f83bb481d","Plastic Waste as a Fuel for Transportation: A stated choice experiment on the personal preference and acceptability towards adoption to a plastic-based fuel","Six, Pascale (TU Delft Technology, Policy and Management)","Delft University of Technology (degree granting institution)","2024","Plastic is one of the major pollutants in the world and currently, more than 300 million tons of plastic are produced worldwide (Miandad et al. 2019). Since only 9.5% of plastic waste is being recycled, littering has caused a lot of non-recyclable plastics (NRP) that wander around damaging the environment and ending up in landfills, oceans and other natural environments (Benavides et al. 2017). This overload of plastic demands action to prevent a worsening situation. Therefore, alternative use of plastic waste is sought whereas a promising solution is to use plastic waste as a fuel for transportation. Plastic waste can be converted into various types of fuels, such as gasoline, diesel and jet fuels. To create these fuels, plastic waste undergoes a process called pyrolysis (Manickavelan et al. 2022). This research is done by first gathering information on the social influences on choice-making when consumers have to choose a fuel type. With this information, a survey is constructed existing of a stated choice experiment. With the results of the survey, further information is sought on the policy frameworks and infrastructures necessary for the implementation of a plastic-based fuel and the environmental impacts of using plastic as a fuel, as these aspects seem to impact the consumers most considering a fuel choice. Combining and analysing the information and degree of importance of each attribute can conclude whether the implementation of using plastic fuel will be feasible and socially acceptable. The outcome will be evaluated concerning the feasibility, performance limitations and social acceptance. The results showed the degree of importance for consumers of price, emissions and infrastructure, from most influential to least, regarding making a fuel choice researched with an unlabelled SCE (Stated Choice Experiment). However, when transmitting from gasoline to plastic, the labelled SCE showed the degree of importance for infrastructure, emissions and price from high influence to low influence. Since using plastic fuel is a transition of fuel, the main focus will lie on the results of the labelled SCE. Concerning missions, the most optimal solution to implement plastic fuel is by providing the fuel as a blend. From research, a blend existing of 75-80% fossil fuel and 20-25% plastic shows improvement in tailpipe emissions reducing CO2 emissions and smoke. For NOx emissions, discussion is still ongoing on whether more or less emissions are produced compared to fossil fuels. However, with the blend range of 75-80% fossil fuel and 20-25%, the least NOx are produced compared to other blend ratios. Since a blend is the most optimal implementation strategy, the ease of having access to plastic-based fuel will not differ from fossil fuels as it will be blended into the currently used fuels. Adding plastic oil to fossil fuels will therefore provide a solution to the excess of plastic waste worldwide with an additional advantage of producing less emissions during combustion compared to the currently used fossil fuels.","Plastic; Fuel; Social Acceptance; Stated Choice Experiment","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:59819d6f-2bcf-4238-8dd5-6ae1115d5454","http://resolver.tudelft.nl/uuid:59819d6f-2bcf-4238-8dd5-6ae1115d5454","Timber-glass shear wall stabilised timber modules: Application of a timber-glass shear wall as stabilising element in a mid-rise modular timber building","van Daalen, Jippe (TU Delft Civil Engineering & Geosciences)","Louter, P.C. (graduation committee); Pasterkamp, S. (graduation committee); Felicita, M.P. (graduation committee); Uijtenhaak, Tijl (mentor); Delft University of Technology (degree granting institution)","2024","Currently, most of the modular building can be fabricated off-site, except for the stability system. Therefore, the question arises: Could modules be designed such that there is no need for an additional stability system? As modules are typically built in a rectangular shape, incorporating stability elements such as bracings along the longer side of the module is not the problem. The main challenge lies in the limited length available for stability elements on the shorter side of the module, combined with the fact that this shorter side is commonly used for windows and openings for door frames. This introduces a conflicting interest between structural capacity and daylight within the module. This conflicting interest becomes more and more prominent as the building height goes up. A possible solution to this problem can be found in the use of a load-bearing window frame with glass infill as a stability element, often referred to in literature as a timber-glass shear wall (TGSW).
Therefore, this thesis will answer the main research question: 'To what extent can the structural performance of a timber-glass shear wall as a stability element in a timber module be used to accommodate for the stability of a mid-rise modular timber building?'
The approach to answering the main research question consists of several steps. First, a literature study was conducted on modular buildings and TGSW's. The outcome of the study has provided insight into how modular buildings are constructed in general and how relevant aspects such as progressive collapse, fire safety design, and foundation design influence structural design. The study also resulted in an analytical prediction model for the load-bearing capacity and stiffness of the TGSW. Through this prediction model, it became clear how the properties of individual components relate to the load-bearing capacity and stiffness of the total TGSW-system.
The second step was to propose a design for a modular timber building composed of timber modules. To save on computational time, the stability elements of the building are modelled using steel diagonals as an equivalent system for the TGSW. The cross-sectional area of the steel diagonals is directly related to the properties of the TGSW. Therefore, the steel diagonals have identical stability properties as the TGSW. In this study, varying the type of adhesive and the spacing of the screws was found to have the most significant impact on the overall structural properties of the TGSW. The horizontal connections are made of steel plates fastened with screws. The vertical connections are realised by shear plate connectors. The entire building was modelled in a 3D FEM programme to assess the structural behaviour of the building and its compliance with building regulations. Several building configurations ranging from 1:1 to 1:3 height-to-width ratio were investigated. For each building configuration, the cross-sectional area of the steel diagonals was adjusted within a specified range. This range corresponds to variations in adhesive type or screw spacing. As a result, design graphs were produced, which present the requirements for the load-bearing capacity and stiffness of the stability system. These can be compared to the load-bearing capacity and stiffness of the TGSW. This comparison can be used as a validation method to determine the viability of the TGSW stability element in a modular building.
The results of this study indicate that a modular building can be stabilised by a TGSW up to six stories within the height-to-width ratio of 1:1 to 1:3. The minimum building configurations per story height are: 3 modules high by 5 modules wide, 4 by 8 modules, 5 by 12 modules, and 6 by 18 modules. These slenderness ratios were governed by the strength of the TGSW. The limiting factor in the load-bearing capacity is the shear strength of the adhesive. These slenderness ratios could only be reached with elastic adhesives such as silicones.
The next step is to create a more extensive FEM model that could predict the load-bearing capacity and stiffness of the TGSW in a more accurate way compared to an analytical model. Furthermore, exploring the performance of the TGSW under different horizontal loads, such as earthquakes, would give valuable insight.","Modular Building; Stability system; Timber-glass shear wall; Timber modules","en","master thesis","","","","","","","","","","","","Civil Engineering | Building Engineering - Structural Design","",""
"uuid:995eb646-8425-47ab-877e-1686188611ad","http://resolver.tudelft.nl/uuid:995eb646-8425-47ab-877e-1686188611ad","The Object Catalog | enhancing UNDP's system and portfolio approach for development","van der Sluijs, Hannah (TU Delft Industrial Design Engineering)","Lloyd, P.A. (mentor); Celik, S (mentor); Uriartt, Simone (mentor); Delft University of Technology (degree granting institution)","2024","The challenges of today’s world don’t restrict to borders, specific industries or fields of expertise as linear development models may suggest. In order for development to react in a synchronized manner to capture the complexity of these crises, a transition has been initiated within the United Nations Development Programme (UNDP) and the way of doing development, called the portfolio approach. An approach that agrees with the statement Rittel en Webber (1973) that finding the solution to a problem is similar to understanding the nature of the issue. The goal of the new approach, developed by the Strategic Innovation Unit (SIU) of the UNDP is to move from linear project planning to a more systemic and holistic way of working within the discipline.
This report follows the online journey of an UNDP team into this new way of doing and discussing development. The journey did not go without hurdles, both external factors, political dynamics and organization hierarchy, and internal factors, adjusting to a new mindset and communication manner, influenced the learning process of the team.
Observations of these dynamics has led to an intervention in the domain of communication within the portfolio realm in order to socialize the principles of systemic approaches and bring the team closer to the challenge of concern by discussing its subjective stories. The powers of the universal and context dependent characteristics of physical objects is taken as a starting point. They functioned as a boundary object, a concept that facilitates collaboration between different groups and stakeholders adjusted to different social worlds (Star & Griesemer, 1989) and steers conversation to facilitate connection in the domain of complexity.
Experiments with a variety of UNDP members explored the possibilities of the objects. The unusual objects found during this research have proven to spark new information streams and offer specific insights on the subject matter. The project’s accumulated in an elaborate workshop designed to guide CO teams to explore tangible objects in their domain. The objects collected by the team in the workshop serve as valuable tools for the team’s internal collaborations and externalizing perspectives. Utilizing physical
objects to achieve this, aims to accelerate engagement, foster recognition and enable context-specific conversations, reinforcing the statements of both the necessity of a portfolio approach and its systemic nature.
The project aims to provide fresh perspectives on the transition to a portfolio approach and its communication strategies, contributing to the research on effective implementation and integration of the portfolio approach within UNDP teams. The report presents a practical and an alternative conversation starter on the systemic
aspects of the approach in order to facilitate engagement with the approach and emphasize a deeper (personal) involvement of the participants.","Systemic design; portfolio approach; objects; Workshop","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:d2d0a17a-0ec4-4ecd-a9cb-b98c8195d29b","http://resolver.tudelft.nl/uuid:d2d0a17a-0ec4-4ecd-a9cb-b98c8195d29b","Circular and sustainable playground equipment","Thomassen, Brent (TU Delft Industrial Design Engineering; TU Delft Delft University of Technology)","Sprecher, B. (mentor); Kuiper, J. (graduation committee); Delft University of Technology (degree granting institution)","2024","This project is focused on the development of circular and sustainable playground equipment (PE), as the Netherlands and its municipalities have set targets to become a Circular Economy in 2050. Furthermore, the PE should also encourage children to play outside more and engage in physical exercise. The reason for this being that children in the Netherlands do not play outside enough, which is often due to uninteresting playground designs.
The project started off with a theoretical framework, in which the 10R design strategies, such as reuse, repair and recycling, for the CE were examined on their effectiveness in playground design. Both academic literature and stakeholder & expert interviews enriched the knowledge needed to answer the research question. This resulted in the following design considerations which were the basis for developing the PE as described in this thesis:
Create an interchangeable and customizable system with components that are easy to (dis-)assemble (product-service system with modular play modules)
Design with a mono-material
Design with a material which comes from a waste stream
Design with a material which allows for form freedom
Design with a material which is resistant to wear and tear and external conditions (cold, heat, moist, UV radiation, etc.)
Eliminate redundant elements
Design PE modules which can fulfil multiple play functions
Design a connection system which allows for easy (dis-)assembly and consists of a minimal number of parts and different materials
Design for effective and minimal repairs and maintenance
Design with materials which can efficiently be recycled
I performed a study on an appropriate material and production method for the PE and from this I concluded on the use of 3D-printed Geopolymer with recycled aggregates, as it comes from a large Dutch waste stream, can be produced and efficiently recycled in the Netherlands, has a lifespan of several decades, allows for form freedom and colouring, and facilitates straightforward repairs and maintenance, making it an appropriate material for the Dutch CE. I was able to visit a 3D printing facility to get in contact with the material and production process, and even prototype my product here with 3D printing.
Based on conclusions derived from academic literature and a design session with 131 children aged 6-11, I concluded that the play functions climbing and swinging & swaying, among several others, could encourage children the most to play outside more and engage in more physical activity. These functions are translated into the following themes: Treetop Retreat, Rapid Rush, Acrobatic Adventure and Hideaway Hunt, which are the focus of the designed PE.","Playground; Circular economy (CE); Sustainability; Geopolymer concrete","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:68d3f999-c6f6-45ca-8209-d70a1fa00ef5","http://resolver.tudelft.nl/uuid:68d3f999-c6f6-45ca-8209-d70a1fa00ef5","Exploring Training Pair-Generation Strategies for Deep Metric Learning for Floor Plan Retrieval","Kuhn, Emanuel (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gemert, J.C. (mentor); Khademi, S. (mentor); van Engelenburg, C.C.J. (mentor); Oertel, Catharine (graduation committee); Delft University of Technology (degree granting institution)","2024","Existing content-based image retrieval models work well for natural photos, but not for images of architectural floor plans.
Previous work on floor plan retrieval has focused on graph-based methods, rather than image-based floor plans.
Training a CNN-based representation learning framework on segmented floor plan images with standard image augmentations does not result in semantically meaningful retrievals.
This work shows that a CNN-based representation learning model can learn features for retrieving floor plans that have similar graphs given the right training signal. Two methods were investigated here: GeomPerturb, a data augmentation that perturbs the underlying geometry of a floor plan, and a weakly supervised method with labels based on the graph edit distance between a pair of floor plans. The results show that while GeomPerturb learns representations that are correlated with the floor plan graph, training with GED labels leads to better retrievals both in terms of the floor plan graph and with respect to room shapes.
First, a novel watermarking scheme is proposed based on the early triggering of a well-designed STC policy, such that stability is guaranteed to be preserved. We show that this watermarking scheme, together with an event-triggered χ2 detector we design, is able to detect replay attacks. An online heuristic for obtaining an optimal early triggering policy is provided. If certain assumptions hold we show that the early triggering policy is a discrete uniform one. Through an illustrative example, both a quantitative and qualitative comparison between two other watermarking schemes are provided. We conclude that none of the watermarking schemes can claim absolute superiority, and trade-offs between all considered schemes exist.
Next, we propose a new type of attack called a switched zero dynamic attack (ZDA), and provide an algorithm on how to construct these switched ZDA. We show that certain STC systems are susceptible to such attacks, and demonstrate that by tuning the triggering parameters there exist sufficient conditions such that these attacks are no longer disruptive. The effect of additive perturbations and a non-zero initial condition, as well as the proposed tuning method, are shown in a numerical example. We provide a qualitative comparison between several other countermeasures in the literature, which we extend for aperiodic sampling when needed. Finally, shortcomings and future directions are discussed.","stc; watermarking","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:31117bb0-5f8b-496d-ac86-693b1524f733","http://resolver.tudelft.nl/uuid:31117bb0-5f8b-496d-ac86-693b1524f733","Reducing earthquake suffering: Solutions for vulnerable groups","Kljaić, Korina (TU Delft Industrial Design Engineering)","Bianchi, S. (mentor); Jansen, A.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","The thesis focuses on creating a solution aimed at reducing suffering in earthquake prone regions. The research done resulted in the development of Embrace, a wearable communication device designed to alleviate human suffering during seismic events in seismically active regions like Zagreb. Commencing with an exploration of challenges faced by people living in these quake affected regions, the research aimed to devise a cost-effective, visually appealing solution to these issues.
Methodologies encompassed literature reviews, interviews, cause-effect analysis, and Inside out Design approach, offering crucial insights into user needs and preferences. Following an evaluation of various design concepts, Embrace emerged as the preferred solution, aligning with project requirements.
Embrace integrates LoRa technology for long-range communication, empowering individuals to request aid during earthquakes. Its design process involved modeling with Fusion 360, 3D printing, and utilizing liquid rubber for silicone shells. Sizing considerations, ergonomic enhancements with fillets, and a hierarchy of requirements guided its development.
Future research pathways should include testing Embrace's functionality, exploring diverse shapes and materials, and investigating additional features like smartwatch integration. The thesis resulted in development of Embrace as a significant contribution to wearable technology, enhancing safety and well-being during seismic events.","Earthquake; Wearable Technology; smart bracelet; LoRa Network; satellite communication; reducing suffering","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:73b41751-f9ab-43fc-b1c9-b65722109eaa","http://resolver.tudelft.nl/uuid:73b41751-f9ab-43fc-b1c9-b65722109eaa","Orbit Estimation of Small Jovian Satellites","Dahmani, Fabien (TU Delft Aerospace Engineering)","Dirkx, D. (mentor); Delft University of Technology (degree granting institution)","2024","The primary motivation for this work was the lack of open-source or clearly motivated studies describing the orbit estimation of natural satellites. The capability to accurately model the trajectory of the moons of Jupiter is crucial for properly understanding the evolution of the Jovian system and, by extension, the solar system. Considering the small Jovian moons remain relatively unknown, they will be the focus of this study. The goal of this project was to develop a framework for the full estimation process, based on the Tudat software library, starting from raw astrometric observations, to be made available publicly. The first step was designing a new data processing algorithm, capable of uniformising the observational data. These measurements are reported in a wide variety of formats, with differences in time format, time scale, orientation, and observation type, amongst others. The developed software was able to produce Tudat-compatible observations with limited user interaction. These processed measurements were then analyzed and validated, first by examining the mean residuals with respect to existing ephemerides to detect remaining biases in the data, before comparing the standard deviation of these residuals to those reported in their original publication, whenever available. Secondly, the estimation framework was set up. Based on the processed astrometric observations, the orbits of three minor Jovian satellites, Himalia, Elara, and Amalthea were estimated as case studies. Each of these posed its own set of challenges, but together they yield a good representation of the full range of outer moons. The SPICE kernel ephemerides were used to evaluate the quality of these orbital solutions. Estimating Himalia’s orbit proved the easiest, as it is the minor moon that is observed the most, in combination with its relatively slow dynamics. One problem was the fact that there was a remaining unmodeled bias in the oldest, i.e. pre-1960, observations due to errors in converting from old to new frames. Removing these observations and replacing them with simulated measurements with realistic residuals both proved to reduce the difference with respect to the SPICE benchmark to within the uncertainty level of this very benchmark. This proves that the framework allows to accurately estimate the ephemerides of Himalia, although no conclusions could be drawn on the exact contribution of the old observations. Elara’s orbit is in many ways similar to that of Himalia, as they are part of the same orbital group. However, a close approach with this more massive Himalia in 1949 had a significant impact on Elara’s trajectory. Therefore, estimating Elara’s orbit is not limited to its initial state. Instead, Himalia’s gravitational parameter is determined concurrently to optimize the solution. However, even when determining this gravitational parameter, it became apparent that the current dynamical model could not perfectly capture the dynamics at the close approach, due to the limited amount of data before the event, leading to an error of several hundred km. This is still well below the residual of the observations, however. Finally, Amalthea proved to be the most difficult body to get an accurate orbital solution for. Since Amalthea is an inner moon, as opposed to the outer moons Himalia and Elara, its angular velocity is over 500 times higher. This logically makes both timing and position errors significantly more impactful. Additionally, the very limited amount of data, which is constrained to just a few campaigns of several nights, further hamper accurate orbit determination. By limiting the step size of the update in the estimated initial state between iterations to a standard deviation of 100 km by defining the a-priori covariance, a stable solution, accurate to several 100 km could be found. A preliminary investigation of the contribution of simulated spacecraft data indicated that the few available spacecraft observations of Amalthea have a large impact, which warrants further studies. Thus, through determining and analyzing the orbit of these three case studies, the quality of the developed framework is ascertained. The solutions proved to lie within the uncertainty region of the ephemerides published in SPICE. Inaccuracies in the estimated orbit could always be linked to deficiencies in the input data, thus not taking away from the quality of the framework.","","en","master thesis","","","","","","","","2026-02-06","","","","Aerospace Engineering","",""
"uuid:83e82e29-78c4-4098-96b9-49d52932df6b","http://resolver.tudelft.nl/uuid:83e82e29-78c4-4098-96b9-49d52932df6b","Temporal dynamics of resilience in the palladium supply chain: A data analytics approach","van Bree, Arnoud (TU Delft Technology, Policy and Management)","van Beers, Cees (mentor); Comes, M. (mentor); Delft University of Technology (degree granting institution)","2024","Palladium is a critical raw material that is considered economically and strategically important by various national governments. The palladium supply chain is subject to several supply chain risks, including the geopolitical risk of potential Russian palladium export restrictions. Considering these disruption risks, it is essential to gain insight into the resilience of the palladium supply chain. Accordingly, this study investigates the temporal dynamics of resilience in the palladium supply chain using literature review, data analysis, and regression modelling. To that end, the diversity of supply, stockpiling, price, and substitution mechanisms from the qualitative resilience framework by Sprecher et al. (2015) are operationalised in terms of quantitative proxy variables. A PCA-weighted compound resilience index is constructed and quantitatively validated using the palladium market balance as a resilience performance indicator. It is found that the palladium supply chain exhibited an overall improvement of resilience, but still a structural lack of resilience during the years 2012-2021. This thesis contributes to the scientific study of how material criticality and resilience change over time. Moreover, this study informs policy-makers about potential risks for the palladium supply chain and makes policy recommendations to improve the resilience of the palladium supply chain.","Critial Raw Materials; Palladium; Supply chain resilience; Platinum group metals; Metals criticality","en","master thesis","","","","","","https://drive.google.com/drive/folders/1wCprTfJGjo6QvgZBjBKBoIyDDmMUJCPG?usp=sharing Link to data files","","","","","","Engineering and Policy Analysis","",""
"uuid:198943dd-99d3-4bea-92f2-168aa1849a5a","http://resolver.tudelft.nl/uuid:198943dd-99d3-4bea-92f2-168aa1849a5a","A day and night train interior design for improved passenger comfort and improved train usage","Out, Annabelle (TU Delft Industrial Design Engineering)","Vink, P. (graduation committee); Vledder, G. (mentor); Donners, Barth (graduation committee); Delft University of Technology (degree granting institution)","2024","This project explores the prospects for interior design in a dual purpose train that can run both day and night for improved utilization and comfort.
Contemporary trains are categorized as either exclusively for daytime or nighttime use. Their interiors limit them to specific temporal contexts. For instance, in daytime trains, passengers are confined to sitting positions and cannot lie flat, resulting in suboptimal sleeping comfort. Thereby the appeal of such trains for night (long distance) travel is reduced. Conversely, in existing night trains, while passengers can lie flat, the fixed layout featuring compartments and beds makes the capacity of the train too low for daytime use. Moreover, night trains face strong competition from aviation, rendering their utilization challenging.
A solution to this problem involves designing a train interior that serves the dual purpose of accommodating both daytime and nighttime travel. Drawing insights from research, and the existing coach geometry, four distinct design directions were developed. Emphasis was placed on striking a balance between coach capacity and passenger comfort, recognizing the inherent tension between these two factors in this context. One of these directions was further refined into the final concept. In refining the final concept, the emphasis was on creating a passenger experience characterised by privacy, safety and comfort. Two Virtual Reality tests were conducted among other efforts to achieve this goal.
The final concept features a symmetrical coach with a centrally positioned entrance. The entrance aligns with the platform's height, facilitating easy boarding for passengers
with reduced mobility. The central hall houses a self-service bar for acquiring food and beverages. Adjacent to the central hall are seats on both sides. During the day, passengers can occupy these seats, which are configured in sets of two facing each other. No ticket reservation is necessary for daytime travel, and the coach accommodates 72 passengers during this period. Capacity is thereby 10% lower than in daytime-only trains. At night, the seats transform into beds, offering passengers the option to lie flat.
Privacy screens can be easily placed around the bed, and overhead lockers are available for secure luggage storage. The nighttime capacity is 36 passengers, which is the same capacity as the sleeper accommodation in night trains. Ticket reservation is obligatory for overnight travel. The coach incorporates two toilets and two washrooms. Distinct zones within the coach, such as a quiet zone, a socializing zone, and a women only zone for nighttime travel, contribute to a tailored and comfortable passenger experience.","Privacy; Security; Capacity; Night train","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:e2df20c7-789a-49b9-b3c4-e746f607875a","http://resolver.tudelft.nl/uuid:e2df20c7-789a-49b9-b3c4-e746f607875a","NRG-beamFoam: a simplified numerical FSI solver developed in OpenFOAM for modelling axial-flow in nuclear reactors","Popi, George (TU Delft Aerospace Engineering)","van Zuijlen, A.H. (mentor); Zwijsen, Kevin (graduation committee); Delft University of Technology (degree granting institution)","2024","Nuclear power is increasingly seen as a potential solution to the decarbonization of the energy sector in the coming decades. However, one of the main causes of downtime for current-generation nuclear reactors is the phenomenon of Grid-To-Rod-Fretting (GTRF) inside the reactor core. This is predominantly caused by Fluid-Structure Interaction (FSI), where the turbulent cooling water flow causes unwanted vibrational behaviour of the long and slender rods where the fission reaction takes place.
To quantify the effects of GTRF, before this thesis, a numerical FSI workflow called NRG-FSIFOAM was developed by Nuclear Research Group (NRG). The fluid modelling consisted of a synthetic turbulence model (AniPFM) developed in OpenFOAM. The structural solution was obtained using a 3D Finite Element Method (FEM) solver implemented in deal.II, while the mapping and coupling between the two solvers was handled by preCICE.
In this context, the objective of the thesis is to propose a simplified and cost-effective structural solver, without compromising the accuracy of the methodology. To this end, an eigenmode-based Reduced-Order Model (ROM) of a 1D beam-element FEM formulation is proposed. To further improve the computational costs, by taking into account the 1D FEM formulation of the structural solver, novel mapping routines between the fluid and the structural grid are also proposed. To ensure a strong coupling between the two domains, an Aitken subiteration algorithm is used. What’s more, to simplify the architecture of the methodology, the new features are directly implemented in OpenFOAM. Thus, the newly proposed workflow is fully contained within OpenFOAM, eliminating the need to additionally use deal.II and preCICE. This newly proposed FSI methodology is called NRG-beamFoam.
The thesis first deals with the individual verification of the structural solver, the mapping routines, and the Aitken subiteration scheme. Subsequently, all of the elements are combined within FSI simulations for the same benchmark case that was used for the validation of NRG-FSIFOAM. It is found that for simulations where an Unsteady Reynolds-Averaged Navier Stokes (URANS) fluid model is used, NRG-beamFoam reduces the computational cost per FSI subiteration by 48% compared to its NRG-FSIFOAM predecessor, while obtaining a 0.5% relative difference in the frequency and the damping ratio characterizing the structural dynamic response. What’s more, using the ROM, the instantaneous deformations of a single rod to turbulent excitation by axial water flow appear to be accurately computed using a total number of degrees of freedom that is reduced by a factor of approximately 103 compared to the FEM solver of NRG-FSIFOAM. Further research is recommended to improve the stability of NRG-beamFoam when coupled with the AniPFM for simulation times in the order of seconds. Furthermore, future studies ought to explore the causes for the small amplitude differences observed between the outputs of the ROM and the FEM solver for FSI simulations.
Alkaline water electrolysis has emerged as one of the most promising electrolysis methods due to its large-scale operation, long durability and low costs. This does not come without challenges, one of them being leakage currents, which reduces the efficiency of the electrolyser. Leakage currents occur when not all current is used for hydrogen production, but some of it leaks into, for example, the produced hydrogen stream. To reduce these leakage currents, more research into the origins is needed. The first step is modelling the electrolysis while considering as much as possible of the physics happening inside the system. Current models of alkaline water electrolysers are either modelled using only mathematical equations or neglect the operating parameters, which makes the results highly variable per system. Other models do use analytical methods with experimental results, but these models only comprise one electrolysis cell rather than a full stack.
This work consists of developing two three-dimensional models, validating them using experiments, and using them to predict the effect of changes in geometry. The first model was made using COMSOL Multiphysics software and used to research the water electrolysis stack, which comprised one or eight cells using electrochemical relations and physical data. It was found that a model could be made that fitted the experiments within the error margin of the experiments (<2.5%). It lacked flexibility but overall showed good results for an electrolyser stack of one or eight cells. The second model was made using an equivalent electrical circuit (EEC) of the electrolyser in Python via the PySpice module. A steady-state model, including the leakage currents, could be developed by calculating all system resistances, namely the cell, inlet/outlet, and manifold resistances. This model overestimated the performance of the electrolyser by 10-15% for low current densities and 2-4% for high current densities. Nevertheless, it was highly adaptable for different scenarios, making it valuable for research into optimising the electrolysis stack. Both models were used to predict the effect of changes in geometry; the effect of the length of the inlets, and the number of cells. This showed that the EEC model was better suited for this research.
This is not only an issue for cities that attempt to transform there mobility landscape to a more bicycle-friendly one, but also for established cycling cities that face new challenges because of the introduction of new vehicle types (e.g., e-bike, e-step) and new mobility business models (e.g., shared mobility, flash delivery). The academic world is also affected by the fact that cyclist simulation is understudied. The literature review of this thesis reveals that the academic landscape on this subject is foggy and unclear. The most popular model in academia is the Social Force Model (SFM). However, just the SFM leads to unsatisfactory behaviour, as is confirmed in the case study of this thesis. Thus, the academics propose a hybrid model. Herein, the SFM is constrained by additional rules, regimes or decision making processes. However, these propositions are tailored to cycling in a specific context and they are created in unmentioned software, using unmentioned programming languages. This hampers the possibility to replicate and verify their study.
The primary conclusion is that academics and simulation software developers should work towards a standardised behavioural model for cyclists, similar to the SFM for pedestrians and the car-following model for motorised traffic. An example of a leading principle for cycling behaviour could be a model where cyclists move along predetermined trajectories rather than being led by a force. This seems to solve the challenges researchers face regarding the operational behaviour of the cyclists.
It is also advised in future research to discuss the semantics of cyclist behaviour simulation. Literature that used this principle of predetermined trajectories still referred to their model as a model based on the SFM. This understates the fundamental criticism of these researchers on the SFM.
Lastly, commercial software companies are advised to actively partake in this process of creating a standardised model for cyclist simulation. With pro-cycling policy becoming more popular in cities all over the world, it is expected that municipalities and research institutes will show interest in a standardised model for cyclist behaviour when offered by a simulation software company. Moreover, such a standardised model incorporated in an applied simulation software should improve the verifiability and replicability of academic research. As a properly calibrated model for cyclist behaviour, would mean that less academics are inclined to create their own custom model for their research.
If these proposed developments come to fruition, other research subjects and applications become possible or more accessible. It should allow peer reviewers to test a researcher’s simulation model more easily. It enables urban planners and traffic engineers of local governments to quantitatively test their infrastructure designs and it enables academics to study the rapidly changing urban mobility landscape. For instance, it provides a possibility to experiment with infrastructure designs, aiming to tackle the contemporary challenges of established cycling cities.
Purpose: The goal is to develop a ML algorithm that can effectively predict neurological outcomes after spinal surgery using IONM data that include both motor evoked potentials (MEPs) and somatosensory evoked potentials (SSEPs), and analyze its key predicting features. To more effectively determine the specific independent contribution of both separate modalities, a separate ML model will be created for both MEP and SSEP in addition to a combined MEP-SSEP model.
Study setting: Retrospective study.
Patient sample: A total of 67 patients were analyzed.
Outcome measures: The neurological status three months postoperatively compared to the preoperative status, categorized into three classes: 'Neurological stable deficits', ‘Neurologically intact’ and 'Neurological improvement'.
Methods: 260 features were obtained from patients who underwent spinal surgery monitored by IONM. During nested cross-validation, the data was split into five folds, for both the inner and the outer loop. The four ML classifiers developed were support vector machine, K-nearest neighbors, random forest and extreme gradient boosting, and tested along the three modalities MEP, SSEP, and MEP-SSEP combination.
Results: Extreme gradient boosting outperformed the other classifiers on all performance metrics. The combined MEP-SSEP model exhibited the highest scores for sensitivity: 70.4%, specificity: 88.3% and accuracy: 87.1%, while the MEP model exhibited the highest performance for precision: 75.6%. Highest predicting scores per individual class were also obtained by this XGBoost classifier on the combined MEP-SSEP model. Key predicting features were the presence or absence of preoperative neurological deficits and last measured signal latency compared to baseline, with a contribution of 29% and 13.5% in the best performing model, respectively.
Conclusion: A reliable prediction of neurological outcomes three months postoperatively can be made combining MEP and SSEP IONM features, provided that the patient's preoperative status is accurately documented and included in the prediction. Though either MEP or SSEP features alone offer predictive value, MEP features show superior predictive values compared to SSEP features when both modalities are accessible, with latency emerging as a prominent predictive IONM feature.
The principle of a catenary relies on the equilibrium between a vertical point load and the vertical component of the tie force in the deflected floor elements. Larger deflections result in a lower required tension resistance but demand a larger deformation capacity in the components. This study introduces a catenary equation to determine the required tensile resistance in a catenary at a specified elongation, creating a catenary requirement boundary. For a timber modular building to form robust catenary action, the axial force-elongation response in a catenary must meet this boundary. The catenary equation and the force-elongation response of the catenary form the basis of the connection optimisation, as the inter-module connection governs the response.
To determine the optimised mechanical properties of an inter-module connection in a timber modular building, a case study was performed on a building consisting of twelve post-and-beam modules in width and five modules in height. To determine the effect of a change in the inter-module connection design on the force-elongation response of the buildings, quasi-static numerical analyses were conducted on 2D models, focussing on the frontal view frame of the building, and the floor plane. The load distribution through the floor system and corresponding deformation were added to the frame model by use of spring boundary constraints, after which the catenary could be examined.
As a catenary can be formed by increasing the axial resistance, or its ductility, two optimisation methods were formulated, resulting in a high-strength inter-module connection and a ductile inter-module connection with a fuse. The optimisation process is based on iterations of the inter-module connection design. The resulting high-strength connection required a 143% increase in tension resistance, while the ductile connection relied on a 55% increase in resistance and a 550 mm fuse.
This study underscores the complexity and critical importance of correct connection design to ensure structural robustness, emphasising the need for sufficient strength and deformation capacity. While the proposed methodology serves as a valuable tool for optimising connections in timber modular buildings, further research is recommended by including dynamic analyses in the optimisation process and experimental testing of inter-module connections to enhance the accuracy of the models.","Robustness; Catenary action; Modular construction; Connection design; Timber; Finite Element Analysis","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:064301aa-1905-45b8-8213-a9629dda3cb4","http://resolver.tudelft.nl/uuid:064301aa-1905-45b8-8213-a9629dda3cb4","Numerical analysis of multiaxial test setup for composite cryogenic hydrogen tank development","Lentner, Mateusz (TU Delft Aerospace Engineering)","Atli-Veltin, B. (graduation committee); Dransfeld, C.A. (graduation committee); Koord, Josef (mentor); Delft University of Technology (degree granting institution)","2024","Hydrogen is one of aviation's most promising fuel alternatives in the coming years. However, to store it, a large and light vessel is needed.
The solution to this problem can be the carbon fibre fuel tank. Composite structures provide significant weight savings, which is crucial in this application. However, despite its superior performance, the hydrogen molecules permeate through the CFRP tank wall. Hence, researchers must first understand the driving phenomena to design a safe and robust hydrogen tank. The only proven way to do this is through experiments, but they must also be carefully considered to deliver representative results.
In this research, the question of how to perform the permeation and leakage testing of the CFRP laminates to accurately represent the behaviour of a full-scale hydrogen tank is being answered. The numerical analysis method has been used to evaluate different testing parameters and aid the development of the new testing rig. This thesis is the collaboration between the TU Delft and the DLR.","composites; CFRP; hydrogen; Permeability; Leakage; tank storage; Testing; FEM analysis; Cryogenic; Cryogenic Tanks; Hydrogen Tank","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Structures and Materials","",""
"uuid:b1e3504c-53c3-4faf-837c-c9346dbddc97","http://resolver.tudelft.nl/uuid:b1e3504c-53c3-4faf-837c-c9346dbddc97","Model validation for bird strike crashworthiness","Post, Melvin (TU Delft Aerospace Engineering)","Castro, Saullo G.P. (mentor); van de Waerdt, Wydo (mentor); Teuwen, Julie J.E. (graduation committee); Wang, Xuerui (graduation committee); Delft University of Technology (degree granting institution)","2024","Bird strike simulations require accurate information about the nonlinear material properties of the bird and structure, dynamic changes in contact between bird and structure, and large displacements and rotations of the structural elements. The soft-body nature of birds allows it to flow fluidly upon impact, making accurate simulation validation difficult. Setting up a model requires evaluating and considering a large number of parameters. To simplify the process of obtaining validated bird strike models, a set of guidelines for setting up the simulations, covering the major parameters that influence the validation of the models, is established. This was done for an EWVT-SPH (Element Weighted Voronoi Tessellation Smoothed Particle Hydrodynamics) bird model by following the building block approach laid out by the aerospace standards of SAE-G28 for both rigid and complaint target structures.","Crashworthiness; Bird strike; Abaqus Explicit; Validation; SPH; SAE-G28; Building Block Approach","en","master thesis","","","","","","","","2026-02-16","","","","Aerospace Engineering","",""
"uuid:cf110916-b6dd-4a46-a9d7-19a6197291da","http://resolver.tudelft.nl/uuid:cf110916-b6dd-4a46-a9d7-19a6197291da","A System of Systems Aircraft Design Framework: Demonstration Using a Seaplane Transport Network in the Greek Islands","Nugnes, Vincenzo (TU Delft Aerospace Engineering)","Varriale, Carmine (mentor); Prakahsa, Prajwal (graduation committee); Delft University of Technology (degree granting institution)","2024","This paper presents a System of Systems Engineering approach to aircraft design. For this purpose, conventional design disciplines are coupled with Agent-Based Modeling and Simulation (ABMS) defining a unique optimization problem. The proposed methodology is applied to design seaplanes for an on-demand transportation system connecting the Greek islands. Within this network, diverse scenarios are analyzed by varying parameters of the model such as fleet size and travel demands at each seaport. The objective is to show the impact of including ABMS in the design workflow on the optimized seaplane design parameters. The optimum designs are evaluated on the basis of a number of classic performance metrics, to assess to what extent they can represent a competitive alternative to existent maritime means of transportation. The results reveal optimal fleet performance for seaplanes characterized by lower cruise speeds and passenger capacities, as compared to those derived from conventional methodologies and to existing designs.","system of systems; conceptual aircraft design; agent-based modeling and simulation; seaplane","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:b74db5c1-2407-4755-98ad-517abb0eabbe","http://resolver.tudelft.nl/uuid:b74db5c1-2407-4755-98ad-517abb0eabbe","Ringdown of high-Q nonlinear Si3N4 beam resonator with multi-overtone recording","Schoone, Bart (TU Delft Mechanical, Maritime and Materials Engineering)","Alijani, F. (mentor); Norte, R.A. (graduation committee); Keşkekler, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Micro- and nanoelectromechanical (MEM/NEM) resonators are used in numerous fields of engineering and are crucial for time keeping, synchronization, and sensing applications. These systems are subjected to energy dissipation, which is a limiting factor in the performance. Extensive understanding is essential when nonlinearities show up in both stiffness and dissipation, to design appropriately. Focusing on dissipative mechanisms, this paper explores the vibrational behavior of a suspended clamped-clamped beam fabricated from silicon-nitride in the nonlinear regime. This study reveals a notorious decay in ringdown, when the resonator is decoupled from its vibrational power. A sustained amplitude is observed for up to 8 seconds. Though the exact source of this anomaly remains elusive, it is suggested that it might include modal coupling and/or optomechanical effects","nanomechanical; Nonlinear Dynamics; Mode Coupling; Nonlinear damping","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:998d2af4-8eae-4bfa-9146-5d4fae33b6e2","http://resolver.tudelft.nl/uuid:998d2af4-8eae-4bfa-9146-5d4fae33b6e2","From Pain to Brain: Exploring Functional Connectivity in Chronic Pain Patients with Magnetoencephalography","de Moel, Lisanne (TU Delft Mechanical, Maritime and Materials Engineering)","de Vos, C.C. (mentor); van de Ruit, M.L. (mentor); Frankema, S.P.G. (mentor); Reinders, L.J. (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution)","2024","Introduction:
Chronic Pain (CP) presents a complex and prevalent issue that significantly affects individuals and society. Exploring the complexities of CP involves analyzing Functional Connectivity (FC), a process that identifies how different brain regions communicate across distances. Magnetoencephalography (MEG) is particularly effective for FC analysis, offering advantages over Electroencephalography (EEG) and functional magnetic resonance imaging (fMRI) due to its superior temporal resolution. Most studies on FC in CP have focused on resting-state analyses, leaving a gap in research on connectivity responses to noxious stimuli in CP.
Study aim:
The overarching goal of my exploring study is to investigate FC differences in response to noxious stimuli between individuals with CP and Healthy Controls (HCs) across different frequency bands, using MEG. This encompasses the comparison of FC patterns within pain-related brain regions between these two groups, the analysis of their response to a noxious stimulus, and the synthesis of these findings to identify potential differences in how the two groups respond to noxious stimuli.
Methods:
The study involved 17 individuals with CP and 17 HCs, each undergoing MEG sessions within a conditioned pain modulation (CPM) paradigm. During each CPM block, 22 noxious stimuli were applied to the right tibial nerve. FC was computed between pain-processing regions using phase and amplitude-based metrics in different frequency bands. Connectivity patterns were compared between the groups using a non-parametric permutation test. Connectivity was also evaluated on a time-scale to observe potential changes in the FC in response to the stimulus. These results were taken together to observe potential differences in the groups in response to the stimulus.
Results:
In comparing FC patterns across the entire epoch between the HC and CP groups, there is a predominant observation of increased FC in the CP group relative to the HC group. The insula and Dorsolateral Prefrontal Cortex (DLPFC) emerged as central hubs, and these alterations were most prominent in the beta (13-29 Hz) and gamma-low bands (30-45 Hz). An increase in FC in the mean response over all scout pairs and both groups was observed immediately following the stimulus, particularly in the theta band (5-7 Hz). Additionally, in investigating the specific hypothesis that there may be distinct FC responses to noxious stimuli between the HC and CP group, the findings indicate subtle differences rather than clear, pronounced patterns, with findings in the theta, alpha and gamma-low bands.
Conclusion:
My study explored FC differences in response to noxious stimuli between individuals with CP and HCs across different frequency bands, using MEG. Higher FC was predominantly observed in the CP group, suggesting more interconnected pain-processing networks. Key regions demonstrating this increased FC included the insula and the DLPFC, suggesting an altered insula-DLPFC network potentially influenced by underlying physiological factors of the CP group. Specifically examining differences in FC response to the noxious stimulus between the HC and the CP group yielded in subtle differences rather than clear, distinct patterns. This study stands out as the first using MEG to identify FC in CP in response to noxious stimuli. Future research should focus on refining connectivity as a biomarker for treatment follow-up and potential outcome predictor.","Brain connectivity; Chronic Pain; magnetoencephalography","en","master thesis","","","","","","","","","","","","Technical Medicine","",""
"uuid:078d7a2a-8202-4b12-b510-19077bd50863","http://resolver.tudelft.nl/uuid:078d7a2a-8202-4b12-b510-19077bd50863","Comfort in a Vehicle Seat: Research and Redesign of a Head Support for Sleeping Purposes","Sabater Campomanes, Rebeca (TU Delft Industrial Design Engineering; TU Delft Sustainable Design Engineering)","Vledder, G. (mentor); Vink, P. (graduation committee); Franz, Matthias (graduation committee); Delft University of Technology (degree granting institution)","2024","With the evolution of Autonomous Vehicles for the near future, BMW has designed a new seat for their vehicles, whose aim is to offer the greatest amount of comfort possible. Their main challenge now is to transform this level of comfort even when passengers want to sleep on the road, or while charging their vehicles. When it comes to confined spaces such as seats, obtaining a comfortable sleep has always been a challenge.
Taking into account the difficulty in achieving comfortable sleep in a seat, one of the main goals of BMW is to further enhance this seat within the context of sleeping. Sleeping is becoming one of the most popular activities among those passengers during a journey, and it is expected to grow with the integration of fully autonomous vehicles. Therefore, the first goal of the project is to analyze the seat to ensure maximum comfort when sleeping, and the second goal is to tackle the main area of discomfort with a design proposal.
For this, a thirty-minute sleeping research is conducted with sixteen participants, evaluating two different backrest angles (120º and 140º). The results show a preference of reclined backrest of 140 degrees, and an increase in comfort compared to sleeping in conventional seats. All participants had a good nap with this reclination, with an average amount of sleep of fourteen minutes. Regarding the seat analysis, the most uncomfortable part of the seat is the headrest, due to the lack of support for neck and head, and the lack of height adaptability to different demographics. The second area of discomfort is the leg support and the lack of footrest.
With these results in mind, the second goal is to develop an attachable head support that can be integrated in the BMW seat, for offering more comfort while sleeping in a reclined position. The main requirement considered is to make it adjustable in the area of head, neck, and height of the user.
The proposed design consists of two main components: a head support and a neck support. An integrated mechanism in the foam allows the adjustment of both to the width of the head and neck. In addition, a mechanism allows adjusting the height of the support to the desired position. Different prototypes are developed to assess the viability of the design features and feasibility for its integration in the seat.
A subsequent user test involving ten participants is conducted to validate the comfort and functionality of the design. The participants were asked to sleep in the seat making use of the new support. In order to obtain more objective results, four pressure sensors are integrated in the product to calculate the ideal pressure distribution exerted by the users. The data obtained from the sensors corroborated findings from literature research. Additionally, the support significantly increases the comfort after a thirty-minute nap in comparison to sleeping without support.
An analysis of the daily maximum traffic data of the transport core is performed, to determine the most suitable models for the prediction of network traffic. The data analysis, employing time series decomposition, revealed non-stationary trends and annual seasonality; traffic decreases throughout the summer and increases in the winter. An upward trend in the frequency and intensity of traffic peaks, highlights the growing demand and shifts in usage behavior. The extreme traffic peaks in the historical data were correlated to F1 race days and other anticipated events.
Two algorithms that integrate exogenous variables were assessed to predict the extreme values. The models either yielded inaccurate traffic predictions or encountered challenges in interpretability and pattern recognition, with the limited amount of data available. In response to these limitations, a decomposed forecast was created that predicts the trend and seasonality. Furthermore, Extreme Value Analysis (EVA) was implemented to address the extreme values in the data.
The final prediction framework combines the decomposed forecast with EVA for the next six quarters and outperforms the other models. The model effectively captures extreme values and provides insights into the maximum expected peaks and risk levels. The substantiated forecasts of the EVA model and the manual predictions yielded comparable results. However, the EVA model provides better insights into the likelihood of exceeding specific traffic values, which enhances capacity calculations and precision.
The prediction framework has been integrated into the business interface of KPN, which marks the initial step in the automatization of short-term capacity planning. The research insights emphasize the intricate nature of accurate prediction of future demand and advocate for scalable solutions beyond building new capacity. These solutions range from short-term mitigation to long-term strategies designed to alleviate high network loads. They underscore the importance of the implementation and integration of
dynamic decision-making within a digital twin of the network to ensure sustained effectiveness.","Extreme Value Analysis; capacity planning; network traffic; Time series analysis; Time series prediction","en","master thesis","","","","","","","","","","","","Electrical Engineering | Wireless Communication and Sensing","",""
"uuid:b2bdbbe2-2dda-4fce-8266-ae73bd12de91","http://resolver.tudelft.nl/uuid:b2bdbbe2-2dda-4fce-8266-ae73bd12de91","A Step Towards Understanding Normalizing Flows and their Likelihood Behavior","de Bruin, Niels (TU Delft Electrical Engineering, Mathematics and Computer Science)","Loog, Marco (mentor); van Gemert, J.C. (mentor); Delft University of Technology (degree granting institution)","2024","Normalizing flows have demonstrated their ability to learn complex and high-dimensional distributions. However, the behavior of normalizing flow likelihoods are not yet fully understood, particularly when exposed to outlier data, where it has been observed that large likelihoods are often assigned to inputs that are substantially different from the training set. To better understand the likelihood behavior and outlier detection capabilities of normalizing flows, we analyze a more restricted version of the model using synthetic test data from parametric distributions, allowing access to the density of the underlying distribution.","Normalizing flows; Generative AI; Outlier Detection","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:b4066b14-7087-4ab7-8628-e7a2af4a2b83","http://resolver.tudelft.nl/uuid:b4066b14-7087-4ab7-8628-e7a2af4a2b83","Developing and implementing toolbox integrations for storing excess heat generated by a PVT system","van Rossum, Aron (TU Delft Electrical Engineering, Mathematics and Computer Science)","Santbergen, R. (mentor); Ul Abdin, Z.U.A. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis addresses a critical challenge in the field of renewable energy, focusing on the efficient utilization of Photovoltaic-thermal (PVT) systems. Despite their promising role in sustainable energy production, PVT systems often grapple with excess heat generation, impacting their efficiency and longevity. The primary objective of this research is to explore the synergy between PVT modules and heat storage systems. It aims to develop and implement solutions for effectively storing this surplus heat. This work involves the formulation of new models for heat storage solutions and their assimilation into the PVMD Toolbox, housed within the Photovoltaic Materials and Devices research group at TU Delft. By enhancing the capability of PVT systems to manage excess heat, this thesis contributes to optimizing these systems for broader applications in sustainable energy generation.
This study investigated the cation competition in electrodialysis and bipolar membrane configuration regarding the ammonia removal efficiency and the overall energy consumption. The research questions were focused on the effect of enriched solutions with cations on ED and BPC to the efficiency parameters, to the impact of cation composition in the feed solution when NH4+, Na+, K+, Mg2+ and Ca2+ are included in an ED and finally, the effect of municipal reject water cation molar ratios in a combined ED and BPC configuration. The experiments included batch mode systems, with several mass and molar ratios of NH4+ applied, the above-mentioned parameters were measured. More specifically, BPC and ED configurations were tested with mass ratios of other cations in an enriched NH4+ solution, while molar ratios were tested in case of an ED configuration with NH4+, Na+, K+, Mg2+ and Ca2+ be present in the feed solution. Finally, the two configurations were tested in a sequence batch, with ED to be the pretreatment step and BPC the final stage. The phenomena that were also investigated were proton production from bipolar membranes and EC pattern on the diluate solution in this case.
In ED removal efficiency was presented as a linear curve on time while in BPC the same value took a logarithmic trend, which is attributed to proton production and finally competition. During BPC operation, there was constant production of H+ through water dissociation that led to the acidic environment in the diluate solution but also to stabilization of EC when H+ presence was dominant. In addition, in molar ratio experiments with the application of ED, removal efficiency was higher for more challenging reject waters compositions such as molar ratios between 0.30 and 0.60. Considering 75% removal efficiency as an effective case, percent demineralization was also calculated. For removal efficiency below the effective case, percent demineralization presented a minimum for molar ratio of 0.60, while for higher removal efficiency the overall trend was slightly different, having a more exponential shape. Finally, energy consumption in molar ratio experiments, for removal efficiency of 75% presented a gradual decreasing linear trend with the increase of molar ratio.
Based on the results occurred in batch experiments, a sequence batch of ED to concentrate the feed solution was established, by applying the more challenging molar ratios of 0.30, 0.45 and 0.60 and the concentrate was then fed to a BPC to explore the proton effect in a concentrated solution. The percent demineralization and removal efficiency remained stable during the experimental phase while transport number had a notable increase with the increase of molar ratio, remaining approximately the same in every individual batch. Moreover, energy consumption had an important increase with the decrease of molar ratio due to the high membrane resistance and the observed scaling effect.
Models to assess the transverse stability of timber terraced houses are simple schematizations with hand calculations of the decoupled and coupled timber terraced houses and FE models of decoupled timber terraced houses with and without T-section and coupled timber terraced houses with and without T-section. The coupling of the houses can be achieved by 4 Straviwood Modulink 6.0 kN connections per floor level. The T-section can be realised with double screws with diameter 𝑑 = 12 𝑚𝑚 with a spacing of 𝑠 = 100 𝑚𝑚.
Simple schematizations with hand calculations of the decoupled and coupled timber terraced houses result in overdimensioning of the connections since bending of the floors due to deformation of the stability wall, providing resistance to the deformation of the stability wall, is not considered. This resulted in 6 hold downs per CLT stability wall.
Compared to the FE model of decoupled timber terraced houses without T-section, all FE models have a positive influence on the design of connections in terms of reduction of number of hold downs and improvement of the total tension support reaction. Coupling of the houses is better compared to application of a T-section for decoupled houses. An improvement of the total tension support reaction of 83% and 67% for respectively the house on the left and the house on the right, compared to the decoupled timber terraced houses without T-section, can be achieved by coupled timber terraced houses with T-section. This also resulted in a reduction of 5 hold downs per CLT stability wall and the possibility to reduce the thickness of the CLT stability wall.
Results show that DLC abrasive wear behavior is predominantly plowing. Increasing normal load results in wear debris at the edge and inside the wear scar. Failure occurs between DLC and substrate (in this case SiC), upon further increasing the normal load which is in agreement with the literature. In addition, it is found that strain rate influences DLC wear behavior with increasing normal load. This implies that DLC/SiC interfacial adhesion strength presents strain rate dependency rather than DLC itself. This is supported by nanoindentation measurements, where no strain rate dependency was observed. Therefore, for a DLC coating operating under high loading (≥100mN per asperity) in an engineering application, single-asperity testing is not representative of the engineering application. This is caused by the limitations of single-asperity test setup reaching strain rates close to that of the engineering application.
Further, it is reported that in multi-asperity scratching tests increasing the normal load affected the scratch density rather than scratch depth and width. This implies that there is a range of macroscopic normal loads that corresponds to similar single-asperity wear behavior. Scratching tests performed at the edge of DLC/SiC wafers showed that edge wear depends on the rate of change of asperity interference (ω ̇) during collision with the edge. Moreover, an analytical model was developed which predicts that minimization of the impact force (and thus wear) is accomplished when ω ̈ takes minimum value. Based on this criterion minimized edge wear takes place when the asperities are relatively sharp (~1μm) and their initial interference does not exceed 30% of the coating thickness.
The model will be constructed by first reviewing the motion of a single 3D pendulum, followed by the motion of a double 3D pendulum. For both situations the Lagrangian method is used to construct the equations of motion. Semi-Implicit Euler is used as integration scheme for the discretization of time. The results provide insights into the chaotic and complex characteristics of pendulums.
Thereafter, a model for a string will be constructed and this model is eventually expanded to a model for a mussel dropper by adding constraints to the last pendulum of the string. The method used for these models was different than for the model for a single and double pendulum due to instability issues. For the string Newton's second law was used to determine the equations of motion, since using this method made it easier to apply external forces and constraints to the system. Instead of a semi-implicit integration scheme an implicit one was used, resulting in an improved stability of the system. Due to the switch from semi to a fully implicit integration scheme, a nonlinear solver was build to solve the set of nonlinear equations at every time step. Later on, it was noticed that the implicit scheme accounted for too much numerical damping. In order to reduce the numerical damping, the Crank-Nicolson method combined with the theta-method was applied.
After constructing the model, an experiment was conducted for validating the model and for determining the damping coefficient of the system, which was still unknown. The experiment consisted of a physical model of the dropper and a camera which recorded the motion of the physical model. A code was written to determine the position of the pendulums in each frame and these positions were calculated relative to the angle each pendulum made with the horizontal axis. The experimental setup was reconstructed by the numerical model and compared in order to determine a value for the linear and quadratic damping coefficients. The model overdamped the amplitude of the motion right after the dropper was released from its equilibrium position. This could be due to numerical damping, due to errors in the experiment or due to a faulty translation of the experiment to the model. This provides the numerical model with not enough damping to let the motion die out, but it better represents the behaviour of the dropper for larger motions. These coefficients were found to be most suitable because from an engineering perspective it is safer to underestimate the damping in the system and assume higher amplitudes of the motion.
Lastly, the 3D model is used to simulate a mussel dropper in waves, as was the aim of this research. The dimensions of the mussel dropper are chosen to represent a real-life mussel dropper. The sea state to which the mussel dropper is subject will be one occurring every once in 25 year in the North Sea. The mussel dropper is simulated using seventeen pendulums. One pendulum is reviewed to determine the response of the mussel dropper. The time signal of this pendulum and the response spectrum of the pendulum show how the pendulum reacts to the incoming waves. A phase space plot is constructed to visualize the chaotic and complex behavior of the mussel dropper in waves.
The Dutch government aims to reduce emissions of greenhouse gasses in the aviation industry. This incentive resulted in a collaboration called the ‘Actieagenda Trein en Luchtvaart’. Their ambition is to strengthen international trains, by making them more attractive alternatives to short-haul flights. Specifically, the route between Amsterdam Airport Schiphol and Brussel Zuid train station. On this route KLM is working together Thalys to offer a service to combine flight and train tickets. This service is called: Air-Rail. The challenges of Air-Rail lie within the transfer that differs from traditional Air-Air, using non-Air-Rail facilities, and a complex stakeholder network.
Research is done to understand how the Air-Rail transfer service can be improved to better suit the needs for Air-Rail transfer passengers. This done by experiencing the Air-Rail journey first-hand between Copenhagen and Brussels. As well as, analyzing the results of a research report about the Air-Rail passenger’s experiences.
Three main issues are to be concluded from research: 1. Pre-travel info, 2. Missing signage, 3. Baggage. From these three issues, it can be concluded that pre-travel info and missing signage require short-term solutions due to being involved with a singular or small number of stakeholders. However, baggage requires a long-term solution based on the complexity of the vast stakeholder network that is involved. Therefore, the main issue to solve is baggage. By creating a focus on a long-term plan, while in the meantime the low-hanging fruit (pre-travel info and signage) is picked to create a fully seamless and complete Air-Rail service.
Subsequently, concepts are generated for baggage by organizing an Air-Rail stakeholder workshop and interviewing representatives from an Air-Rail rail operator and an Air-Rail airline. The result is a list of generated concepts. From this a selection is made, to find the best and most fitting baggage concept. This is done by having representatives from an Air-Rail airline and airport, assess by filling in Harris profiles for the concepts. This is done with potential Air-Rail travelers as well, who vary in international train travel experience.
The outcome for the first horizon in 2025, is a temporary door-to-airport baggage delivery service. This service transports Air-Rail baggage by delivery van between Brussels and Amsterdam Airport Schiphol and vice versa. The outcome for the second horizon in 2032, is a permanent concept of designing a new train for Thalys that includes a fourgon. A fourgon is a train compartment where Air-Rail baggage can safely and separately be stored. Both solutions create a secure and convenient way of handling baggage during Air-Rail travel between Brussel Zuid and Amsterdam Airport Schiphol.
In the final roadmap design, it is elaborated how to implement these concepts. The roadmap specifies what the main product/service is and what is needed to support it. Additionally, the roadmap shows the tasks for Air-Rail stakeholders needs for a successful Air-Rail service.","Air-rail; Intermodal; Baggage; High-Speed Rail; Short-haul flights","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:655fce08-75b7-4e90-9f22-3f792f9a8a99","http://resolver.tudelft.nl/uuid:655fce08-75b7-4e90-9f22-3f792f9a8a99","Green Bond Valuation: A Numerical Mathematics Perspective: Assessing the Influence of Environmental Factors","Rutten, Jurriaan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Vuik, Cornelis (mentor); Dwarka, V.N.S.R. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis presents a novel approach to the pricing of green bonds, a growing segment in financial markets with an emphasis on environmental sustainability. Unlike traditional financial instruments, green bonds uniquely incorporate environmental considerations, particularly carbon price (c_t), along with traditional factors like the short rate (r_t), into their valuation. This integration is increasingly relevant in today’s economy, reflecting a shift towards sustainable finance. The core of this research involves applying advanced numerical methods, including the Finite Difference Method, Crank-Nicolson discretization, GMRES and Bi-CGSTAB, in order to develop and analyze pricing models for both green and conventional bonds. The study aims to assess how environmental factors impact the efficiency of these numerical techniques and to compare the outcomes with conventional bond models. The research reveals that green bonds, compared to conventional bonds, present unique numerical challenges, notably requiring more iterations for convergence in iterative methods GMRES and Bi-CGSTAB because of the high carbon price volatility (σc) and the ’Greenium’ phenomenon. Moreover, the comparative analysis showed that while Bi-CGSTAB outperforms GMRES in the green bond model, the opposite is true for conventional bonds. This study not only contributes to the theoretical understanding of green bond pricing but also offers practical insights for financial analysts and investors navigating this evolving market.
Keywords: Green Bonds, Bond Pricing, Zero-Coupon Bond, Short Rate Modeling, Numerical Methods, Crank-Nicolson, GMRES, BiCGSTAB, Environmental Finance, Sustainable Investing, Comparative Analysis, Financial Modeling.","Green Bonds; Bond Pricing; Zero-Coupon Bond; Short Rate Modeling; Numerical Methods; Crank-Nicolson; GMRES; Bi-CGSTAB; Environmental Finance; Sustainability; Sustainable Investing; Financial Modeling","en","master thesis","","","","","","","","","","","","Applied Mathematics | Financial Engineering","",""
"uuid:e141cdc0-8aa2-4bfe-81b8-99820c6c7f6d","http://resolver.tudelft.nl/uuid:e141cdc0-8aa2-4bfe-81b8-99820c6c7f6d","Feasibility study of a non-contact, non-destructive testing method to assess the structural integrity of synthetic mooring ropes","Jongejan, Jasper (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Ship and Offshore Structures)","Pahlavan, Lotfollah (mentor); Riccioli, F. (mentor); Huijer, A.J. (mentor); Grammatikopoulos, A. (graduation committee); Bosman, Rigo (graduation committee); Delft University of Technology (degree granting institution)","2024","Governments are looking more and more to invest in renewable energy sources due to the energy transition that is currently taking place. One of the many renewable energy sources is wind energy which is increasingly positioned at sea. Wind turbines in deep parts of the ocean can be placed on floating structures which are often moored to the sea bottom by mooring ropes. For deep sea, the only viable option is a synthetic mooring line due to its almost neutral buoyancy.
Mooring ropes have a vital role in the offshore floating structure as it is keeping the structure in place. When a mooring line breaks, the consequences may be big, leading to serious damage or dangerous situations. Therefore, the structural integrity of mooring ropes should be evaluated regularly. For synthetic mooring ropes, the only method at this point in time is visual inspection. This can be done by divers or by Remotely Operated Vehicles (ROVs). This method is expensive, time consuming and in case it is done by divers, it is potentially dangerous. Furthermore, synthetic mooring ropes are susceptible to external damage which means inspection would have to be executed without direct contact with the mooring ropes. Therefore, it is necessary to assess the feasibility of a non-contact, non-destructive testing method in order to assess the structural integrity of a synthetic mooring line. The combination of non-destructive material property assessment and tension assessment is believed to produce a structural health monitoring instrument for synthetic mooring ropes.
In this thesis, a methodology is proposed which uses two independent non-contact, non-destructive measurements to assess the structural integrity of a high modulus polyethylene (HMPE) rope specimen. The measurements involved are ultrasonic guided wave (UGW) measurements and vibration measurements. The UGW measurements are performed to assess the stiffness of the test specimen according to the principle of attenuation of ultrasonic waves propagating through a specimen. The vibration measurements are performed to assess the natural frequencies of a manually excited test specimen. The assessed natural frequencies and the determined stiffness of the test specimen can be used to calculate the load acting on the test specimen.
The methodology is tested by conducting experiments in a laboratory environment where in-situ conditions are recreated by performing the tests underwater. It was concluded that the loads can be recalculated with varying accuracy of approximately 10% with respect to the actual values, with increasing accuracy for higher load values. It is concluded that the proposed methodology has the potential to determine load on a synthetic mooring line in a non-contact, non-destructive manner.","Non-Destructive Evaluation Techniques (NDT); Synthetic mooring ropes; Non-contact; Ultrasonic measurements; Vibration analysis; Stiffness prediction; Tension prediction","en","master thesis","","","","","","","","2025-02-14","","","","Marine Technology | Ship and Offshore Structures","",""
"uuid:3937b4ff-d42a-4aef-94e8-6e0d4a212dd4","http://resolver.tudelft.nl/uuid:3937b4ff-d42a-4aef-94e8-6e0d4a212dd4","Impact of solar eclipses on NO2 in the Earth's atmosphere as measured from space by TROPOMI: Understanding the sensitivity of the Earth's atmospheric composition to short-term variations in sunlight","Schrijver, Jelle (TU Delft Civil Engineering & Geosciences)","Trees, V.J.H. (mentor); Veefkind, j. Pepijn (graduation committee); de Roode, S.R. (graduation committee); Unal, C.M.H. (graduation committee); Stam, D.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","During a solar eclipse, sunlight incident on the Earth is reduced due to the (partial) shadow of the Moon. Atmospheric trace gas concentrations which are influenced by the amount of available sunlight, such as nitrogen dioxide (NO2), may be affected due to the disrupted photolysis processes. Large-scale observations of the increased NO2 concentrations caused by the solar eclipse would improve our understanding of the sensitivity of NO2 in the atmosphere to short-term variations in sunlight. Spaceborne
measurements can provide valuable information about the large-scale spatial distribution of NO2, which is provided daily by the TROPOMI instrument aboard the Sentinel-5 Precursor satellite by measuring and retrieving locally reflected sunlight. However, the TROPOMI NO2 retrieval is unable to derive reliable concentrations during a solar eclipse, as solar eclipses are not taken into account in its retrieval algorithm. In this research, we have adjusted the NO2 retrieval of TROPOMI such that it can handle solar eclipses and study the large-scale response of NO2 during two solar eclipses over Europe in 2021 and 2022. We found a large-scale increase of NO2 in the adjusted measurements, which linearly correlated with the degree of obscuration. We compared the measured NO2 increase with the values from the atmospheric chemistry model TM5 including an applied eclipse implementation and we found a close agreement in most areas that are not highly polluted. Our measurements and model predict a NO2 increase of 60%±12% and 70%±7% for an obscuration fraction of 1, respectively. More advanced chemistry modelling work is needed to explain the measurements in highly populated areas. We conclude that our results demonstrate that the TROPOMI algorithm is capable of correctly measuring NO2 after an adjustment of the NO2 retrieval. We have shown that it is possible to adjust an atmospheric trace gas retrieval for the influence of a solar eclipse. Moreover, we are the first to provide evidence for an increase in NO2 during a solar eclipse using space-based measurement techniques and to quantify this increase on a large scale with the same instrument. Our measurements can be used to test atmospheric chemistry models, possibly improving their sensitivity to solar eclipses but also artificial shadows on the Earth induced by sunlight-intercepting geoengineering approaches.","Atmospheric Remote Sensing; TROPOMI; Remote Sensing; Data Analysis; NO2; Solar eclipse","en","master thesis","","","","","","","","","","","","Geoscience and Remote Sensing","",""
"uuid:5ece7927-05ac-4d62-9dcf-58a8ffbc7055","http://resolver.tudelft.nl/uuid:5ece7927-05ac-4d62-9dcf-58a8ffbc7055","Digital transformation in healthcare: Designing an intervention to facilitate the implementation of the BgZ by bridging the gap between healthcare & policy","Kroon, Lisa (TU Delft Industrial Design Engineering)","Albayrak, A. (mentor); Jansen, A.J. (graduation committee); Kok, Petra (mentor); Grootswagers, Barbara (graduation committee); Delft University of Technology (degree granting institution)","2024","Problem definition
The healthcare sector faces escalating pressure due to its current inefficient practices in digital information exchange. The Integral Care Agreement (IZA) from the Ministry of Health, Welfare, and Sport (VWS) and overarching healthcare organizations is focused on creating a future-proof healthcare system. The Wegiz, introduced within the IZA, outlines standards for electronic data exchange among healthcare providers, with the focus on the Basic Dataset for Care (BgZ). However, the implementation of BgZ faces challenges, as insight in the influencing factors and facilitating interventions are limited.
Research outcomes
This research addresses this gap by employing literature reviews, (semi-structured) interviews, observations, and a thematic analyses within the healthcare ecosystem, specifically focused on the experience of medical specialists with the current organization of digital information exchange, to identify the factors affecting the BgZ implementation. A critical finding reveals a disconnect between macro-level legislation and micro-level healthcare practitioners, resulting in an oversight of the human aspect in decision-making and communication of changes. Furthermore, VWS encounters challenges in effectively engaging the target audience, according to medical specialists and organizational employees, leading to various disadvantages, including negative experiences with legislatively driven developments and a lack of awareness among healthcare professionals regarding BgZ and their pivotal role in the transformation. Furthermore, the limited awareness for standardization among specialists and the time and efficiency constraints are additional factors to be taken into account. Although, legislation is obliging interoperability between systems on a technical level, including the human aspect to evoke behaviour change towards standardization is currently limited. The cultural factors of the medical environment are challenging as well as autonomy and hierarchy is deeply rooted, which may influence the attitude towards change.
Design goals
The study emphasizes the need to involve healthcare professionals, starting with the first step of engaging physicians in the change process. To address this, a serious game has been developed with the primary goal of raising awareness about the urgency of BgZ implementation and encouraging active participation and collaboration by provide positive experiences of the opportunities the BgZ includes. The game, evaluated through sessions with the target audience, has proven to be an effective intervention, achieving its set objectives.
Recommendations
As a recommendation, the game should be implemented in an interdisciplinary manner, involving physicians, assistants, IT personnel, organizational staff, and policymakers. This approach aims to connect perspectives and foster collaboration. Ultimately, the game serves as a tool to bridge the gap between policymakers and healthcare practitioners by providing insights into each other’s perspectives, fostering a collective and collaborative approach towards successful BgZ implementation.
The results from direct charging of the qubits align with existing literature. Charger-mediated energy transfer is demonstrated through the characterisation of the CNOT gate as an interaction gate, gaining the same amount of stored energy, but with a significant increase in the charging time, resulting in a lower charging power. Furthermore, our findings demonstrate that parallel charging of an array of qubits preserves the quality of direct charging of the individual qubits.
To our knowledge, this work presents the first results of charger-mediated energy transfer in real quantum devices. Charger-mediated energy transfer can be interesting for specific applications such as quantum metrology, where preserving the quantum state is critical. Additionally, this is the first demonstration of parallel charging of superconducting transmon qubits in the QB context, giving promising results for the scalability of superconducting transmon qubits as QB. Our study paves the way forward to implementing quantum batteries for energy management in quantum technologies, a near-term future application of quantum batteries.","Quantum battery; Quantum thermodynamics; Quantum Computing; Quantum Inspire; Charger-mediated energy transfer; Parallel charging; Battery; Transmon qubits; Direct charging","en","master thesis","","","","","","https://github.com/mvannederveen/quantum-battery-thesis/","","","","","","Applied Physics","",""
"uuid:db566cfb-2968-4caa-850a-e2107a64cc0b","http://resolver.tudelft.nl/uuid:db566cfb-2968-4caa-850a-e2107a64cc0b","Acoustic Side-Channel Attacks on a Computer Mouse: Predicting Mouse Movements through Emitted Audio","Duroyon, Marin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Conti, M. (mentor); Tsudik, Gene (mentor); Orazi, Gabriele (graduation committee); Delft University of Technology (degree granting institution)","2024","Acoustic side-channel attacks (SCAs) use audio produced by a system to bypass traditional security measures to extract sensitive information. Human interface devices, such as keyboards, have been the focus of such attacks, however, computer mice are input devices that are currently in a research gap. This paper explores the security risks the emitted mouse sounds pose during usage. The methodology first establishes a proof of concept attack by classifying the mouse movement into up, down, left and right directions. The results lead to a 97% accuracy in distinguishing between the four categories in a controlled environment. This sets the stage by proving a leakage model useful for mouse acoustic SCAs. The research investigated the precision of tracking mouse movements by conducting experiments with ten unique movements on a large mouse pad. The study, using a dual-microphone setup, a smartphone in stereo recording, achieved 95% accuracy in discerning ten different movements. Furthermore, to place the research in a real-world context, the same experiment was repeated by adding two more directions (diagonal movement) and five other participants. The model was trained to become generalizable to six participants and 12 mouse pad movements, resulting in an accuracy of 94%. Given the same environment, this result shows the capability to extract sensitive information using a non-user-specific model. In addition, the paper experimented with a realistic attack scenario to infer a user action of closing a window on a laptop by clicking the red 'X' at the top right of the screen. The trained model could predict with 91% whether a mouse movement and click described the close window event. The experiments and findings within this research confirm audio leakage from a computer mouse in use. Moreover, the SCA poses a security risk in real-world scenarios, as it allows us to trace user activity in a realistic scenario. This work has explored the limits of single microphone use for SCAs and opened the door toward dual-microphone setup for future experiments.","Cybersecurity; Side Channel Attacks; Security; Machine Learning; Mouse; Human Interface Devices","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:8ac0ba80-25b2-4ef1-b1bf-f0aa1b3d8e97","http://resolver.tudelft.nl/uuid:8ac0ba80-25b2-4ef1-b1bf-f0aa1b3d8e97","Investigating the effect on departure capacity of changing Target Off-Block Time uncertainty","Snijders, Daan (TU Delft Aerospace Engineering)","Ellerbroek, Joost (mentor); Hoekstra, J.M. (graduation committee); Dijkstra, F. (graduation committee); Roling, P.C. (graduation committee); Delft University of Technology (degree granting institution)","2024","With its 500.000 flights per year, Schiphol Airport is one of the busiest airports in Europe. Efficient runway use is vital for a smooth day-to-day operation. This paper investigates the new runway scheduler at Schiphol Airport, also referred to as the Departure Manager (DMAN). The new DMAN is built for a better utilisation of the outbound capacity with a higher predictability. This study aims to verify whether positive behaviour regarding earlier Target Off-Block Time (TOBT) updates leads to the desired results in capacity, predictability and reduced delay, based on historical data. An experimental model was used to simulate a new Departure Manager (DMAN), which uses a set of priority rules to assign flights to the runway slot in which they depart. The simulation did not find an overall significant result for all cases, runways and months, due to the averages influenced by the size of the data. However, a positive trend can be seen in the presented results, indicating that earlier TOBT updates lead to a better runway schedule. One cannot schedule better than on time, but late TOBT updates accumulate like a snowball effect throughout the planning.","ATC; Runway Capacity; Runway schedule; Air Traffic Control; Airport Operations","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:b2d1745f-7c71-4dc5-b9fb-bcc4dc1cb891","http://resolver.tudelft.nl/uuid:b2d1745f-7c71-4dc5-b9fb-bcc4dc1cb891","Improving Bank Angle Representation on the Primary Flight Display Using Static Monocular Depth Cues: Evaluating the effect of static monocular depth cues on attitude indicator interpretation using misleading motion cues","Van Droogenbroeck, Chloë (TU Delft Aerospace Engineering)","Landman, H.M. (mentor); Stroosma, O. (mentor); Mulder, Max (mentor); van Paassen, M.M. (mentor); Delft University of Technology (degree granting institution)","2024","Roll reversal errors, where the pilot tries to steer the aircraft back to wings-level but unintentionally increases the bank angle instead, have contributed to several accidents. Previous studies have shown that these errors can be caused by misinterpreting the attitude indicator (AI), with the figure-ground relations cited as contributing to this misinterpretation. A modified AI was developed, which uses several static monocular depth cues (color gradient, linear perspective lines, and shadow-light relationship) to strengthen the figure-ground relationship.
The modified version of the AI was compared to a baseline AI in a two-part flight simulator experiment where pilot reaction time and error rate, severity, and duration were measured. The first part induced the leans illusion making use of physiological adaptation to roll angle, distraction, and surprise. The second part simulated the leans illusion by simply rolling the simulator to the left or right. A group of 25 experienced commercial airline pilots performed a roll-to-level task in a moving-base simulator, which also provided spatially disorienting motion cues, using both the baseline and modified versions of the AI. While the modified
display had a lower error rate in the motion-opposite scenario when using the novel method (4.91% compared to 6.07%), no significant difference was found between the error rate of the two displays. The only significant difference was found in the reaction time, where the modified AI caused an increase in reaction time. The error rates and reaction times of the first part of the experiment did not match previous research. The novel disorientation method seemed to work best in a surprise scenario. While no significant differences were found between the modified AI and the baseline AI, it is still recommended to continue testing the modified AI with a new experiment setup, especially analyzing its effect in more extreme attitudes.","","en","master thesis","","","","","","","","2026-02-13","","","","Aerospace Engineering","",""
"uuid:9f899ce2-4160-4e3c-985e-3a8664620253","http://resolver.tudelft.nl/uuid:9f899ce2-4160-4e3c-985e-3a8664620253","Pull-out force of grippers with initially-curved fingers based on Pseudo-Rigid Body modelling","Kuntz, Mark (TU Delft Mechanical, Maritime and Materials Engineering)","Herder, J.L. (mentor); Huisjes, A.E. (mentor); Broeren, F.G.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis presents the state-of-the art gripping literature and the implementation and extension of an existing Pseudo-Rigid Body Modelling (PRBM) method for modelling initially-curved compliant grippers out of which a circular object is extracted. From the existing literature, challenges are found in the design and evaluation of concepts of initially-curved compliant grippers, mainly involving the relevant design parameters, such as the thickness and enclosing angle of the finger.
The main goal of this thesis is therefore to present and validate the pull-out force modelling for these fingers within defined load conditions to provide comprehensive insights into the relation between important design parameters, such as the enclosing angle and thickness of the finger.
This goal is accomplished by extending an existing 3R PRB-model for initially-curved beams with a fifth link that represents the object and analysing the kinematics and kinetics to determine the relation for the pull-out force of a gripper finger with defined dimensions and a known load case.
This model is validated by designing and building an experimental test setup in which the reaction forces of a initially-curved testpiece of PLA and stainless steel material are measured. Errors for the kinetics between 12 and 32 percent were determined, consisting primarily of systematic errors.
The validated model is used for a parametric study, where relations between relevant design parameters, such as the enclosing angle and thickness of an initially-curved compliant gripper finger, are determined and visualized in a design chart that are be applied in the design of an initially-curved enclosing gripper prototype.","Pseudo-Rigid Body Modelling; Pull-out force; Initially-curved gripper; Parametric study","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:de917646-6c9a-41b1-a6f8-c87657dd40ca","http://resolver.tudelft.nl/uuid:de917646-6c9a-41b1-a6f8-c87657dd40ca","From a strategy and innovation agency to a venture building powerhouse","van Laar, Colin (TU Delft Industrial Design Engineering)","Simonse, LWL (mentor); Buijs, M.J.J. (graduation committee); Yousif, Arsham (graduation committee); Delft University of Technology (degree granting institution)","2024","In a rapidly changing business landscape, innovation has become a critical priority for companies, with start-ups outpacing traditional corporations in speed and agility to innovate. Despite their potential, these start-ups often face high failure rates and struggle to cross the “valley of death” due to gaps in resources and commercialization expertise. To address these challenges, new venture building models such as the venture studio and venture clienting model have emerged, aiming to systematically de-risk new ventures and support them in successfully commercializing their innovations. This thesis explored these models, seeking to bridge the gap between practical applications and academic research, with the goal of developing a new model that enhances start-up success by reducing failure risks, improving time-to-value, and optimizing cost and performance. This graduation project for Business Models Inc. explored different venture building models to identify and design the most optimal model for BMI to introduce into their portfolio of service offerings. Utilizing the Double Diamond methodology (Design Council, 2005), the project began with a research phase involving a literature review and expert interviews, focusing on venture building, corporate venturing, and factors influencing start-up success and failure. This phase led to the identification of the start-up studio model as highly beneficial for BMI, due to its potential for high financial value and control over start-ups’ strategic direction. Insights from the research highlighted three critical pillars for building strong ventures: the Human factor, the Resource factor, and the Opportunity factor. Interviews revealed three key stakeholder archetypes that are relevant for designing a new venture building model for BMI: the Driving Force, the Investor, and the Supporter. The second phase of the project, the design phase, transformed these insights into a tangible value proposition named “the Studio”. This startup studio model for BMI is designed to scout technologies, ideate and validate radical venture ideas, assemble the ideal founding teams, and provide ongoing support to ensure growth. It aims to mitigate risks associated with the three identified pillars by involving a diverse mix of individuals in the founding teams, offering initial investment, and support with studio services. The outcome includes a pitch deck to communicate the studio model’s benefits to stakeholders, a minimum viable organization structure with necessary roles and capabilities, financial forecasts, and a roadmap for implementing the studio model at BMI.","Venture Building; Start-up studio; consultancy; value proposition; Roadmap","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:22be7288-d5cb-4eda-b8c8-e7e498b1e8c6","http://resolver.tudelft.nl/uuid:22be7288-d5cb-4eda-b8c8-e7e498b1e8c6","Organ-on-Chip Platform with Transient Membrane for Vascularisation of Brain Organoids","Kahler, Friso (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Electronic Components, Technology and Materials)","Boutry, C.M. (mentor); Sarro, Pasqualina M (graduation committee); Savva, A. (graduation committee); Accardo, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","br/>Organ-on-Chip (OoC) is a technology that aims to increase the efficiency of drug development processes and organ models by engineering well-defined cell culture environments. Physiological relevant mechanical, chemical, or electrical cues provide in vivo-like microenvironments for realistic cell maturation. Biodegradable technologies have gained attention for the development of novel OoCs by integrating transient features to the culture platforms imitating the ever-changing environment inside the human body. Current efforts to replicate durable brain tissue models from organoids are limited by the lack of sufficient vascularisation introducing cell necrosis inside the 3D cell culture.
This report presents the design and fabrication of a 3D-printed OoC-platform that combines two independent cell protocols for a Vessel-on-Chip and a cortical brain organoid. The microfluidic chip is embedded with a biodegradable membrane, that separates the two cell cultures for a strictly defined time period. The membrane, composed of bayberry wax, lanolin, and carbonyl iron particles, enables the controlled opening via alternating magnetic field exposure. The thermal behaviour of the membrane is analysed with DSC and the magnetic particles with a SQUID magnetometer. Inductive heating experiments determine the optimal composite composition and exposure profile to facilitate membrane opening and subsequent communication between neural and vascular cells. The integrated membrane proved to be successful during the injection and evacuation phase. This positive result paves the way for co-culturing two inherently different cell protocols on a single chip. This master project lays the foundation for collaborative efforts towards vascularised brain organoids-on-chip and showcases the potential of additive manufacturing and biodegradable materials in OoC technology.","","en","master thesis","","","","","","","","2025-02-14","","","","Biomedical Engineering","",""
"uuid:7882df26-b0e6-4e23-8dd6-f5fa72884d53","http://resolver.tudelft.nl/uuid:7882df26-b0e6-4e23-8dd6-f5fa72884d53","Simulating Quantum Scheduler Performance for an Entanglement Generation Switch","Talsma, Marit (TU Delft Electrical Engineering, Mathematics and Computer Science)","Wehner, S.D.C. (mentor); Gauthier, S.S. (graduation committee); Delft University of Technology (degree granting institution)","2024","The quantum internet improves upon the classical internet with several new possibilities. However, to create such a quantum internet, metropolitan hubs (for this research we will use an Entanglement Generation Switch - EGS - as hub) are needed to avoid a scaling problem when connecting all end nodes individually with one another. These EGSs share resources for multiple end nodes. Hence, resource sharing protocols are needed to manage these resources. Unfortunately due to the probabilistic nature of end-to-end entanglement generation, classical resource sharing protocols will not suffice for implementation in a quantum network. Therefore the need to design quantum resource sharing protocols arises. These protocols, where we specifically investigate quantum schedulers, should be simple and predictable to provide a comprehensible addition to current research by incorporating more parameters into the current EGS model while still attempting optimal resource efficiency. In this research we have extended quantum network simulation software to be used in this research and following projects. We have implemented a classical Max Weight scheduler with a constant time window size to simulate the inefficiency of such a classical protocol in a quantum environment and improve upon this protocol by implementing a scheduler with a dynamic time window size which scales with the link length and the probability of a photon arriving at the EGS. We investigate the entanglement successes, idle time and entanglement rates when using these schedulers and compared these with each other and with a third scheduler without a time window size but using a cutoff timer instead, the Cutoff scheduler. These results show an optimality of entanglement successes, idle time and entanglement rates for the Cutoff scheduler over the Dynamic Time Window scheduler and for the Dynamic Time Window scheduler over the Max Weight scheduler with a constant time window size. Also a decrease in entanglement rate for all schedulers is shown when increasing the link length.","","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:37c19d00-fad3-4f52-9401-cdcb964afdb2","http://resolver.tudelft.nl/uuid:37c19d00-fad3-4f52-9401-cdcb964afdb2","Life-Cycle Assessment for Sustainable Inland Shipping on South Holland's Waterways","van der Knokke, Quan (TU Delft Technology, Policy and Management; Institute of environmental sciences (CML), Leiden University)","Pruyn, J.F.J. (graduation committee); van Oers, L.F.C.M. (mentor); Vonk, Ewald (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2024","Inland shipping is an efficient way of freight transportation, especially in the Province of South Holland (the Netherlands), but this sector faces a significant challenge in further reducing climate change effects and local health and environmental impacts caused by the combustion of diesel fuel.
In this study, an analysis of the inland shipping sector in South Holland and its challenges and opportunities regarding a transition to “zero-emission” shipping is performed, based on a life cycle assessment (LCA). This LCA compares the environmental impacts of the annual operations of a medium-size, short-route inland barge, comparing different engine technologies and energy carriers: diesel in a combustion engine, hydrogen (grey/blue/yellow) in a combustion engine, hydrogen (grey/blue/yellow) in a fuel cell-electric power system, and electricity in a battery-electric power system. Results are obtained for 2020, 2030, 2050, and 2100, based on the SSP2 pathway for future socio-economical development wherein the electricity grid mix decarbonises and fossil-based diesel is phased out in favour of biodiesel and synthetic diesel, and assessed using the EF v3.1 assessment family.
The results indicate that the most significant sources of emissions are barge operations (for combustion engines, especially for diesel, and most of all for older diesel engines) and the fuel supply chain (for diesel and hydrogen), as well as some contribution from the production of batteries (for the battery-electric alternative) and fuel cells (for the hydrogen fuel cell alternative). Contributions from the life cycle of the barge hull, lubricant and oil streams, and infrastructure are minor. The main contributor to climate change is CO2, and the main contributors to local health and environmental impacts are emissions of particulate matter (PM), NOx, and SOx.
For the selected case study barge, a battery-electric system provides the strongest reduction in environmental impact (climate change, acidification, photochemical oxidant formation, and PM formation) even with background data for 2020, and its advantage increases further as the electricity grid decarbonises. The battery-electric and hydrogen fuel cell systems are the only ones which can be labelled as “zero-emission”, although the life-cycle emissions of hydrogen are high in the short term and its advantage only becomes apparent beyond 2030.
Among the hydrogen variants assessed, yellow hydrogen – produced by electrolysis from the electricity grid – has the lowest life-cycle climate change impacts in the long term, although it is not a clear winner when considering local health and environmental effects (acidification, PM formation) from its production. A hydrogen fuel cell system provides a slight but consistent benefit over hydrogen combustion due to a higher efficiency and the absence of operational emissions.
Sensitivity analyses indicate that the advantage of a battery-electric solution disappears for barges transporting larger loads and sailing longer distances, due to the larger energy capacity this requires, and becomes entirely impractical for long routes, where a hydrogen fuel cell solution provides the lowest impacts overall. Hydrogen fuel cells lose their advantage over hydrogen combustion for barges requiring very high engine power due to the additional impacts from fuel cell production exceeding the reduction from emission-free operations...","LCA; Life-cycle Assessment; Inland shipping; inland water transportation; Hydrogen fuel cell electric vehicles; battery electric vehicles","en","master thesis","","","","","","https://qlcav.quan.cat/?project=inlandshipping Interactive version of results","","","","","","Industrial Ecology","",""
"uuid:31b5276f-bd9c-45ac-920f-58d589f74fc7","http://resolver.tudelft.nl/uuid:31b5276f-bd9c-45ac-920f-58d589f74fc7","Design and validation of a modular laparoscope for low-income countries: Design of a low cost laparoscope","van Loon, Rafael (TU Delft Mechanical, Maritime and Materials Engineering)","Dankelman, J. (mentor); Horeman, T. (graduation committee); Oosting, R.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","The availability of laparoscopy in low-income settings has been limited based on the requirements that have to be met to perform this type of abdominal surgery. At the same time, these regions and countries could stand to gain the most from it based on quicker recovery times and a lower chance of infections. Attempts have been made to solve this inaccessibility by minimizing the required components to perform this type of surgery at a substantially lower cost. None of these projects have resulted in a laparoscope that can compete with commercial laparoscopes regarding resolution, image quality, and critical design features such as viewing angle. Based on these shortcomings, this study aims to design a sub-500-dollar modular laparoscope for gas and gasless laparoscopy that adheres to state-of-the-art image quality and design features. The laparoscopes are designed following the 'Roadmap for Design of Surgical Equipment for Safe Surgery Worldwide”. Based on the roadmap requirements regarding the needs of patients, end-users, and stakeholders, were acquired focusing on cost, robustness, and reusability. Following the design process, two intermediate prototypes were developed, which were evaluated based on function and image quality by a laparoscopic surgeon to validate the requirements and receive design feedback. They resulted in a modular laparoscope that connects with USB to a laptop, which shares the image sensor, light source, electronics, and handle between the laparoscope for gas and gasless laparoscopy. The gasless laparoscope employs a flexible chip-on-the-tip design that can be straightened for entry through a trocar and released to set the tip angle at 30 degrees. The gasless laparoscope does not have to comply with the trocar and can enter straight through the abdominal entry point. Resulting in a chip-on-the-tip design, which is statically bent at an angle of 30 degrees. To validate the design, a laparoscopic surgeon evaluated the function and image quality, and additional tests were performed to validate the thermal and reprocessing capability. The study resulted in a modular sub-500-dollar laparoscope for gas and gasless laparoscopy, which shows great potential but requires future work to be certified and production-ready.","Laparoscopic surgery; Biomedical device; LMICs; Global surgery","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:81d05add-8bad-4589-98bc-9a68d86b0a8b","http://resolver.tudelft.nl/uuid:81d05add-8bad-4589-98bc-9a68d86b0a8b","Regional Allocation of Carbon Emissions for Road Freight Transport","Meijnema, Marc (TU Delft Technology, Policy and Management)","Annema, J.A. (mentor); Tavasszy, Lorant (graduation committee); Delft University of Technology (degree granting institution)","2024","Local governments hardly have a clear overview of their current progress in reducing emissions. This could be explained by the inadequacy of available tools. To address this issue, this research used a design cycle to explore the development of a feasible and useful method for allocating emissions in the road freight transport subsector. Four methods, two existing and two proposed, were evaluated against criteria derived from a literature review. A synthetic case study of Delft was conducted to evaluate these four methods and an indirect approach for relative accuracy, adaptability and feasibility. One of the proposed methods showed promise, but further research is needed to evaluate its absolute uncertainty. This proposed method is recommended to pursue, up until the moment the international standard only includes one method per subsector. Additionally, the proposed indirect allocation approach may offer greater flexibility when standards change and data is not fully accessible.","Emission Monitoring; Sustainability; Design Science Research; Case Study","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:29aa4d96-2365-44e9-9cf8-7e410529ee06","http://resolver.tudelft.nl/uuid:29aa4d96-2365-44e9-9cf8-7e410529ee06","Design of a Circular Product-Service System for 3D Printed Children's Footwear","Mac Donald, Kevin (TU Delft Industrial Design Engineering)","Magnier, L.B.M. (mentor); van Engelen, J.M.L. (graduation committee); Delft University of Technology (degree granting institution)","2024","3D printing technology is a rapidly growing field in the context of footwear production, and in combination with computational design and foot scanning tools and algorithms, this allows for a shift from mass production to mass customization of footwear. This thesis considers the design of a new circular product-service system for children’s footwear, with the purpose of extending the benefits of mass customization to the target segment of children up to twelve years old, with their parents as key decision-makers in the footwear selection process. The development of this system also provides new insights for possible business opportunities for 3D printed footwear, and lays out opportunities and challenges around accelerating the shift towards a circular footwear industry.
A central aim of this thesis is to explore what constitutes a perfect fit for children’s footwear, how 3D printed footwear can be used to overcome challenges related to finding a perfect fit, and what retail experience and services need to be provided to create a system that removes barriers and promotes healthy foot development, considering this essential for healthy lifelong mobility. In this thesis, fit is constructed of three elements: physical, identity and social fit. The tensions and relations between these forms of fit are explored and translated into a concept design consisting of three parts: a physical product, an in-store experience, and a mobile service. Together, these deliver a system that aims to remove the need to compromise on aesthetic value or physical fit, put the child at the center of the footwear selection process, and inspire and facilitate more pro-active consumption behavior of children’s footwear. This project involved the creation of various physical and digital prototypes that were used to gain insights on key aspects of the proposed product-service system. Qualitative data resulting from exploratory customer research and user testing of the proposed concept, with parents as end-users, have resulted in a greater understanding of the technical and perceived challenges with regards to the implementation of such a new system for 3D printed children’s footwear.
In addition, this thesis oscillates between two equally critical perspectives: that of the user and that of the system. Technical and perceived tensions between personalized footwear and reuse of pre-owned footwear are revealed, and opportunities for take-back systems and end-of-life solutions are discussed. Furthermore, opportunities for rapid product improvement and more detailed analysis of foot and gait development are explored, as a result of the circularity of the system.
A strategy for market implementation of the proposed product-service system is detailed, highlighting the opportunity to create a system that onboards users of 3D printed footwear from the very first steps, and creating a membership and subscription based approach. Key strategic partners are identified for the realization of the proposed system, addressing complexities of the system, strategic positioning along the product and service supply chain and the need for expertise, specifically in the areas of production and fit measurement. Finally, this research highlights several key areas of interest and opportunities for future research and development.
It was found that PCwTD rarely come into contact with CwD and therefore lack the knowledge and skills to treat CwD properly and teach children with typical development (CwTD) about CwD. Additionally, mainly the differences between CwTD and CwD are perceived, preventing the normalisation of CwD.
Based on these findings, the design vision is formulated. The vision focuses on stimulating direct contact between CwD, PCwD, CwTD and PCwTD by guiding the parents to provide input for inclusive play. Followed by stimulating PCwD to help PCwTD correct the children during inclusive play and highlighting the similarities between the children.
Based on this vision, de Voelvlek is designed. The Voelvlek consists of a sensory rug, abstractly shaped balls called ‘monstertjes’ and play cards. The monstertjes are dynamic objects that stimulate spontaneous interaction between PCwD and PCwTD and stimulate bystanders to join the play. The parents can mix and match the play cards to facilitate inclusive play ideas for their children. By discussing the common interests of the children for the play, PCwTD learn about the similarities between CwD and CwTD. When the children are playing the PCwTD can continue this learning process through observation and the questions on the play cards. The play cards use different kinds of questions to stimulate parental interaction and help PCwTD reflect on their behaviour towards CwD and PCwTD.","Inclusive design; Parents of children with special needs; Barriers","en","master thesis","","","","","","","","","","","","Integrated Product Design | Medisign","",""
"uuid:0ff2a52c-cff1-41e3-889c-59c1bd6bb854","http://resolver.tudelft.nl/uuid:0ff2a52c-cff1-41e3-889c-59c1bd6bb854","Intent-Based CD&R: A Showcase and Evaluation of the Use of Intent in Orthogonal Constrained Urban Airspace","Liang, Jason (TU Delft Aerospace Engineering)","Ellerbroek, Joost (mentor); Hoekstra, J.M. (graduation committee); Sharpanskykh, Alexei (graduation committee); Badea, C. (graduation committee); Delft University of Technology (degree granting institution)","2024","The usage of drones in urban environments is expected to grow rapidly in the coming decades. To ensure the safe operations of drones, conflict detection and resolution are vital. Currently, a lot of research has gone into state-based CD&R, which has proven effective in unconstrained airspace but suffers from a large number of false positive conflicts in constrained airspace. The use of intent in constrained CD&R has the potential to reduce the number of false positive conflicts and improve the safety of drone operations significantly. In this paper, an intent-based detection and resolution method for orthogonal constrained very low-level urban airspace is presented and evaluated against a state-based method. The intent-based method calculates the future position along the trajectory at a time interval of 3 seconds for each aircraft, and conflicts are then detected by comparing these positions. The conflicts are solved utilizing a rule-based algorithm. The results show that the intent-based method has a much lower false positive rate for all traffic densities, as well as a higher average detection time before conflict for larger look-ahead times compared to the state-based method. The resolution of the state-based method, however, shows better performance with fewer losses of separation occurrences. With improvements, the intent-based method's low false positive rate, combined with the use of a larger look-ahead time, allows conflicts to be detected more reliably and earlier than the state-based method, thereby facilitating earlier conflict resolution and enhancing safety.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:e4e7b731-8c79-45f4-98db-f827f2634d9f","http://resolver.tudelft.nl/uuid:e4e7b731-8c79-45f4-98db-f827f2634d9f","Physics based modelling of the impact of Unicom settings on the illumination pupils of EUV lithography machines","Klijnjan, Tim (TU Delft Electrical Engineering, Mathematics and Computer Science)","Budko, N.V. (mentor); Lahaye, D.J.P. (graduation committee); Tan, J. (mentor); Delft University of Technology (degree granting institution)","2024","Extreme Ultraviolet lithography is a vital step in the production of cutting edge computer chips that drive emergent technologies like AI, VR and the internet of things [1]. Careful control of Ultraviolet light beams by EUV machine modules such as the Unicom, Uniformity correction module, enable extremely precise printing of nano-scale structures on these chips. This thesis focuses on the construction of a model that predicts the impact of the Unicom on EUV illumination in ASML's lithography machines. Such a model could be used in a predictive maintenance scheme to prevent a fraction of unscheduled machine downtime, which can be estimated to cost ASML's customers around 38 million dollars per machine per year [2-4]. Similar problems have been tackled before [5, 6], but both existing models disregard multiple properties of the EUV machine and are incompatible with the type of measurements obtained by ASML. Therefore, the question remains how an accurate Unicom model can be constructed.
In this thesis, a physics based Unicom model was developed that can be fine-tuned to machine specific measurements. Significant reductions of up to 90.3% of prediction errors were obtained by using the model. Overall, making use of the model provided better or equivalent predictions when compared to not using the model for all but one of the investigated indicators of prediction quality. For the latter indicator errors remained within the desired bound, but further investigation is needed to discover why the Unicom model adversely affected this indicator. With an average execution time of 31.4 s, the created Unicom model in general enables swift and substantial accuracy gains.","EUV Lithography; Uniformity; Unicom; Illuminator; Illumination Pupil; Ray tracing","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:fdaf198a-8349-4790-a364-a1e4dc5a11b7","http://resolver.tudelft.nl/uuid:fdaf198a-8349-4790-a364-a1e4dc5a11b7","Design and Analysis of Macro-Economic Models in the Laplace Domain: An Economic-Engineering Approach","van Rijen, Gijs (TU Delft Mechanical, Maritime and Materials Engineering)","Mendel, M.B. (mentor); Hutters, C. (graduation committee); Delft University of Technology (degree granting institution)","2024","In this thesis, we demonstrate the efficiency of Laplace domain techniques for the design and analysis of economic systems. To make the techniques applicable to economic modeling, we establish the economic analogs to the various tools and nomenclature in the engineering literature. We show that the Laplace domain provides an alternative description of economic systems, offering insights into behavior not apparent in the time domain. This allows economic discounting and cycles to be efficiently analyzed using pole-zero maps, Bode plots, and similar techniques. In addition, we demonstrate that transforming the linear differential equations of economic engineering into algebraic equations in the Laplace domain simplifies the design of economic systems.
We use the Laplace domain techniques to design and analyze a macroeconomic model. By designing the model in the Laplace domain, we are able to integrate supply chain dynamics and the housing market using two-port network theory.
By analyzing the model using a pole-zero map, we show that the economy's discount rates and business cycles are represented by complex poles and the economy's transmission blocking rates by complex zeros. Additionally, we demonstrate that the Bullwhip effect, a supply chain phenomenon, can be intuitively visualized using a Bode plot. These applications illustrate how Laplace-domain techniques enable the efficient design and analysis of economic systems.","Laplace Transforms; Macroeconomics; Economic Engineering","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:40e897ce-b9d3-40fb-b615-b6768a7fc031","http://resolver.tudelft.nl/uuid:40e897ce-b9d3-40fb-b615-b6768a7fc031","Towards More Meaningful And Superior Digital Experiences in Luxury Automotive: A roadmap for the introduction of a blockchain technology ecosystem considering the needs of evolving customers","Calamita, Kyria (TU Delft Industrial Design Engineering)","Bakker-Wu, S. (mentor); Secomandi, Fernando (graduation committee); Delft University of Technology (degree granting institution)","2024","The accelerated digitalization of daily interactions, significantly influenced by the Covid-19 pandemic, has rendered the digital realm a fundamental aspect of life across generations. This shift has led to a transformation in digital asset management, ownership, and trade. The introduction of blockchain technology, especially non-fungible tokens (NFTs), has initiated a new era where digital items are capable of scarcity and uniqueness—attributes previously exclusive to physical luxury goods.
In this light, the project investigates the convergence of blokchain technology with the luxury automotive sector, seeking to unlock the business potential for luxury Original Equipment Manufacturers (OEMs).
Through creative trend research, emerging trends and developments have been identified, delineating the future landscape of luxury automotive. A strategic vision for luxury OEMs has been formulated, establishing a reference point for innovation and customer-centric product development.
The project has pinpointed four products, each embodying the promise to resonate with the evolving values of luxury consumers and to propel business growth. These products are central to a structured roadmap, which is segmented into three strategic horizons, guiding towards the envisioned future. Each horizon encompasses specific goals, in harmony with the progression and integration of these digital products.
The roadmap produced is a detailed blueprint for luxury automotive companies to contemplate the integration of blockchain technology with luxury experiences. The report concludes with an evaluation of the products and the roadmap by luxury automotive professionals, and suggestions for further research to
refine the strategic approach. This comprehensive evaluation encapsulates the project’s full scope and its approach to aid luxury automotive towards more meaningful and superior digital experiences.","Design Roadmapping; Luxury Automotive; NFT; Blockchain","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:dc619f49-fd64-4186-a45c-8b97a7c9459d","http://resolver.tudelft.nl/uuid:dc619f49-fd64-4186-a45c-8b97a7c9459d","Assessing the Efficacy of Deep Learning Models in the Context of Active Flow Control","Sara Boby, Sara (TU Delft Mechanical, Maritime and Materials Engineering)","Della Santina, Cosimo (mentor); Laskari, A. (mentor); Delft University of Technology (degree granting institution)","2024","In the field of fluid mechanics, there has been a significant shift towards the integration of machine and deep learning techniques to address challenges in reduced-order modeling, flow feature analysis, and control, especially within the realm of active flow control (AFC) for objectives such as lift optimization and drag reduction. Deep learning has taken a central role in advancing state-of-the-art AFC methods by creating data-driven models that mitigate the computational demands of conventional Computational Fluid Dynamics (CFD) simulations, enabling real-time fluid control. Despite the predominance of models trained offline and focused on simple scenarios like laminar flow around bluff bodies, the utility of sophisticated learning methods in AFC has remained largely unexplored.
This research introduces a novel benchmark in fluid dynamics—a soft robotic tentacle actuator—to evaluate the effectiveness of deep learning architectures in complex flow control situations. Through the comparison of online and offline learning frameworks for predicting system behavior, the study elucidates the strengths and limitations of deep learning networks in AFC. The findings underscore the constraints faced by deep learning architectures when dealing with aperiodic motions and demonstrate the significant benefits of adopting an online learning approach over offline training methods, thereby highlighting the advantages of adaptive learning strategies in complex AFC scenarios. The online learning framework displays more stability and increased quality of forecasts at larger time horizons.","Online Learning; Active flow control; Data driven nonlinear system forecasting","en","master thesis","","","","","","https://github.com/sboby/FNN_Offline Git hub repo of the thesis","","","","","","Mechanical Engineering","",""
"uuid:9ae79525-c403-4cc0-9b71-662db3db9516","http://resolver.tudelft.nl/uuid:9ae79525-c403-4cc0-9b71-662db3db9516","Hybrid Phaco: Driving circularity in eye surgery with a semi-disposable phacoemulsification redesign","Timmerman, Lars (TU Delft Industrial Design Engineering)","Diehl, J.C. (mentor); Persaud, S.M. (graduation committee); Vervaet, Joost (graduation committee); Gähler, Mart (graduation committee); Delft University of Technology (degree granting institution)","2024","Cataract surgeries using phacoemulsification (phaco) are standard practice, accounting for the large majority of all cataract surgeries. Reliance on sterilization processes has significant environmental implications, giving its energy and resource intensive nature.
This thesis introduces a novel hybrid phaco handpiece design, eliminating the need for sterilization by adding a disposable to facilitate sterile use, combined with a reusable as driver of ultrasound. This product is the first step towards a proposed fully circular system. This project has a focus on reducing impact, while maintaining phaco functionality; it does so by testing for phaco ultrasound characteristics and functioning fluidics while addressing the challenges associated with sterilization. The design emphasizes quick, secure, and sterile assembly in the operating room (OR) while maintaining cost-effectiveness and minimizing environmental impact.
The hybrid phaco handpiece achieves its functional design by carefully managing the components responsible for ultrasound and fluidics. The internal sonotrode, driving ultrasound, is split between the disposable and reusable components, connected securely through a threaded interface. Fluidics are managed by splitting off before reaching the reusable part, employing a simple yet effective O-ring design in the disposable product.
Maintaining sterility in the OR is a critical aspect of the hybrid phaco handpiece design. Mechanical seals in O-rings provide internal sterile barriers, and a blister pack facilitates sterile interaction between non-sterile and sterile components. The contact-free assembly of the disposable into the reusable ensures a tight connection, validated by a torque ridge that breaks at a specified torque, offering a visual cue for successful connection. This usability design, validated with healthcare professionals, adds safety and fits the quick nature of cataract procedures.
The innovative sterile handover method is implemented through the packaging itself, acting as a sterile barrier between different nurses handling the disposable and reusable components. During handover, a pre-attached sterile tube sleeve is unrolled over the non-sterile component. This ensures a seamless and sterile transition during assembly, minimizing the risk of contamination.
A comprehensive functional analysis validates the hybrid design, ensuring it meets specifications. Insights gained from this analysis guide further mechanical tuning, particularly in aspects influencing ultrasound characteristics. Beyond functionality, the hybrid phaco handpiece design has environmental implications. By eliminating the need for energy-intensive sterilization procedures and reducing waste from disposable wraps, the design reduces its climate impact by 67% over the entire life cycle.
This hybrid phaco handpiece design represents a step towards a circular system in the field of cataract surgeries. Balancing functionality, sterility, and environmental impact, this novel approach not only provides new insight into sustainable phacoemulsification procedures, but also shows the potential of hybrid reusable and disposable products in healthcare.
The city is designed to be human-centred. In the multispecies urban environment humans take on roles of management and ownership over flora and fauna. This leaves the richness and biodiversity of urban habitats in the hands of humans (Denters, 2020). Ecology is often treated as a second class citizen and given opportunities according to human preferences.
In collaboration with material-centred design agency Refunc, this project explores the way designers can work with the entanglements around local ecology and facilitate equal and just treatment of ecology as an added client of otherwise human-centred design.
More-than-human perspective
In the first stage of the project, practices from more-than-human-design theory, Refunc, nature-inclusive building and ecologists are collected and urban human-ecology relations are explored by noticing entanglements (Tsing, 2021) and multidisciplinary collaboration. To allow more opportunities for ecology to be revitalised in urban environments the main objectives are to create space and facilitate agency for ecology.
Decentring through experimentation
In the following stage of the project
designing, making and co-creation are used as knowledge generation tools. Through multiple design experiments the application of more-than-human design and Refunc’s practices is explored and more practices are collected and defined.
The main challenges of adopting the more-than-human perspective are:
The lack of knowledge and understanding of ecology and the complexity of entanglements.
Decentering the human in the design process.
Play and multidisciplinary collaboration can support the designer in finding new multispecies uses for objects.
Added to Refunc’s framework are lively materials, nonhuman functions and more-than-human clients. As well as a change in perspective from projects that are finished once they are produced to interventions that are ever changing.
A (never) complete journal of practices for designers of urban ecology
A journal is created to provide support to beginning more-than-human designers.
The design goal is to introduce them to MTHD practices and inspire them to experiment in a project with urban ecology.
The journal is filled with 29 practices, examples of interventions and activating questions. It is a collection of the work of this project and a starting point for another designer going on a similar journey. They are recommended to be applied and explored one by one in a reflective and iterative design process. After the designer has mastered its contents, the journal can be planted and create space for ecology by itself.
Lastly, the journal and this project are an invitation to every designer to experiment and explore how their projects can involve more-than-human ecology.
Focussing on mechanical vapour compression heat pumps, a thorough understanding of such cycles is gained first. The performance of a heat pump is highly dependent on the choice of cycle setup and working fluid, with the compressor posing the largest limitations for higher temperatures. To assess these, this project develops a heat pump model which simulates many different working fluids for different component configurations. The model was subjected to two temperature domains, covering waste heats of 100 ◦C & 200 ◦C and process heat temperatures in the range of 150 ◦C to 400 ◦C.
Results were obtained for all fluids incorporated in RefProp 9.0 and showed that multistage compression with intercooling and superheating considerably improved the performance of nearly all fluids. By comparing fluids based on efficiencies, capacities, temperatures and pressures, benzene and propylcyclohexane showed the best performance for the lower and higher part of process heat temperatures, respectively. The results however also showed the potential superiority of water as it has the best efficiencies and the largest applicability range, which combines with the hazard-free & environmentally friendly nature, low cost and wide availability. The main downside of water appeared to be the persistent, unacceptably high compression temperatures, combined with large pressures and pressure ratios. It was subsequently investigated how the disadvantages of water could be handled. A solution was found in the usage of Liquid Piston Gas Compression (LPGC), in which a rising liquid column, supplied by a pump, acts as a reciprocating piston in a compression chamber. This setup conveniently allows for liquid spray injection to cool the steam upon compression and alleviates limitations on the pressure ratio. By using the same water as the liquid in the LPGC, any temperature rise is compensated by the evaporation of liquid, resulting in more steam with a lower temperature. A numerical model of this type of compressor was made in which dynamics were modelled down to individual droplets. This simplified approach provided insight into the compression path with such liquid injection and allowed the approximate determination of the required amount of spray. Results showed that the injection could cool the vapour adequately even for high temperature lifts. The LPGC was subsequently incorporated into a single-stage heat pump cycle and compared the results for other fluids using ordinary compressors. These results showed large CoP improvements of 15-25 % CoP and low discharge temperature. With that, it was shown that an environmentally friendly fluid could be used in a simple single-stage configuration and still provide the best performance compared to any other fluid.","High-temperature heat pumps; liquid piston gas compression; Vapor compression heat pump; water; Intercooling; Superheating","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:2f3c84ef-5d97-41dd-82f4-c69855246a29","http://resolver.tudelft.nl/uuid:2f3c84ef-5d97-41dd-82f4-c69855246a29","Routing based on User Requirements","Bestebreur, Timon (TU Delft Electrical Engineering, Mathematics and Computer Science)","Kuipers, F.A. (mentor); Pouwelse, J.A. (graduation committee); Zapletal, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","The versatility of the internet enables many applications that play an increasingly bigger role in our society. However, users have little control over the route that their internet traffic takes, which prevents them from controlling who sees their packets and how their traffic is handled. Researchers have proposed an extension to the internet, called the responsible internet, that aims to provide users with control over the route that their internet traffic takes.
Providing this control is the aim of this thesis. Users can control their route by specifying requirements that their route has to fulfill. This thesis defines the Maximum Path Requirement Intersection (MPRI) problem as the problem of finding the route that satisfies as many of the user’s requirements as possible, and this thesis proves that MPRI is NP-hard. Subsequently, both a heuristic to solve the problem in a reasonable amount of time as well as an exact algorithm that guarantees to find the globally best path are introduced. The performance of the heuristic is measured relative to the globally optimal solution given by the exact algorithm. Results show that less features allow the heuristic to have a larger search space, which improves the results; that the runtime of the heuristic scales polynomially in the number of hops between the start and end node; that the heuristic is most effective in graphs that have a power-law degree distribution and least effective in grid-like graphs; and that in a realistic setting the heuristic runs quickly while performing close to optimal.","Internet; internet routing; Pathfinding; BGP; autonomous systems; Controllability; privacy; Security; Usability; maximum set intersection; Requirements","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:8e38a609-bf24-48ec-9a9f-24fc294c0be1","http://resolver.tudelft.nl/uuid:8e38a609-bf24-48ec-9a9f-24fc294c0be1","Redesign of the Artechno vertical farm robot: Herontwerp van de Artechno vertical farm robot","Colsen, Quincy (TU Delft Mechanical, Maritime and Materials Engineering)","Schott, D.L. (graduation committee); van den Bos, W. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis focuses on a vertical farming system designed for growing crops. The system’s deficiencies were identified during a company visit where the system was operating. An analysis was conducted to see when all deficiencies would be resolved. It revealed that the vertical farm robot had to be redesigned to grab seven benches deep to eliminate the system’s shortcomings and significantly enhance its performance. Thus, a literature review was conducted to determine possible solutions. As a result, various alternatives were devised based on the requirements and constraints considering the available design space and a morphological chart. These alternatives were scored with a weighted criteria method, and the best view was elaborated in more detail. Subsequently, a computer-aided design of the best overall-looking design was created. After ordering all materials, a design prototype was built inside a climate cell in the research centre, and all sensors and drives were wired and controlled by a programmable logic controller to fully automate the prototype. A proof of concept was successfully obtained from the test results. Therefore, this redesign of the system’s performance was enhanced, and this thesis was successful.","Redesign; Vertical Farming; Robotics; Engineering; design approach; Artechno growsystems","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","","52.0060928, 4.3450368"
"uuid:76d318c1-9eb4-460f-9619-56f25abb9970","http://resolver.tudelft.nl/uuid:76d318c1-9eb4-460f-9619-56f25abb9970","Dutch Housing Commons: Non-speculative housing within and against the housing market","Vork, Sebastiaan (TU Delft Architecture and the Built Environment)","de Haas, M.J. (mentor); Gosseye, J. (graduation committee); van den Ban, R.R. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Netherlands is facing a housing shortage and adequate housing provision is lacking. Citizens are eager to act on their own through bottom-up initiatives. However, bottom-up initiatives (such as cooperative housing) do not thrive within the current housing market and therefore significant development of cooperative housing in the Netherlands stays off. This research aims to support the development of cooperative housing in the Netherlands. This is being achieved through constructing an alternative reality of the housing market through composing a play script. The script is being informed by interviews, literature review and analysing case study projects. The interviews were conducted among the present stakeholders (the academic, the advocate, the architect, the bank, the cooperative, the developer, the dweller, the municipality). Al together, the research hopes to transfer these thoughts and findings on cooperative housing to continue this collective process.","Cooperative housing; Ficto-criticism; Transformation; Post-65 architecture; Bandstad","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","","51.50398,5.51185"
"uuid:9053ca77-cb4d-4da5-8b97-c29832167743","http://resolver.tudelft.nl/uuid:9053ca77-cb4d-4da5-8b97-c29832167743","Autonomous assembly of digital materials: with inchworm locomotion robotic assemblers","Presa Magriña, Guille (TU Delft Aerospace Engineering)","Masania, K. (mentor); Delft University of Technology (degree granting institution)","2024","Throughout history, human progress has been defined by the mastery of materials, transitioning from stone and bronze to the steel age. However, this progression has not only been defined by the materials utilized but has also encompassed a shift in processes, moving from the industrial to the information era. Despite the advances in fabrication techniques, building large and detailed structures continues to pose an unresolved challenge. Cost, speed, performance and size are often mutually exclusive objectives that are bound by the materials and processes used.
In the search for new processes and materials, we can find inspiration in the oldest of all fabricators; life. In natural systems, a small set of 20 amino acids are assembled by ribosomes into coherent organisms with complex sensing, actuation and information storage. Nature represents the highest dynamic range assembly system known to mankind. But a question arises: can these benefits be extended to engineering systems at meso and macro scales?
The advantages of natural fabrication emanate from the use of digital materials and self-replicating assemblers. Digital materials are composed of precise and discrete building blocks like amino acids at the micro-scale or Lego building blocks at the meso scale. They are tolerant to noise, possess embedded metrology and their assembly can be highly parallelized. Lego structures can be built more repeatably than what a standard 3D printer can print despite the imprecise nature of human assemblers. This is because the metrology and the code for construction are embedded within the material itself.
In this thesis, a complete end-to-end autonomous digital material assembly system, that bridges the gap between a 3D model and a built structure with a flexible, comprehensive, and easy-to-use toolset is presented. All elements in the triad of autonomous digital assembly were developed, from the digital material to the robot and the controlling software. A digital material made of discrete 3D-printed octahedra lattices that can be magnetically or mechanically joined is utilized. Straight, curved and elongated lattices enable unparalleled geometric freedom.
This material can be picked up, transported and placed by a robotic assembler in the form of a 5DOF (degrees of freedom) cable-driven differential joint inchworm robot.
Most importantly, a flexible control platform capable of interpreting 3D models, developing the necessary robot movements for optimal construction and wirelessly controlling assembler robots powers the build process. This platform introduces some major innovations within the field. For starters, it is not limited to blocky grid domains as it is powered by inverse kinematics. It is also architected to enable cooperation between different assembler types by utilizing a work package system and presents wide abstraction layers allowing further development at higher levels with ease. Additionally, it provides a seamless control interface.","Robotic Assembly; Robotic Building; robotic locomotion; Additive Manufacturing; Planning and control; Path Finding; Pathfinding; Inverse Kinematics; Collision detection; Collision avoidance; Cable driven parallel robot; 3D printing","en","master thesis","","","","","","","","2025-02-28","","","","Aerospace Engineering","",""
"uuid:06bf2239-db11-41ee-bab2-a90e95b3ceb8","http://resolver.tudelft.nl/uuid:06bf2239-db11-41ee-bab2-a90e95b3ceb8","Sensor Fusion for Visual-Inertial Simultaneous Localisation and Mapping: Applied and tested on a small ground-based mini rover","Bekkers, Sam (TU Delft Mechanical, Maritime and Materials Engineering)","Della Santina, C. (mentor); Delft University of Technology (degree granting institution)","2024","The generation of a 3D map of an unseen environment, obtained through solving the SLAM problem, is a popular topic currently in the robotics domain. The Lunar Rover Mini (LRM) at the German Aerospace Center solves this problem using a RGB-D camera system, which is favourable in space applications due to its lightweight characteristics and energy-efficiency. Performing SLAM based on camera images is based on visual odometry: the science of estimating the rover’s trajectory trough a sequence of images. However, the dependency on a
single sensor to perform mapping and navigation poses a threat to the reliability of the system. To increase the reliability and robustness of the SLAM algorithm, an inertial measurement unit (IMU) is incorporated in the robot hardware.
This thesis describes the design for a visual-inertial SLAM algorithm that incorporates both visual and inertial measurements to solve the SLAM problem through performing tightly coupled sensor fusion, which estimates and corrects for IMU biases. The solution is based on a non-linear factor graph, which is a graphical model to represent the relationships between the
rover’s measurements and the unknown variables which are optimised for. This is done using the open-source GTSAM framework. Using experimental data, the robustness of the novel visual-inertial SLAM algorithm is demonstrated by simulating specific sensor failures. Moreover, the novel algorithm shows its capability to incorporate a degree of certainty regarding specific areas of the generated map, closely resembling how a human being would generate a
map of an unknown area.
An additional use case for tightly coupled sensor fusion is the increased accuracy of the estimated trajectory. Assuming Gaussian noise models for both measurement models, averaging the two can yield a higher accuracy than either of the two sensors could have obtained by itself. This hypothesis was tested in another experiment. As the main mechanism behind bias estimation is reducing the error between visual and inertial measurements, bias estimation is quickly affected by this drifting visual odometry, which in its turn deteriorates the accuracy of the visual-inertial odometry module. This observation proves that the bias estimation is not correlated to the underlying physical process, but is rather just a numerical value in the optimisation reducing the residual error. It raises the question whether this strategy of tightly coupled sensor fusion can actually be used to increase the accuracy of a visual odometry algorithm.","SLAM; Sensor Fusion; Stereo camera; IMU; Bias estimation","en","master thesis","","","","","","This thesis was conducted in close collaboration with the German Aerospace Center in Oberpfaffenhofen, Germany","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:cce7f942-5bc7-4438-b910-3c32864eb6f7","http://resolver.tudelft.nl/uuid:cce7f942-5bc7-4438-b910-3c32864eb6f7","Applying Mixed-Integer Quadratically Constrained Programming to Reduce Fuel Cell Degradation Onboard a Hybrid Ship","Degeling, Pieter (TU Delft Mechanical, Maritime and Materials Engineering)","van Biert, L. (mentor); Coraddu, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","New methods of ship propulsion are required to meet the targets for reducing global emissions of greenhouse gases. Hydrogen-powered fuel cells can be used to greatly reduce emissions, facilitating renewable shipping. Fuel cell durability is recognised as a large barrier to widespread fuel cell application. The goal of this graduation project is to develop a model which can be used to examine the trade-off between hydrogen consumption and degradation in the operation of a fuel cell/battery hybrid ship. The main research question is defined as follows:
”How does incorporating fuel cell degradation in the energy management system of a hybrid marine propulsion system affect the resulting fuel consumption and degradation?”
An optimisation approach is used to develop an energy management system. A quadratic mathematical model is constructed using the fuel cell’s polarisation curve as its basis. Linear relations for a fuel cell’s current-voltage relation are used to describe the fuel cell power as a quadratic current-power relation. Fuel cell degradation is included in the model by defining various operating conditions and relating them to degradation rates reported in the literature. In turn, the degradation influences the polarisation curve, thereby limiting the fuel cell’s performance. This quadratic approach to modelling the interplay between fuel cell degradation and the fuel cell’s performance is the main contribution to the literature.
The proposed energy management system is used to determine a vessel’s optimal operation, depending on the objective function applied. Two objectives are defined: minimisation of fuel consumption and minimisation of fuel cell degradation. Besides testing these single objectives, a weighted-sum approach to multi-objective optimisation is carried out using hydrogen and fuel cell system costs.
The optimal operation of the vessel is analysed based on key performance indicators such as fuel consumption, fuel cell degradation and operating costs. Incorporating fuel cell degradation in the energy management system leads to a reduction in degradation of up to 33% for the long-term simulation of the case study applied in this thesis when compared to the results for the minimisation of fuel consumption. A large increase (50%) in fuel cell lifetime can be accomplished with a very limited increase in hydrogen consumption (0.5%).
This research contributes an intuitive modelling method for the interplay between fuel cell degradation and the fuel cell’s performance. It provides insight into the considerations between fuel cell degradation and hydrogen consumption while operating a hybrid vessel, addressing a small part of a technical solution to mitigating emissions.
One promising solution involves re-utilising the city's waterways and employing vessels to transport waste out of the city. Two pilots involving water-based waste transport have been conducted in the city and were found to be a working proof of concept. It is, however, not known what a water-based collection system for the whole centre should look like to service the city and preserve the quays and bridges. This thesis aims to assess the trade-offs between three conceptual water-based waste collection systems (WCSs) to guide the direction for designing a suitable WCS for the city.
The three concepts examined concepts differ in the scale of the transshipment locations (the point where waste is transferred from land to water), categorised as WCSs with small- medium- and large-scale transshipment locations. The study focuses on the trade-offs between WCSs within and between the domains of social acceptance and technical feasibility.
This thesis consists of two main parts, in the first part the three water-based conceptual WCSs were defined using a design workshop. During the workshop, six employees of the municipality used different exercises to generate ideas on how waste could be collected using the waterways and to turn these ideas into conceptual designs.
In the second part of this thesis, these three conceptual WCSs were studied using a case study. The units of analysis in each of the WCSs were the social acceptance and the technical feasibility.
To evaluate the technical feasibility of each of the WCSs, expert interviews with specialists in vessels, waterways, quay walls and assets were conducted. The social acceptance of the three WCSs was studied using a survey administered to potential users of these systems. They were asked to choose between various WCSs based on walking distance, their general preferences, their preferences in specific situations and their concerns regarding these systems. Parallel to the survey, potential user interviews were conducted to gain deeper insights into respondents' reasons for preferences or aversions to specific WCSs.
The results from the expert interviews were summarised and presented in a table to provide an overview of the feasibility of the three WCSs. Survey results were depicted through graphs illustrating respondents' choices, while potential user interviews were analysed thematically, supported by quotes from the interviews.
WCS with large- and medium-scale transshipment locations were both found to be potentially feasible from a technical perspective, whereas the small-scale option was deemed unlikely to be feasible. The survey indicated that the majority of the respondents had a preference for self-disposal in containers close to their homes as opposed to having their waste collected at a self-scheduled time, requiring them to be present at home to hand over the waste to the pickup service. Additionally, respondents preferred disposal facilities not too close to their homes or within their direct line of sight.
Insights from potential user interviews revealed that participants favouring self-disposal valued flexibility, while on the other hand, those preferring self-scheduled pick-up appointments believed this WCS would address the litter issue in the city.
In conclusion, a key trade-off identified was between providing residents with their most preferred waste disposal method through numerous disposal locations versus the technical challenges, if not impossibility, of realizing such a large number of locations.
Based on this, the municipality was recommended to conduct further research on WCSs with large- and medium-scale transshipment locations, alongside implementing pilot projects to test these systems in a real-world setting.","Waste collection; Amsterdam; Trade-offs","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","","52.377956, 4.897070"
"uuid:79c6bd6b-d008-408b-a2ac-aef988df76e2","http://resolver.tudelft.nl/uuid:79c6bd6b-d008-408b-a2ac-aef988df76e2","Safely Building New Houses in the Geul Catchment: How to mitigate the impact on flooding?","Idsinga, Daan (TU Delft Civil Engineering & Geosciences)","Hut, R.W. (mentor); De Weerd, Mark (mentor); Hrachowitz, M. (graduation committee); Buitink, Joost (graduation committee); Hutten, Rinske (graduation committee); Delft University of Technology (degree granting institution)","2024","In July 2021 severe flooding occurred in the South of Limburg, Belgium, and Germany due to heavy precipitation. Extreme precipitation events like this are expected to occur more often in our changing climate. Urbanization is thought to be another contributing factor to the July 2021 flood event. The Netherlands is expected to increase urbanization as a solution to its housing shortage. To make room for urbanization, while minimizing the effect of climate change, the government wants to make ”water and soil leading”, Water en Bodem Sturend, in the decision-making about the layout of the Netherlands.
Therefore, the goal of this research is to investigate the best suitable subcatchment for the construction of new residential houses within the Geul catchment, in terms of flooding. The July 2021 flood event is used as a reference. The first step was to investigate the hydrological response of the Geul catchment. Secondly, this hydrological response was modelled by the semi-distributed hydrological models HBV coupled to D-RR and by the distributed model Wflow_sbm. HBV and D-RR are set up in this research, while Wflow_sbm is adopted from Klein (2022) and Bouaziz (2022). The hydrological models are coupled to the Geul hydrodynamic model D-HYDRO of Hulsman, Weijers, Verstegen, and Goedbloed (2023). The building plans in the Geul catchment were investigated and scenarios were constructed. These scenarios were simulated in the hydrological models. This method resulted in a workflow that can be found in Idsinga (2024). The workflow can be applied on analyses of different land cover types.
The modelled hydrographs showed differences between the hydrological models. Each model better describes one part of the hydrological response compared to the other. HBV and D-RR better represent the subsurface flow and describe the hydrological response during consecutive precipitation events. Wflow_sbm represents the overland flow flux better and therefore describes the hydrological response during the July 2021 flood event. The modelled flood extents during the July 2021 flood event are also compared to the estimated extent by Slager, de Moel, and de Jong (2021). Wflow_sbm showed better similarity to the measured flood extent than HBV and D-RR. The Province of Limburg wants to build 18,730 new houses in the South of Limburg. This results in an increase of 6 km2 paved area. In this research, this increase is applied to different locations in the Geul catchment. Next, the impact of completely paved subcatchments was investigated. The relatively small 6 km2 increase in paved area did not result in different discharge behaviour and the total area of the flood extent showed a small difference. However, it impacted the flooded paved area. Building far from the river on the hills resulted in no increase in the flooded paved area. New houses in the valleys, close to the river, are more exposed to flooding. In the Meerssen subcatchment, the added paved area was responsible for 95% of the total increase in the flooded paved area. This was also the case in the Gulp subcatchment, where about 90% of the increase in flooded paved area came from the added paved area.
The Meerssen subcatchment is the most vulnerable to flooding. This subcatchment contains the most paved area and more runoff will result in a more flooded paved area. A completely paved Gulp subcatchment results in a less flooded paved area than building 6 km2 close to the Geul in the Meerssen subcatchment. When the Belgians build new houses in the Sippenaeken subcatchment, the Netherlands will receive more water during an extreme event such as in July 2021.
The letter Water en Bodem Sturend states that new houses must be built in sensible locations. In this research, the location of new houses is found to be important for the hydrological response. Building close to the river results in a more flooded paved area than building far from the river. The Gulp subcatchment is the least vulnerable to flooding and can be considered the best building location for new houses among the three investigated subcatchments.","","en","master thesis","","","","","","","","","","","","Water Management","",""
"uuid:001ae989-286d-4c5c-8976-be08ef46b71b","http://resolver.tudelft.nl/uuid:001ae989-286d-4c5c-8976-be08ef46b71b","Circuits and Systems for a Spiking Neuromorphic Network in 28 nm CMOS","Hettema, Bart (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Leuken, T.G.R.M. (mentor); Zjajo, Amir (graduation committee); Bishnoi, R.K. (graduation committee); Delft University of Technology (degree granting institution)","2024","Neuromorphic computing can be used to efficiently implement spiking neural networks.
Such spiking neural networks can be used in edge AI applications, where low power consumption is paramount.
The use of analog components allows for extremely low power implementations.
This thesis contributes the designs of an analog spike generator, synaptic elements and an accumulating neuron in 28 nm CMOS technology.
The elements are assembled in a neural network and laid out in an SoC.
Energy consumption numbers of less than 1 pJ/synaptic operation are achieved in the analog neuromorphic components.","neuromorphic computing; spiking neural network; neuron; synapse; CMOS","en","master thesis","","","","","","","","","","","","Electrical Engineering | Circuits and Systems","",""
"uuid:915afc04-a802-456b-95d5-4e1c7dc49a25","http://resolver.tudelft.nl/uuid:915afc04-a802-456b-95d5-4e1c7dc49a25","Flying and Ground Robot Collaboration for Camera-based Search and Rescue","Esteves Henriques, Bernardo (TU Delft Aerospace Engineering)","Jamshidnejad, A. (mentor); Baglioni, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Search and Rescue (SaR) missions present challenges due to the complexity of the disaster scenarios. Most life losses and injuries occur in developing countries. Robotics has become indispensable for rapidly locating disaster victims. Combining flying and ground robots more effectively serves this purpose due to their complementary features. To this end, a cost-effective framework to perform conventional SaR tasks is presented. The method leverages You Only Look Once and video streams by an Unmanned Ground Vehicle (UGV) and an Unmanned Aerial Vehicle (UAV). In exploiting pose estimation to perform human depth estimation, the susceptibility of the algorithm to variations in poses was unveiled. In tracking object trajectories, the collaboration is advantageous in wide-area cluttered trajectories as opposed to narrow-area unobstructed trajectories. In mapping terrain elevation, errors drop significantly with the assistance of the UAV. Moving forward, devising adaptable strategies tailored to diverse SaR scenarios will be pivotal.","Search and Rescue Robotics; Computer Vision; Object Detection; Pose Estimation; Homography Estimation; State Estimation; Terrain Mapping","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:585d2724-7c36-4375-a99c-a3419f6ae9f6","http://resolver.tudelft.nl/uuid:585d2724-7c36-4375-a99c-a3419f6ae9f6","Numerical investigation of the effect of hardware parameters on atomic-ensemble-based repeater protocols","Stevense, Wesley","Wehner, S.D.C. (mentor); Horta Ferreira da Silva, F. (mentor); Delft University of Technology (degree granting institution)","2024","We perform a numerical optimisation of the hardware parameters of an atomic-ensemble-based single repeater setup. The setup operates on a real-life fiber network connecting the cities Delft and Eindhoven. Besides this network, the setup encompasses photon pair sources, quantum memories, single photon detectors, and 50:50 beam splitters. The corresponding hardware parameters we consider are the following;
- The detector efficiency, defined as the probability the photon detector correctly registers an incident photon.
-The detector dark count probability, defined as the probability that a detector registers a false detection event.
- The memory efficiency, defined as the maximum probability that an excitation is not lost in the quantum memory.
- The memory coherence time, defined as the characteristic time after which an excitation is lost in the quantum memory.
- The Hong-Ou-Mandel visibilty, which is a measure of the indistinguishability of the photons in the setup.
Additionally, the setup has the ability to be multiplexed. This means that the probabilistic processes essential for executing the repeater protocol are initiated $M$ times in parallel. This increases the performance of the protocol.
To achieve the optimisation, we introduce absolute minimal hardware requirements and minimal hardware requirements. An absolute minimal hardware requirement is defined as the least favourable hardware parameter that still allows the setup to reach a given target metric. This implies that all other hardware parameters are at their optimal value. Minimal hardware requirements are defined as the least favourable set of hardware parameters that still allow the setup to reach a given target metric.
To evaluate the aforementioned target metric we conduct a numerical analysis. This analysis is based on the entanglement based version of quantum key distribution. We use Netsquid, a discrete event simulator for quantum networks, to carry out the numerical analysis. Utilising this, we formulate an optimisation problem that allows us to find absolute minimal hardware requirements and minimal hardware requirements for an atomic-ensemble-based single repeater setup.
We develop a method to solve this optimisation problem. This allows us to find absolute minimal hardware requirements and minimal hardware requirements for the hardware parameters listed above. We do this for different number of multiplexing modes, and different node placements on the existing fiber network. We consider both perfect photon pair sources and a model of a photon pair source based on Spontaneous Parametric Down Conversion (SPDC).","Quantum repeaters; atomic ensembles; absolute minimal hardware requirements; minimal hardware requirements","en","master thesis","","","","","","","","","","","","Applied Physics","",""
"uuid:7a6ee58b-af74-450a-a54b-8511aae898e6","http://resolver.tudelft.nl/uuid:7a6ee58b-af74-450a-a54b-8511aae898e6","Model-based testing of simulation models: A case-study approach to test model design for automated testing of discrete-event simulation models","van Bergeijk, Ferd (TU Delft Technology, Policy and Management)","Verbraeck, A. (mentor); Huang, Yilin (graduation committee); Quispel, O. (graduation committee); van den Berg, Tom (graduation committee); Delft University of Technology (degree granting institution)","2024","Model-based testing (MBT) is applied for the verification of simple simulation models used in modeling & simulation for decision-making. It is found that MBT of simulation models is not discussed much in literature, and that existing case studies on this topic do not give guidelines for test model design. It is established that testing simulation models is a difficult problem, as simulation models have many states, a time component, reactiveness, and inherent stochasticity. It is hypothesized that MBT could lead to more efficient and effective testing, and could fit within a modular approach to verification of simulation models. A focus is made on verification that discrete-event simulation models meet their functional requirements, by using automated test packages.
Options for test model design are explored in three case studies where automated test suites are developed for three simple simulation models that form the systems under test (SUT). Options from existing taxonomies are first selected for this, based on their relevance to the properties of simulation models that make dynamic verification difficult. In the process, an existing MBT software tool is selected and evaluated.
The main aspects of MBT that are explored are: nondeterministic test models and SUTs, transition-based test models, online and reactive test execution, specification of oracles at different levels, time advancement of the SUT, the distinction between black-box and white-box approaches, and the problem of test abstraction. Examples are made where the test model, an extended finite state machine (EFSM), is an abstract version of the SUT, that includes its most relevant state transitions. An approach to testing is used, where the test model follows the SUT’s state and selects relevant assertions accordingly. This approach limits the use of stochasticity in the test model, that is often done in MBT.
It is found that MBT can be useful for three types of dynamic verification: of the dynamic behavior, of the (end) results, and of the response to inputs. The first type is explored with a step-by-step approach where oracles are checked after each time advancement in the SUT. The second type is implemented without the use of MBT techniques, by extending the functionality of an existing MBT tool to allow for analysis of multiple simulation runs. The third type is not explored but approaches for it are defined.
The project shows the feasibility of MBT of simulation models and shows different options for test model design. Not discussed are the adequacy of the developed test packages in detecting faults, and the efficiency, effectiveness and quality of MBT for simulation models in general.
When providing public transport, it is important to have good services, which support the industry of public transport. Without these services, people will associate travelling by public transport with something negative. In Rotterdam there are three locations which are important in the infrastructure of public transport. Rotterdam CS, Beurs, and Zuidplein are the main stations where various forms of transportation can be used by customers. RET provides an extra service at these locations in the form of service points. Every day, employees of the RET help their customers to have a relaxed and pleasant journey. The problem is that the contemporary service points are getting outdated and do not fully fulfill the needs and wishes of RET’s customers and employees anymore.
The world of public transport is changing. For years buses, trams, and metros have been the main solution when travelling by public transport. However, times are changing, and so is public transport. Shared mobility is getting more popular by the day. In Rotterdam there are various forms of shared mobility like bicycles, e-scooters, and shared cars. More and more these kinds of companies are becoming new competitors in the world of public transportation.
To stay relevant in the rapidly changing world of public transportation, a new kind of SP is needed. The project ‘New generation service store for RET’ was conducted to find a solution for the outdated SP at Rotterdam CS. During the project, a literature review based on research was done. There was an active involvement of RET employees and RET customers for developing a new kind of Mobility store. By making drawings and turning them into a virtual reality environment, people were able to assess the designed environment and see if it fulfilled their needs and wishes.
This project concludes with recommendations for the design of a Mobility store, which could be established at the passage of the Rotterdam CS’s metro hall.
This thesis focuses on the application of block compressed sensing to signals of high dimension to gain insight into the relation between reconstruction performance and computational complexity. This is done by, first investigating how theoretical reconstruction guarantees change, when the problem is divided into smaller sub-problems and by doing a complexity analysis of the reconstruction itself. Each sub-problem solves for a portion of a signal, defined as a block. Next, experiments are conducted in order to get insight into the trade-off between computational complexity and quality of the reconstruction. It can be found that, by using this block-wise approach, the computational complexity of the reconstruction problem decreases, but at the same time, quality of the reconstruction deteriorates. Besides, a method to compensate for this performance loss is proposed. The key idea of this method is that, by propagating prior information among the different blocks, the reconstructions of the blocks can be improved. Finally, block compressed sensing and prior-aware block compressed sensing are analysed in a higher order tensor compressed sensing setting. Nevertheless, this setting was found to exhibit a less favourable complexity-performance trade-off than the normal one, as this setting resulted in both a more complex and a less accurate reconstruction than the normal one.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:35022c6f-0eff-4873-bad9-fee3595d2594","http://resolver.tudelft.nl/uuid:35022c6f-0eff-4873-bad9-fee3595d2594","Exploring an omni-channel service proposition in music event ticketing: at CM.com","de Jongh, Ruben (TU Delft Industrial Design Engineering)","Hultink, H.J. (mentor); Secomandi, Fernando (graduation committee); Huijgers, Bandy (graduation committee); Delft University of Technology (degree granting institution)","2024","CM.com is a conversational commerce company specialised in offering solutions in CPaas (Communication Platforms as a service). One of the industries to which they point their ‘software as a service’ is music event ticketing. With their General Admission (GA) Ticketing product, CM provides event organizers with a platform to set-up their ticket sales process, sell tickets, handle event registrations, communicate with visitors, and support other event logistics.
The recent COVID-19 pandemic has had a significant effect on consumer behaviour related to music events and festivals. Event organizers must innovate in their offering to stay relevant to consumers within the overcrowded market. This has led to changes in organizer’s needs and priorities regarding their ticketing platform. CM needs to respond in their service offering to stay relevant in the market and fulfil their client’s needs. In combination with the desire to leverage the potential of their product portfolio better, CM seeks to identify new opportunities in the way their ticketing product is designed and delivered to their client.
The project involves creating a holistic perspective of the project context and involved stakeholders through gathering extensive qualitative data, taking a service design perspective. The gathered information serves as fundamental knowledge to co-create towards a new strategic service proposition, combining user-research, industry trends and strategic competitive positioning with CM’s technological potential.
The results conclude CM’s ticketing product should be developed towards “Facilitating the Easy creation of Rich & Effective omni-channel communication touchpoints for event organisers.” This proposition aims to facilitate organisers to adopt a progressive, data-driven approach to communication between organiser and consumer. Data allows for effectively targeting consumers in a personalized way, boosting the conversion of communication touchpoints. Working with this data proposition should be designed for non-data experts, making the workflow for the creation of data driven communication touchpoints as easy as possible. Ultimately, the proposition seeks to enable organizers to create more points of engagement between organiser and consumer over a rich array of channels and purposes, contributing to a unique and pleasant visitor experience.
To deliver this value proposition to clients, three development stages must be completed. Firstly, the focus should be put on improving the fundamental functionalities through which organisers gain knowledge through data, whereafter its usability should be improved. Finally, the communication possibilities to improve the visitor experience should be enriched. The proposed final service proposition is concretized through UX-use cases, illustrating the proposed user-flow on an interaction level.
Due to CM’s unfamiliarity with the design process and the different internal project teams working in silos, additional guidance is provided to embed the project outcomes effectively. The guidance in the shape of a visual overview describing the service proposition’s value in terms of desirability, viability, and feasibility aims to persuade company-wide value. However, this does not guarantee implementation within CM. Additionally, a “From Research to Development Guide” facilitates the product team to work towards the proposed service proposition with the created product materials, ensuring a user-centred process.","Service Design; User-Centered Design; Entertainment; Music industry; Strategic design; Strategic Innovation","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:54b8e5a5-fcf3-4636-8b1b-a0580f2e3bbe","http://resolver.tudelft.nl/uuid:54b8e5a5-fcf3-4636-8b1b-a0580f2e3bbe","Selective Reflections: A light and sound installation using spectral tuning to play with depth perception","Ammerlaan, Bas (TU Delft Industrial Design Engineering)","Pont, S.C. (mentor); Smit, C.R.G. (graduation committee); Aerts, S.J.W. (graduation committee); Delft University of Technology (degree granting institution)","2024","The project originated with the goal of creating an interactive light and sound installation for Highlight Delft, an innovative art and technology route. This involved incorporating holographic projection and spectral tuning to convey a specific perceptual phenomenon. The initial phase included extensive research into holographic projection, spectral tuning, and a broad literature review on perception. Experimentation with acquired knowledge informed the practical application, distinguishing effective principles and guiding the design process.
From the experimentation phase, three design directions emerged, with the idea of projecting coloured visuals on multiple layers of semi-transparent textile standing out. Tuning the projection in terms of colour and timing allowed visuals to move between the textile layers. By integrating storytelling into the concept a design brief emerged. However, during the development of the first concept, the design brief missed focus, leading to more complex ideas. Emphasizing communication, the focus shifted to conveying how spectral tuning can influence depth perception in the current setup. This resulted in the emergence of concept 2 with a simplified design involving two layers of textile and a projector.
A preliminary test of concept 2 revealed a need for additional information to enhance understanding and appreciation. The addition of an illustrative introduction before experiencing the installation, significantly improved attributes such as perceived depth, beauty, immersion, engagement, and coherence. These insights guided refinements in the final design, including a shortened visual narrative, added audio for increased engagement, and an elaborate exhibition space design. Attention was consistently committed to communication at various levels.
A final validation test produced varied results but consistently high scores on every attribute. Feedback and recommendations from the test were wellreceived, eliminating ambiguities. Even individuals outside the test expressed enjoyment of the experience. Despite the project’s initially broad scope, a focused approach, particularly in communication, developed in a final design that communicates clearly and evokes joy and interest in viewers.","Spectral tuning; Projections; Perception; Depth Perception; Chromostereopsis; Lighting; Lighting design; Experience Design","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:ff17c63f-5bf4-4cd2-9e1f-b7f86d41a0c4","http://resolver.tudelft.nl/uuid:ff17c63f-5bf4-4cd2-9e1f-b7f86d41a0c4","The Implementation of Evaporated Ethanol as an Alternative Fuel for the Natural Gas-Fired Power Plant in Killingholme","van Eil, Tijn (TU Delft Mechanical, Maritime and Materials Engineering)","Klein, S.A. (mentor); Pourquie, M.J.B.M. (graduation committee); Abbott, David (graduation committee); Delft University of Technology (degree granting institution)","2024","Decarbonization is an important step to achieve the goals set by the Paris Agreement. Greenhouse gas emissions should be reduced to zero, and therefore, the reliability of fossil fuels should be reduced. This causes a shift of interest towards more renewable solutions. However, the intermittent nature
of renewable energy sources such as solar and wind energy leaves a gap in the energy supply. Currently, this gap is still filled by natural gas, but biofuels could potentially help in decarbonizing this gap. Biofuels could be prevaporized and used as an alternative fuel within existing natural gas-fired power plants. Ethanol is an interesting biofuel as it has a relatively low boiling point, meaning that it is relatively easy to evaporate. Next to that, ethanol has a similar Wobbe Index (WI) compared to natural gas, meaning that it could be potentially used with only minor adjustments to the gas turbine. This research will focus on implementing ethanol as an alternative fuel for the Killingholme power plant, a
600 MW power plant in an open cycle gas turbine (OCGT) configuration that Uniper operates in the United Kingdom.
This research focused on the effect of ethanol on the process design and combustion characteristics, where the process design was only briefly touched upon. It was found that ethanol should be heated to a temperature of 467 K to be in vapor form at the relevant gas turbine conditions. A process design was
made for the baseload operation, where the required heat for the evaporation process was provided by the flue gas flowing out of the gas turbine. Next to that, the use of ethanol requires slightly higher volume flow rates, meaning that the pipes and fittings should be adjusted to keep the desired fuel pressure. The effect of ethanol on combustion characteristics was researched by a kinetic modeling study and a CFD study focusing on fuel-air mixing, where the results will be compared to methane. A RANS study was performed for the CFD study, which showed that the use of ethanol results in a better quality of mixing. From the kinetic modeling study, it was found that ethanol has a lower autoignition
delay time than methane. This will probably not lead to the autoignition of the fuel-air mixture in the mixing section, but it could lead to periodic flashes in regions close to the recirculation zones within the burner. It was also found that ethanol has a 78% higher laminar flame speed than methane. Next to that, it was found that ethanol has an effective Lewis number of 1.56 at the relevant gas turbine conditions, whereas methane has an effective Lewis number close to unity. From the laminar flame speed and the effective Lewis number, it was concluded that the use of ethanol results in an increase in turbulent flame speed. The increased turbulent flame speed and better quality of mixing of ethanol suggest a decrease in flame length. The decrease in flame length and increase in turbulent flame speed lead to higher flashback risks, but it is expected that this will be within the flashback margin of the burner. Based on the kinetic modeling study and the fuel-air mixing study, it was concluded
that ethanol will have NOx emissions similar to methane and that the driving energy source of the combustion dynamics will probably shift to higher frequencies.
Recommendations for further research are the gas turbine’s start-up, ethanol contaminants, extended CFD study of the burner, and the blending of ethanol and natural gas. Further research could complement the already promising results from this report, and eventually, this could lead to combustion tests where ethanol will be used as fuel.","Gas Turbine; Ethanol; CFD; Kinetic Modelling; Killingholme","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:d079f994-2b7c-4f2d-af55-f51568a9b8f6","http://resolver.tudelft.nl/uuid:d079f994-2b7c-4f2d-af55-f51568a9b8f6","The Hotel—Migrant Segment: Catching Lives","Gkikas, Thomas (TU Delft Architecture and the Built Environment; The Berlage Center for Advanced Studies in Architecture and Urban Design)","Frausto, S.E. (mentor); Bouše, P. (mentor); Groothuijse, B. (mentor); Delft University of Technology (degree granting institution)","2024","Catching Lives is a Migrant hotel segment that shelters those on long-term relocation. It is part of The Hotel, a collective project that studies the hotel, both as a building type and as a place of hospitality, through a collection of fourteen individual contributions inside one skyscraper. The project imagines hospitality as a realm of exchange that condenses the diversity of the city through an assortment of guests, staff, and the broader public.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Day segment propositions
1. The Day segment sifts through New York City’s multiplicity of crowds.
2. The Day segment is a 24/7 hub at the base of the skyscraper.
3. The Day segment goes beyond the traditional day-hotel model through its diversification of room types, supporting activities, and retail options.
4. The Day segment makes itself financially feasible by pooling resources such as foot traffic, infrastructure, and labor.
5. The Day segment offers a range of fleeting intimacies somewhere between commodity and serendipity.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Capsule Segment Propositions:
1. The Capsule segment provides a temporary rest stop in the center of Manhattan, a simple and affordable space after long hours of work.
2. The Capsule segment arranges the space and circulation between the skyscraper’s infrastructure and lodging near the core, creating a cozy temporary resting stop surrounded by cluttered service spaces.
3. The Capsule segment t formulates the physical space of the capsule by considering different postures and perceptions of minimum comfortable distances.
4. Despite being adjacent to other hotel segments with various statuses, the Capsule segment nests in the elevator corridor with a vertical arrangement, providing anonymity and indifferent contact with limited amenities.
5. The Capsule segment provides an affordable option for temporary stays in New York City, alleviating financial burdens and enabling visitors to enjoy what the metropolis offers.","Hotel; New York City; skyscraper; Cheapskate; capsule","en","master thesis","","","","","","http://thehotel.theberlage.nl/","","","","","","The Berlage Post-MSc in Architecture and Urban Design","ARB301",""
"uuid:d6173944-d4c0-414a-8bca-9918676ec4bf","http://resolver.tudelft.nl/uuid:d6173944-d4c0-414a-8bca-9918676ec4bf","The Hotel—Family Segment: The Campground","Verheyden, Felix (TU Delft Architecture and the Built Environment; The Berlage Center for Advanced Studies in Architecture and Urban Design)","Frausto, S.E. (mentor); Bouše, P. (mentor); Groothuijse, B. (mentor); Riedijk, M. (mentor); Delft University of Technology (degree granting institution)","2024","The Campground is a family hotel segment that family hotel that all members of the nuclear family can enjoy.. It is part of The Hotel, a collective project that studies the hotel, both as a building type and as a place of hospitality, through a collection of fourteen individual contributions inside one skyscraper. The project imagines hospitality as a realm of exchange that condenses the diversity of the city through an assortment of guests, staff, and the broader public.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as a type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as a landmark—The Metropolitan—and the hotel as a tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Family Segment Propositions:
1. The nuclear family seeks to reconnect through discovery.
2. The skyscraper provides a range of explorable facilities.
3. The Family segment creates shelters in the urban jungle.
4. The playground brings people together.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Single Women’s segment Segment Propositions:
1. The Single Women’s segment supports women as they face safety concerns, difficulty navigating New York City, and an increasing cost of living.
2. Elevated high in the skyscraper, the segment’s private floors are connected to the vertical city of the skyscraper through program and public spaces designed for women.
3. The Single Women’s segment caters to solo travelers and career-focused women through a kind of new domesticity, accommodations for a variety of budgets, and protection within a secure boundary.
4. The Single Women’s segment advocates for women across One Hotel, through safety measures, caregiving spaces, and hotel programming that empowers.
5. The Single Women’s segment is a place for social exchange among women.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Homeless Segment Propositions:
1. The Homeless segment addresses homelessness as a generational issue.
2. The Homeless segment is a walkable neighborhood across the skyscraper.
3. The Homeless segment is a home before a homeless family can get their home.
4. The Homeless segment normalizes the accommodation of homeless families in the skyscraper hotel.
5. The Homeless segment encourages trust in welfare and personal development.","Hotel; New York City; Skyscraper; Homelessness; Children","en","master thesis","","","","","","","","","","","","The Berlage Post-MSc in Architecture and Urban Design","",""
"uuid:9d289479-7faf-4e20-a846-fefdf92c2183","http://resolver.tudelft.nl/uuid:9d289479-7faf-4e20-a846-fefdf92c2183","The Hotel-The Sailors' Boarding House: Navy Lodge Manhattan","Charalambous, Nicolaos (TU Delft Architecture and the Built Environment; The Berlage Center for Advanced Studies in Architecture and Urban Design)","Frausto, S.E. (mentor); Bouše, P. (mentor); Groothuijse, B. (mentor); Delft University of Technology (degree granting institution)","2024","Navy Lodge Manhattan is a Sailors' Boarding House segment that refreshes enlisted sailors. It is part of The Hotel, a collective project that studies the hotel, both as a building type and as a place of hospitality, through a collection of fourteen individual contributions inside one skyscraper. The project imagines hospitality as a realm of exchange that condenses the diversity of the city through an assortment of guests, staff, and the broader public.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
The Sailors' Boarding House Segment Propositions:
1. The growing popularity of the annual New York Fleet Week and other major events contributes to the vital presence of sailors in the city.
2. A US. Navy–operated retreat in Midtown Manhattan relieves sailors from the difficulty of finding affordable accommodations for both short and long-term stays.
3. The Sailors’ Boarding House segment provides a gender-integrated home for male and female sailors smoothing the transition from duty to leave.
4. The presence of the sailors in the rhythm of the bustling hotel and the temporary exposure to other guests forms a mutually instructive encounter between them.
5. The Sailors’ Boarding House segment validates the United States Navy as a thriving organization that cherishes its personnel and the civilians it serves, and encourages recruitment.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Casino Segment Propositions:
1. The Casino segment caters to a diverse gambling audience, including culturally indifferent residents and visitors, offering an alternative source of amusement tailored to their preferences in the vibrant setting of New York City.
2. Reflecting the city’s frenetic energy, the lower floors of the skyscraper are exclusively dedicated to the casino, operating continuously around the clock.
3. The Casino segment recreates the excitement of gaming in a dynamic manner, maintaining rigorous surveillance and operational efficiency within the skyscraper’s confined space.
4. Instead of offering accommodations, the Casino segment arranges rooms from other segments to accommodate specific high-spending guests.
5. The Casino plays a crucial role in bolstering significant revenue for the hotel, the city, and the state by collecting a 30 percent gambling tax and creating numerous job opportunities.
It is part of The Hotel, a collective project that studies the hotel, both as a building type and as a place of hospitality, through a collection of fourteen individual contributions inside one skyscraper. The project imagines hospitality as a realm of exchange that condenses the diversity of the city through an assortment of guests, staff, and the broader public.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Love Segment Propositions:
1. The Love segment offers a safe haven for sex workers, providing stability amidst the challenges of the city’s sex-work landscape.
2. Centrally located, the Love segment offers a balanced, secure environment, avoiding unsafe streets while fostering chance encounters among guests.
3. A regulated floor empowers sex workers, offering controlled and exciting experiences, along with long-term living options, making it a transformative establishment.
4. Located in One Hotel’s heart, the Love segment guarantees safety, separate from neighbors, yet connects sex workers to society through sky lobby amenities.
5. The Love segment challenges stigmas, offering larger, less discreet spaces than historically unhygienic tenements and illegal massage parlors,and thereby redefining perceptions of sex work.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Wellness Spa propositions:
1. Establishing an unadorned sanctuary in the skyscraper and blending artificial with natural rejuvenation offers an escape from the city’s ornate mundanity.
2. Nestled above the slit between the two parts of the skyscraper, the Wellness Spa segment is veiled in spring garden mist, blurring its form into a tranquil haven.
3. Guests wander through aquatic gardens, in parallel with the plumbing system, discovering a journey of holistic well-being.
4. The Wellness Spa segment converts the skyscraper’s communal water system into a hydrotherapy oasis and boosts amenities and financial viability through collaboration with neighboring hotels.
5. The Wellness Spa segment, revealing its mechanical core, shows serene spaces that counter urban extravagance.","Hotel; New York City; skyscraper; Wellness Spa","en","master thesis","","","","","","http://thehotel.theberlage.nl","","","","","","The Berlage Post-MSc in Architecture and Urban Design","ARB301",""
"uuid:4751a4fa-648a-4bce-a08f-54c62649c186","http://resolver.tudelft.nl/uuid:4751a4fa-648a-4bce-a08f-54c62649c186","The Hotel–Resort Segment: City Resort","Nuño de Buen, Ana (TU Delft Architecture and the Built Environment; The Berlage Center for Advanced Studies in Architecture and Urban Design)","Frausto, S.E. (mentor); Bouše, P. (mentor); Groothuijse, B. (mentor); Delft University of Technology (degree granting institution)","2024","The City Resort is a resort hotel segment that indulges vacationers in a manicured paradise. It is part of The Hotel, a collective project that studies the hotel, both as a building type and as a place of hospitality, through a collection of fourteen individual contributions inside one skyscraper. The project imagines hospitality as a realm of exchange that condenses the diversity of the city through an assortment of guests, staff, and the broader public.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective Propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Resort Segment Propositions:
1. The Resort segment is a remedy for today’s over-worked society.
2. The Resort segment mediates between the guest’s desire for both isolation and social life.
3. The Resort segment is a vertical meandering through sequences of delays, destinations, and spatial transitions characterized by different levels of exposure.
4. The Resort segment is part of a collection of amenities shared with other leisure-oriented segments.
5. The Resort segment offers a new lens through which to view the city.","Hotel; New York City; Skyscraper; Resort","en","master thesis","","","","","","","","","","","","The Berlage Post-MSc in Architecture and Urban Design","ARB301",""
"uuid:0a3e35a5-1526-461e-92b9-9879b32aa954","http://resolver.tudelft.nl/uuid:0a3e35a5-1526-461e-92b9-9879b32aa954","The Hotel—Boutique Segment: Eminence Trade","Slangen, Lenneke (TU Delft Architecture and the Built Environment; The Berlage Center for Advanced Studies in Architecture and Urban Design)","Frausto, S.E. (mentor); Bouse, Pavel (mentor); Groothuijse, B. (mentor); Delft University of Technology (degree granting institution)","2024","Eminence Trade is a boutique hotel segment that elevates aspirants’ real life and online presence. It is part of The Hotel, a collective project that studies the hotel, both as a building type and as a place of hospitality, through a collection of fourteen individual contributions inside one skyscraper. The project imagines hospitality as a realm of exchange that condenses the diversity of the city through an assortment of guests, staff, and the broader public.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Boutique Segment Propositions:
1. Eminence Trade is accessible to aspirants of all incomes and backgrounds.
2. Eminence Trade offers a place of comfort, adjacency, ambition, eminence, and equality.
3. Eminence Trade, the luxurious flagship of One Hotel’s brand, differentiates a plethora of private, public, and partnership amenities to ensure its leading-edge business model.
4. Eminence Trade, as a place of sublimity and exclusivity, offers temporal and unilateral types of use of accommodation and amenities within One Hotel.
5. In a city of aspiration, Eminence Trade signifies eminence but does not equate
elevating above others.","Hotel; New York City; Skyscraper; Boutique; Eminence; Exclusivity; Segregation","en","master thesis","","","","","","http://thehotel.theberlage.nl","","","","","","The Berlage Post-MSc in Architecture and Urban Design","ARB301",""
"uuid:a45a7c9a-0132-4b45-aeff-8aabfc40d63f","http://resolver.tudelft.nl/uuid:a45a7c9a-0132-4b45-aeff-8aabfc40d63f","The Hotel—Residential Segment: Almost Home","Chen, Chaomin (TU Delft Architecture and the Built Environment; The Berlage Center for Advanced Studies in Architecture and Urban Design)","Frausto, S.E. (mentor); Bouše, P. (mentor); Groothuijse, B. (mentor); Riedijk, M. (mentor); Delft University of Technology (degree granting institution)","2024","“Almost Home” is a residential hotel segment that is (almost) home to precariats on the move. It is part of The Hotel, a collective project that studies the hotel, both as a building type and as a place of hospitality, through a collection of fourteen individual contributions inside one skyscraper. The project imagines hospitality as a realm of exchange that condenses the diversity of the city through an assortment of guests, staff, and the broader public.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Residential segment proposition:
1. Purposeful precariats in New York City reject traditional senses of home.
2. Only in the middle of the skyscraper can precariats find seclusion and connection to Manhattan.
3. A residential hotel encourages its guests to consider the value of a life unbound from place.
4. By sharing with other long-term guests of One Hotel, the Residential segment asks the guests to consider either staying alone “at home,” or together “at home.”
5. A home is a space where you have to do chores.
Marriott Metropolitan is a chain hotel segment that entices business travelers to extend their journey. It is part of The Hotel, a collective project that studies the hotel, both as a building type and as a place of hospitality, through a collection of fourteen individual contributions inside one skyscraper. The project imagines hospitality as a realm of exchange that condenses the diversity of the city through an assortment of guests, staff, and the broader public.
The hotel is a function of temporality and hospitality. The study questions the requirements for an architecture of hospitality to welcome, host, and entertain. As an architecture of temporality—an architecture that is dynamic and ever-changing, embodying a sense of transience and constant activity—the hotel allows for experimentation, while anticipating adaptation to meet the changing demands of its temporary residents. The hotel, as type, is understood beyond its curated front. It is, instead, a place of anonymity and exchange, of served and serving, a place characterized by short stays in a lasting structure.
The skyscraper, as a formal and monumental object, appears to contrast the hotel’s temporality. In its autonomy, the skyscraper is a landmark in the skyline. Located in Midtown Manhattan—on the former site of Hotel Pennsylvania and adjacent to Penn Station—this project is a reflection on the metropolis of New York City.
The Hotel consists of the design of the skyscraper as landmark—The Metropolitan—and the hotel as tenant—One Hotel.
Collective propositions:
1. The Hotel embraces the frenetic energy of New York City while opposing its outward expansion.
2. The Metropolitan will outlast One Hotel.
3. One Hotel accommodates fourteen types of guests, and its staff.
4. One Hotel shares accommodation, amenities, systems, and services with a 24/7 cycle.
5. The Hotel sets a standard for an architecture of hospitality.
Chain Segment Propositions:
1. As the most expensive travel destination, New York City is witnessing a resurgence in business travel and its price soar.
2. The Chain segment is located between Sky Lobby 1 and 2 to take advantage of different shareable amenities.
3. Business travelers can book or upgrade different rooms depending on the duration of their stay.
4. Brand-based membership systems provide customer loyalty and the Chain segment brings continuous business to One Hotel.
5. The Chain segment balances standardization with specialization.","Hotel; New York City; Skyscraper; Chain Hotel; Business Travel","en","master thesis","","","","","","http://thehotel.theberlage.nl","","","","","","The Berlage Post-MSc in Architecture and Urban Design","ARB301",""
"uuid:10b33331-51a0-4f0e-8314-fa041eff09e4","http://resolver.tudelft.nl/uuid:10b33331-51a0-4f0e-8314-fa041eff09e4","The effect on lift & drag of an under-wing fireworks launch platform placed near the wingtip","De Zutter, Gajtan (TU Delft Aerospace Engineering)","Melkert, J.A. (mentor); Delft University of Technology (degree granting institution)","2024","This study investigates the effect on the principal aerodynamic forces caused by home-built under-wing attachments excluding possible consequences on the aircraft’s control and manoeuvrability. Many other types of wing attachment have already been used and investigated of time. However, none of these attachments match exactly the attachment under investigation in this study.
For aerial display purposes, one of the team members of the Whiskey Formation Team created an under-wing firework launch platform attached to the tie-down points of the aircraft. These tie-down points are fixed to the quarter chord wing spar at about 90% of the half wing span near the wingtip. The launch platforms themselves protrude about a quarter of their own length in front of the wing’s leading edge. The remaining part is attached flush with the wing’s lower skin.
After installing the attachments on the aircraft’s wing, the creator took it upon himself to do some trial high speed taxi runs followed by a standard take-off. A circuit around the field and a full stop landing completed his trial run during which no adverse effects on the aircraft handling or flight safety could be detected.
Next, on the first trial flight as a formation with the launch platforms attached, the team leader claimed he experienced a slight performance increase. Earlier lift-off and sharper turns were among the examples of this performance increase he gave. No claims on the aircraft’s fuel consumption, cruising speed or power-off gliding performance were made. This was not investigated and is deemed not to be relevant to the aerial display.
This report investigates these claims by modelling the aircraft for computational flow simulations, with and without the platforms attached, for both take-off and high G-load turns. For the take-off condition a runway surface is modelled at a wheels-height distance from the aircraft’s wing. Realistic speeds of 50 and 60 knots respectively are chosen together with 10 degrees of geometrical pitch.
The result of these simulations was then validated in real life circumstances to eliminate possible adverse results due to modelling error of the real life aircraft. A number of comparative test flights, 5 in total with 6 take-offs per flight, were performed registering weight and take-off speed for a trimmed stick-free configuration. The results obtained from these test flights are likely to confirm the results obtained from the simulations. For the simulations, a larger lift and lower drag force are noted for the configuration with the launch platform attached for The steep turn case. For the take-off case a drag increase was noted. In the real life test this could be confirmed by the almost identical take-off velocities even though this falls well within the error margin of the experimental setup. The gain in lift, most pronounced for the steep turn case, comes from the blocking of the spanwise flow by the launch platforms hereby likely reducing the lift-induced drag caused by the wing tip vortex. The total drag of the modelled wing is reduced for the steep turn case even though the launch platforms contribute to the friction drag. Moreover, the model’s lift-to-drag ratio, a common measure of aircraft’s performance, is increased.
It can therefore be concluded that for the investigated cases indeed a small effect of the launch platforms on the aircraft’s performance can be found though it is deemed unlikely that this difference is be noticeable. Real life tests only show little promise since the error margin occludes the effects on performance. For flight display purposes a different meaning of the word ’performance’ is meant. The lift force is considered much more important than the drag force, even though the drag force is reduced too. Therefore it can be concluded that the installation of the launch platforms does not adversely affect the aircraft’s performance parameters such as lift and drag. Moreover, it is plausible that they do improve the aircraft’s performance parameters and do improve the performance of the aircraft for aerial display purposes.","","en","master thesis","","","","","","","","","","","","Applied Physics","",""
"uuid:fec41ae4-f1ac-4702-a703-57a81beedc0c","http://resolver.tudelft.nl/uuid:fec41ae4-f1ac-4702-a703-57a81beedc0c","A generative AI integration tool for managers: Preparing, dealing and thriving with the impact of AI","van Veen, Joep (TU Delft Industrial Design Engineering)","de Vos, Marina (mentor); Gu, Eric Heng (graduation committee); Delft University of Technology (degree granting institution)","2024","This graduation report presents a comprehensive approach to the identification of an opportunity in the rising technology and interests in generative AI. The report delivers a concept for a tool that is designed for managers. The tool is aimed at aiding in the integration of generative AI within teams. The project is grounded in a literature review, stakeholder interviews, a co-design session with students, a survey with stakeholders and validating. The literature review shows there is an expected rise in interests and demand for using the new technology of generative AI. The interviews with stakeholders shine light on the necessary means that are needed to integrate generative AI in the workplace. Some of these means are: more knowledge, more experience and a starting point. The co-design session brought new perspectives on solutions and opportunities that the tool could incorporate to be successful. The major breakthrough of the co-design session is the implementation of employee interaction. In the value survey it is shown that the managers do not want too much insights in their employee behavior and would prefer a personalized document of advice for implementation. At the end of the development stage the concept is shown to the target audience who give their option on how well it manages to fulfill its purpose. The responses are positive enough that only minor suggestions are given to improve the concept. The final concept tool offers multiple options to achieve the desired solution. Using the profile of the manager and of their employees, the tool provides personalized advice to enhance decision making and awareness of actions. In addition, the tool incorporates employee interaction, enabling team members to unknowingly contribute to the insights that further refine the advice that the manager gets. The tool does not only offer advice, it also offers training and educational components to further provide managers with the skills needed to navigate the fast changing landscape of generative AI integration. The report contributes by collecting and transforming valuable insights and translating that into written output which can be used in the future for development in the generative AI area. The paper also offers a concept for a practical tool designed to prepare, deal and thrive within the generative AI powered workplace. This concept can be used as a reference point for projects that aim to design a similar tool.","Artificial intelligence; Managers; Employee interaction; Transformation; Generative AI management,; Generative AI; Tool design; organizational change; Generative AI impact","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:2ec66360-478e-4768-be95-044c2c015d4f","http://resolver.tudelft.nl/uuid:2ec66360-478e-4768-be95-044c2c015d4f","Schur Multipliers of Divided Differences and Multilinear Harmonic Analysis","Reimann, Jesse (TU Delft Electrical Engineering, Mathematics and Computer Science)","Caspers, M.P.T. (mentor); de Oliveira Filho, F.M. (graduation committee); Zegers, S.E. (graduation committee); Delft University of Technology (degree granting institution)","2024","It was first shown by D. Potapov and F. Sukochev in 2009 that Lipschitz functions are also operator-Lipschitz on Schatten class operators Sp, 1<p<∞, which is related to a conjecture by M. Krein. Their proof combined Schur multiplication, a generalisation of component-wise matrix multiplication, with the so-called first order divided difference of a function, an approximation of its derivative. Showing that the Schur multipier associated with a divided difference function is bounded relies on a so-called transference technique, the boundedness of certain Schur multipliers can be inferred from the boundedness of associated Fourier multipliers. Soon after, this boundedness result was extended by D. Potapov, A. Skripka, and F. Sukochev to multilinear Schur multipliers of divided differences of arbitrary order, i.e. approximations of higher derivatives.
In this thesis, we offer an alternative boundedness proof for bilinear Schur multipliers of second order divided differences, in which we use recent results of multilinear harmonic analysis towards a multilinear transference proof, as well as recently found sufficient conditions for the boundedness of linear Schur multipliers which cannot be studied by transference. These methods were not known at the time Potapov, Skripka, and Sukochev proved their result.
Moreover, we show that this new proof improves the growth of the bound on the norm of the considered Schur multiplier for p→∞ significantly. Finally, we give an outlook on further steps towards an alternative boundedness proof of multilinear Schur multipliers of divided differences of arbitrary order.
This thesis extends the existing method by leveraging a division of the state space into regions and inferring action distributions over these regions, rather than over the entire state space. We show that this can accelerate the policy search. We also show that the algorithm manages to recover if the division is unjustified. The division into regions can hence also be considered a form of prior knowledge of the policy with uncertainty. Finally, inference of the regions themselves is also explored and yields promising results.","Markov Decision Processes; Probabilistic Programming; Sequential Decison Making; Bayesian Inference; Machine Learning (ML); Artifical Intelligence; Reinforcement Learning (RL); Planning under Uncertainty; MDP","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:20899007-f811-4f36-a638-435fdfc07d08","http://resolver.tudelft.nl/uuid:20899007-f811-4f36-a638-435fdfc07d08","Transport inequality in the Netherlands: Exploring transport vulnerabilities and transport poverty risk","Wisse, Laurens (TU Delft Technology, Policy and Management)","Kroesen, M. (mentor); Oviedo-Trespalacios, O. (graduation committee); Delft University of Technology (degree granting institution)","2024","Political and societal attention on transport inequality, transport vulnerabilities and transport poverty risk has been increasing the last five years. The Dutch government has committed multiple large sums of resources to abate the issue, 120 million euros to cancel the increase in price of train tickets, 300 million to cancel the increase in price and preserve the provision of the regional public transit and 6 million to start a pilot of ‘innovative public transport’ in the Zeeland region. A review of the literature on transport poverty risk reveals that past studies analyze the concept using a limited number of pre-selected variables. For example, car ownership and residence area. This carries the risk for misinterpretation as an accurate representation can only be achieved by considering all relevant variables. Such a comprehensive analysis could allow policymakers to formulate tailor-made policies according to the specific problems and needs of certain groups in society. This study aims to include the entire complexity of the concept of transport poverty risk in the analysis. Relevant factors include affordability, accessibility and mobility. Then there are individual differences, and for every individual changing over time, between needs of participating in society and social life. This study aims to incorporate this comprehensive definition in a Latent Class Analysis approach to construct groups of individuals based on the MPN and ODiN national datasets. The different groups of individuals can be then used to indicate the effect of policies on transport inequality. Results show three groups that are most transport ‘fortunate’, with high income, high car ownership, high accessibility and the potential to use different travel modes. Results also show one group with substantial transport vulnerabilities due to higher car dependency, lower car ownership and lower household income. A policy thought experiment is established through the application of mobility policy packages of three political parties. Car policies that reduce costs per driven kilometer such as reduction in excise tax will increase transport inequality due to the three groups that are the most transport ‘fortunate’, which also have the highest car use. This study provides the scientific community with a comprehensive definition and conceptual model of transport poverty risk and for policymakers contributes a comprehensive understanding of transport inequality in the Netherlands. Future research needs to focus on identifying specific minority groups that are not or less captured in the national datasets. Transport problems are experiences by an individual, while transport inequality is represented by the differences in the extent of transport problems between certain individuals or groups. In this case, certain groups in the Netherlands. This calls for the need to differentiate the government levels at which transport inequality and transport problems are addressed. While this study presents the transport inequality at the national level, municipalities will be able to identify specific individuals and neighborhoods who are more prone to transport problems.","","en","master thesis","","","","","","","","2025-02-01","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:7dae1d8e-d03e-498a-8295-3e01ac9e9050","http://resolver.tudelft.nl/uuid:7dae1d8e-d03e-498a-8295-3e01ac9e9050","Electrochemical Ammonia Synthesis: Development of a Cell With a Hydrogen Permeable Electrode","Ruis, Sidi (TU Delft Applied Sciences)","Mulder, F.M. (mentor); van Noordenne, D.D. (graduation committee); Kortlever, R. (graduation committee); Urakawa, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Ammonia is essential for global food production as a component of fertiliser, and a potential energy carrier in the energy transition. Electrochemical ammonia synthesis faces numerous challenges as an alternative to the carbon intensive Haber-Bosch process, including competition from hydrogen evolution, and mass transport limitations. An unconventional cell design with a hydrogen permeable electrode could help to address these problems. The reaction mechanism and performance of ammonia synthesis using hydrogen permeable electrodes was investigated at elevated temperatures and pressures of up to 120 °C and 8 bar. Furthermore, a facile method for enhancing the electrochemical surface area of the electrode was developed and tested. Operation at elevated pressure resulted in a moderate increase in cell performance. However, replenishment of the nitrogen vacancies in the nickel nitride catalyst through dinitrogen adsorption is identified as the elementary step that limits activity and stability. The amount of pre-deposited N is found to significantly influence the ammonia production rate and its stability. Dynamics of ammonia desorption could also play a role in nitride regeneration. In future studies, the presence of a decomposition reaction of the nitride should be investigated. Usage of more stable nitride species or a combination of host nitride and dopants is recommended to improve stability and simultaneously promote dinitrogen activation and hydrogenation to ammonia. These findings expand the understanding of the mechanisms underlying the nitrogen reduction reaction, paving the way for the development of a more efficient green ammonia synthesis process.","Ammonia; Catalysis; Electrochemistry; Energy Storage; Synthesis; hydrogen; Ammonia synthesis","en","master thesis","","","","","","","","","","","","Applied Physics | Physics for Energy","",""
"uuid:c6d4a434-d061-4b3e-a9e2-0f86d17fe9f6","http://resolver.tudelft.nl/uuid:c6d4a434-d061-4b3e-a9e2-0f86d17fe9f6","Eulerian Stochastic Field method with FGM tabulation: for Partially Premixed Hydrogen Flames","Vloeberghs, Victor (TU Delft Aerospace Engineering)","Langella, I. (mentor); Roekaerts, D.J.E.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis introduces a newly-developed turbulent combustion model, as a next step towards modelling hydrogen combustion in aircraft engines. The proposed model (FGM-ESF) merges the Flamelet Generated Manifold approach's tabulated chemistry with the Eulerian Stochastic Field method's statistical treatment of flame-turbulence interactions at the subgrid scales, which are not resolved in LES. This hybrid model excels in managing complex combustor dynamics, high turbulence, and both premixed and non-premixed combustion modes, all while maintaining computational efficiency. Validated with a lifted turbulent H2/N2 jet flame in vitiated coflow, reflecting typical combustor conditions, the FGM-ESF model produces accurate predictions of mean velocity, temperature, and mixture in close agreement with the experiments. Comparatively, its performance matches the more costly, fully transported chemistry ESF model, showing limited sensitivity to the number of stochastic fields. The balance between computational efficiency and precision in the FGM-ESF model highlights its importance in the advancement of hydrogen-powered aircraft engines.","Eulerian Stochastic Field, Partially Premixed Hydrogen Flame, Large Eddy Simulation, Flamelet Generated Manifold","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:0ec80b08-2aa3-47d2-a86a-62c8cf791051","http://resolver.tudelft.nl/uuid:0ec80b08-2aa3-47d2-a86a-62c8cf791051","Robust Autopilot Design by Structured H∞ Loop Shaping: An Agile Aerospace Vehicle Application","Loukisas, Chari (TU Delft Aerospace Engineering)","Theodoulis, S.T. (mentor); Mulder, Max (graduation committee); Mooij, E. (graduation committee); Delft University of Technology (degree granting institution)","2024","This study demonstrates an effective systematic control design procedure by applying H∞ Loop-Shaping with a structured controller on an agile aerospace vehicle with a focus on automation. The gain-scheduled implementation is additionally described and tested with non-linear simulations, including a realistic moving point-hit scenario with guidance. The imposed robustness and performance requirements are met for most linear design points and for the non-linear simulations. The resulting autopilot design procedure is deemed effective in both the design procedure and implementation. It is subject to certain recommendations for improvement and extension.","Controller Robustness; Agile; Robust Control; loop shaping; h infinity","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:8510487e-d59f-4a89-93dd-dc685cd06bb6","http://resolver.tudelft.nl/uuid:8510487e-d59f-4a89-93dd-dc685cd06bb6","A Bayesian Approach to Yield Curve Modelling and Forecasting with Stochastic Volatility for Interest Rate Risk Management","Sarkisian, David (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bierkens, G.N.J.C. (mentor); Meester, L.E. (graduation committee); Specker, Ivo (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis explores how forecasts of Dutch government bond yields can be improved by extending the current Dynamic Nelson-Siegel (DNS) model, used by the Dutch State Treasury Agency (DSTA), with stochastic volatility modeling and a Bayesian approach to parameter estimation and forecasting. The primary goal was to determine if the model extensions together with the Bayesian approach could improve the accuracy of yield forecasts given the highly volatile interest rate environment. In particular, we aimed to improve the ""worst-case"" forecasts, which we have defined as the upper bound of the 95% credible region with respect to the observed bond yields. To this end, we began with a baseline state-space model, resembling the current model in a state-space framework. Subsequently, we applied the findings from both in-sample and forecasting results as well as the findings from a literature review on volatility modeling to develop different models including two volatility models.
The volatility of the DNS model extensions is modeled as a GARCH process through the observation noise based on findings in the literature. This allowed for computationally efficient state estimation using a modified Kalman filter. Then, employing the Random Walk Metropolis algorithm for parameter estimation allowed us to use Bayesian multiple-step ahead forecasting. In particular, a comparative analysis of various models showed that while the current model performed better than expected, it was significantly outperformed in-sample by the DNS model with AR(1) observation noise (DNS-ARRW) and the DNS model with GARCH(1,1) observation noise volatility (DNS-OV). The Bayesian forecasting method particularly improved capturing the uncertainty of increasing yields in twelve-months ahead forecasts. Moreover, the two volatility models showed promising in-sample performance, but only one (DNS-OV) showed relatively good forecasting performance as well. Furthermore, the DNS-ARRW model consistently showed the best performance both in-sample and in forecasting.
In conclusion, the Bayesian approach to parameter estimation and forecasting proved effective in accounting for more variability in increasing forecast yields and simulating the direction of forecasts slightly better than the current MLE-based method. Moreover, the DNS-ARRW model showed significantly better worst-case forecasting performance, whereas the volatility models had a mixed performance.","Yield curve modeling; Bayesian forecasting; Stochastic volatility; Dynamic Nelson-Siegel; Markov Chain Monte Carlo","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:caaad9ee-d880-4622-acef-527e670ddcf1","http://resolver.tudelft.nl/uuid:caaad9ee-d880-4622-acef-527e670ddcf1","Magnetorheological fluid damper for tunable damping in active mechanical metamaterials","Habbal, Samer (TU Delft Mechanical, Maritime and Materials Engineering)","Hunt, A. (mentor); Delft University of Technology (degree granting institution)","2024","In the era of advancing technology, the demand for specialized engineering materials has risen significantly. Active Mechanical Metamaterials (AMMs) offer a novel approach to engineering materials with tunable mechanical properties. This research focuses on developing tunable damping active mechanical metamaterials (AMMs) by incorporating magnetorheological fluid (MRF) damper into a compliant mechanism unit cell. This unit cell transforms linear displacement into rotation at the centre, precisely where the damper is located. First, The AMM unit cell has been designed with a compliant structure that converts the unit input into an embedded MRF damper. After that, the dynamic behaviour of the unit cell was studied by combining analytical and FEM modelling to characterize the stiffness and damping of the unit cell. A prototype has been built, and the damping and stiffness have also been experimentally characterized.
The results highlight key findings, including numerical data, offering insights into the efficiency of the unit cell's compliant transformation mechanism and the tunable damping achieved through MRF dampers, where the damping ratio can be adjusted from 0.02 to approximately 0.03 when 1.4 A of current is applied. This study represents a significant advancement in active mechanical metamaterials, showcasing a novel unit cell design where the magnetorheological fluid has been used for the first time to achieve tunable damping in active mechanical metamaterials.
The success of this study is evident in the convergence of theoretical predictions with experimental outcomes, emphasizing the robustness of the proposed design and modelling methodologies. The implications of this research extend to diverse applications in structural engineering and vibration control, with a particular focus on high-tech systems. These findings provide valuable guidance for researchers and engineers working in high-tech industries, offering practical insights that can significantly impact the development and implementation of innovative solutions in these fields.","smart materials; magnetorheological fluid; Damping; Active Mechanical Metamaterial; Vibration Testing","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:deecc335-3d71-4bcd-970c-d4bb6aa04c35","http://resolver.tudelft.nl/uuid:deecc335-3d71-4bcd-970c-d4bb6aa04c35","Arc selection strategies for application-aligned monitoring using InSAR","CHITTARANJANA, RAM (TU Delft Civil Engineering & Geosciences)","Hanssen, R.F. (mentor); Wang, Y. (mentor); de Roode, S.R. (graduation committee); Delft University of Technology (degree granting institution)","2024","Interferometric Synthetic Aperture Radar (InSAR) is a geodetic technique that is capable of monitoring surface displacements up to millimeter-level of precision. The end products from conventional InSAR processing are application-agnostic, which means that they are not optimized for any particular application. InSAR products could be more beneficial if tailored for a relevant application, particularly if expert users can tune the products according to their monitoring requirement. Here, we develop tools for application-aligned monitoring by means of the selection of application-relevant arcs between scatterers in InSAR. We are interested in the use of local (short) arcs between point scatterers, as these arcs are more likely to be better suited for monitoring localized differential deformation, and may provide observations of better quality due to the fact that they are less prone to atmospheric noise.
We first compare the time series of local arcs and conventional time series w.r.t.\ a common reference point based on their deformation behavior. The comparison reveals that the time series of local arcs are capable of providing additional information on deformation behavior over the conventional method. However, the quality of observations in local arcs in general is found to be more variable, and often even worse than those from the conventional method. Most likely, the reason for this is the absence of noise reduction in local arcs in comparison to the time series from the conventional method which optimizes the selection of the common reference point to reduce noise in the time series.
In addition, to optimize the arc selection for a given application, we propose an arc tuning strategy, where criteria can be set based on arc parameters, i.e., the length, the elevation difference (between point scatterers) and the azimuth of the arc. We also introduce the arc clustering method as an exploratory data analysis algorithm for general-purpose monitoring using local arcs. Both of these methods are demonstrated on test scenarios over the quay walls along the canal network of Amsterdam. The demonstration on arc tuning shows that arc setting criteria on arc geometry parameters are adequate to select arcs with certain orientations, and the selection can be further aided by estimating displacement parameters with multiple hypothesis testing. The results from the arc clustering show the potential of detect instability over a certain area using arcs without knowing the motion of the specific object.
This study contributes to monitoring deformation where the InSAR data can be optimally attuned based on a particular application. In order to convey information on selected arcs effectively, a visualization tool based on an interactive map is created in a jupyter notebook environment.
This design differs from cast-in-situ traditional composite floor systems by having a concrete deck divided into three separate parts that are connected by bolted shear connectors. The composite floor consists of composite girders and concrete decks. A key advantage of this system is the ability to extract the concrete deck from existing floor systems, offering economic benefits and reducing carbon emissions over its life cycle. The mechanical performance of the newly designed connection between two concrete segments (a composite beam and a concrete deck) is examined through a shear and bending model using Abaqus Software.
The shear model does not represent a real case loading, and it is introduced to gain confidence in the numerical analysis due to the absence of experimental data in this research. The specimen consists of two concrete blocks being pulled apart. These blocks are connected by a demountable shear connector (bolt) in the middle.
A three-point bending model presents the mechanical behaviour and realistic potential failure modes of the innovative demountable ""concrete to concrete"" connection. It consists of three connected concrete segments. This model reveals failure modes, including cracking at the “re-entrant corners” of the connection points, crushing of concrete at the mid-plane of the connection and under the bolt nut, and transverse concrete cracking originating from the bolt hole, refer to Figure 6.26.
To enhance the structural behavior of this modularised floor system, several methods are investigated. First, adding steel plates at the connections effectively mitigates concrete crushing at the mid-plane and prevents cracking at the re entrant corners. Second, relocating the connection to zero-bending moment positions results in a notable reduction in the three failure modes, improving loading capacity by about 10%. Furthermore, the environmental impact of this novel design is noteworthy. With the assumption given in this thesis, for an area of 5.67m*8m concrete deck, reusing the newly designed concrete deck can result in a savings of approximately 4.2 tonnes of CO2 emissions per subsequent life cycle. Similarly, reusing concrete decks from existing buildings can lead to a reduction of around 2.33 tonnes of CO2 emissions per life cycle with this size of the floor. Based on the assumptions made in this research, the results suggest that the newly designed concrete deck may have a lower loading capacity than traditional concrete slabs. However, its potential economic and environmental advantages make it a promising topic for future investigations.","Demountable; ""concrete to concrete"" connection; Modularised floor system; Concrete Deck; Bolted shear connectors; Second cycle of life; Life cycle Assessment","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering | Steel and Timber Construction","",""
"uuid:7bdf4ec5-5637-4339-8953-06b43faaa052","http://resolver.tudelft.nl/uuid:7bdf4ec5-5637-4339-8953-06b43faaa052","Plug & Live: Modular Adaptation of Polish Large Panel Concrete Housing: Based on an example of the W-70 system","Zapaśnik, Marta (TU Delft Architecture and the Built Environment)","Snijders, A. (mentor); Quist, W.J. (graduation committee); Tomesen, P.L. (graduation committee); Delft University of Technology (degree granting institution)","2024","How to adapt the Polish Large Panel concrete system housing to increase the living diversity with modular architecture? This graduation topic aligns with the Architectural Engineering studio approach and the master track's emphasis on integrating technology and exploring circular adaptation strategies. The design of Plug & Live: Modular Adaptation of Polish Large Panel Concrete Housing is linked to the foundational concept of adaptable and flexible architecture, incorporating a user-based selection principle and activating roofscapes by greenery enhancement, energy production and water harvesting. Given the multi-layered nature of this approach, the primary challenge in the design study was distilling the minimum viable approach while still showcasing the potential for diverse architectural outcomes and evolution over time. This design philosophy represents a notable departure from conventional building practices, emphasizing a shift away from static structures towards designs capable of evolution led by dynamic change of the residents’ living needs.
Academically, this research adds the Polish perspective to the adaptive reuse discourse by exploring how architectural interventions can preserve the heritage from the 60s and 70s cultural, social and spatial significance while meeting future needs and improving the spatial, energetical and aesthetical values. By examining the exemplary W-70 system from Poland, which shares historical and architectural similarities to the prefab systems from other countries, this research provides valuable insights and recommendations that can inform the debate on future-proof adaptation strategies addressing the pressing need for affordable social housing in these regions. As a result, the neglected yet ubiquitous post-Soviet housing stock can become architecture beyond political ideologies, trends or profit-driven market.
The design proposal incorporates research findings while delineating between individual and community-based choices across various architectural tiers. Commencing at the smallest scale, XS (façade), where each panel offers customization options, it progresses to the S scale (apartment), enabling residents to expand their living spaces with winter gardens and green terraces. Transitioning to the M scale (cluster), new rooftop additions are introduced, followed by the L scale (block), where buildings are distinguished by unique color and material identities. This progression culminates at the XL scale (neighborhood), where each subsequent estate obtains its distinct identity through diverse façade compositions and elements, while adhering to the overarching design strategy.Ultimately, the establishment of the design minimum provides a starting point for subsequent extensions that contribute additional values across social, economic, and environmental dimensions, all while preserving the unique identity and individuality of buildings at various scales. ","Adaptive Reuse; Modern Movement; Modular Architecture; Participatory Design; Architectural Identity; Large Panel Concrete System Housing","en","master thesis","","","","","","https://tud365-my.sharepoint.com/:p:/g/personal/mzapasnik_tudelft_nl1/EcT7pECLqilInvVIIdC3iD0BDR-FEB8DHbYmgtqoowBjPA?e=BoTsKw MartaZapasnik_Presentation","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","",""
"uuid:a6873511-f046-423a-8378-db2b1cf89c30","http://resolver.tudelft.nl/uuid:a6873511-f046-423a-8378-db2b1cf89c30","Global Techno-Economic Feasibility of Far Offshore Green Hydrogen Production towards 2050","Melles, Tycho (TU Delft Mechanical, Maritime and Materials Engineering)","Pruyn, J.F.J. (mentor); Gelling, J.L. (mentor); Delft University of Technology (degree granting institution)","2024","With space constraints onshore, strong renewable resources available far offshore
and growing green hydrogen demand, far offshore green hydrogen production
may be an attractive option. To assess this potential, a literature review was
conducted to identify the relevant technologies to be considered and suitable
modelling methods. Next, a mixed integer quadratically constraint programming
(MIQCP) optimization model was set up. The far offshore green hydrogen
supply chain was optimized for various scenarios with this model and the
results were analyzed. It was found that far offshore green hydrogen costs are
in the same order of magnitude as the costs of its alternatives. Far offshore
green hydrogen may be considered marginally competitive with these alternatives
from 2035 onwards in the analyzed scenarios when taking into account the
considerable advantages of far offshore production, such as avoidance of scarce
land usage in crowded areas and certain geopolitical considerations.","MIQCP; optimization; far offshore; green hydrogen; green FPSO; global; 2050; techno-economics; LCoH","en","master thesis","","","","","","","","2024-02-01","","","","Marine Technology | Maritime Operations and Management","",""
"uuid:8938dd1c-683f-49b5-a607-75fe8c4b6447","http://resolver.tudelft.nl/uuid:8938dd1c-683f-49b5-a607-75fe8c4b6447","Designing the Brain of an Intelligent Lunar Nano-rover","Bolscher, Thijs (TU Delft Aerospace Engineering)","Menicucci, A. (mentor); Rijlaarsdam, David (mentor); Guo, J. (graduation committee); Gaydadjiev, G. (graduation committee); Delft University of Technology (degree granting institution)","2024","As the Moon reemerges as a renewed fronteer in space exploration, the Lunar Zebro project proposes to deploy a swarm of miniature rovers for efficient lunar surface exploration. One of their goals is to leverage recent advancements in deep learning and AI-accelerating hardware, in conjunction with Commercial Off-The-Shelf technologies and the NewSpace movement, to enhance the autonomous capabilities of these nano-rovers. This research focuses on integrating AI-accelerating hardware within the stringent Size, Weight, and Power (SWaP) constraints of these lunar rovers. It evaluates the suitability of various hardware configurations. A Convolutional Neural Network for hazard detection was trained and tested on different devices and scenarios. Finally, the operational cycle of the rover was simulated and the constrained resources were tracked for the different design options.","On-Board Computing Architecture; Hazard Detection; low-SWaP Space Missions; Lunar Zebro; Swarming; AI-based FDIR; Autonomous Mission Planning","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:e22066bf-d0e2-482e-9bc6-b9d26b4ce054","http://resolver.tudelft.nl/uuid:e22066bf-d0e2-482e-9bc6-b9d26b4ce054","A Toolkit To Spark Interest In Engineering Among Young Adults","LIU, Fang-Yin (TU Delft Industrial Design Engineering)","Jaśkiewicz, T.J. (mentor); Kooijman, A. (mentor); Delft University of Technology (degree granting institution)","2024","This project aims to introduce engineering in a way that captures students' interest. In order to motivate studernts to try it out, instead of limited by their past experience. Therefore, expanding the original knowledge of engineering during the design ideation process becomes crucial. Beginning with the identification of factors contributing to the underrepresentation of female students in the field. Additionally, this study collaborates with Cities of Things Lab 010, which strives to incorporate citizens' opinions into the neighbourhood robot design process. Hosting the workshop and making robot development accessible to all citizens. For me, I narrowed down the scope to focus on students.
To address the research questions, I conducted a literature review and identified two gaps: limited research on gender learning in STEM for ages above 18 and a scarcity of studies on the male perspective. I conducted surveys to address these gaps. One focused on the educational robotics toolkit, utilizing experiences of female Industrial Design Engineering (IDE) bachelor students (aged 18 to 21).
The other survey aimed to understand the male perspective on the current situation in the engineering field. Involving both males and females in crafting the solution creates awareness of the responsibility that everyone plays a role in this situation. It is crucial to emphasize that this thesis does not aim to generate conflict between genders. Instead, its message has the ambition of shaping a world where everyone can choose what they want to do based on their interests, free from gender stereotypes. Furthermore, I defined the design goal of the toolkit based on this message.
The design goal of the toolkit is to make everyone feel involved and comfortable to share their opinion in the group discussion. Encouraging the incorporation of different viewpoints and getting inspired by other people’s ideas. Ultimately, broadens the existing original impression of robotics. To visualise the design goal and validate the final concept, I developed a prototype of an inspirational toolkit with fellow students mainly from the DP3 course in the IDE bachelor program. Since the group assignment of the DP3 course is to design a cleaning robot for the campus. Utilising this toolkit to inspire students in the early stages of robot design can have a positive impact on the design process. I conducted multiple user testings to improve the prototype, considering the interplay of aesthetics, form, user experience and assembly.
Final design HiveMind, I conducted user testing with a group of students to validate whether the after-use effect of the prototype aligns with the design goal. All participants agreed that they feel encouraged and comfortable expressing their ideas, and the toolkit helps them get on the same page. Furthermore, the toolkit improves group discussions in the early stages of the design process, especially when everyone in the group is not familiar with each other. The validation result shows that each participant has a more diverse impression of robots after using the toolkit. However, I observed that the shape of the robot they drew for the assignment still adheres to a traditional representation of how a robot should be. This suggests that future design recommendations could focus on the relationship between picture cognitive association, the impact of different game rules, and using the toolkit before or after hands-on activities.
The current state-of-the-art in Topology Optimisation (TO) for design-dependent pressure-actuated CMs (PACMs) relies heavily on linear models. The determination of design-dependent pressure loads involves employing the Darcy method, which integrates Darcy's law with the drainage term to obtain the pressure field. Subsequently, the finite element method (FEM) is used to transform the pressure field into consistent nodal forces. However, it is crucial to acknowledge that these linear models are only valid for small displacements.
This thesis introduces a novel approach by incorporating nonlinearities into the solid mechanics of the TO process for PACMs in conjunction with the Darcy method. Additionally, this work incorporates nonlinearities into the solid mechanics of the TO process for PA multi-material compliant mechanisms, presenting another novel method.
Four nonlinearities in the solid mechanics of PA soft robots may occur, two of which are addressed in this thesis: geometric nonlinearities and a hyperelastic material model. Geometric nonlinearities arise from large deformations caused by high applied pressures. The Neo-Hookean material model is implemented to describe the low-stiffness material accurately.
The TO of pressure-actuated (PA) soft robots is simulated using COMSOL, a commercial software program for multi-physics simulation. This research presents a detailed comparison between theoretical predictions and practical outcomes as realised in COMSOL. Furthermore, this thesis includes a case study validating the successful implementation of the new method, covering a PA inverter, a PA compliant gripper, a PA member of the Pneumatic Networks, and a PA multi-material compliant gripper. The obtained results indicate limitations on the allowable applied pressure loads for the mechanisms, specifically in the case of the PA member of the Pneumatic Networks and a PA multi-material-compliant gripper. However, the PA inverter and PA compliant gripper validate the expectation that incorporating a hyperelastic material model yields significantly different results than the linear elastic material model. Moreover, the TO with the hyperelastic material model can predict displacements more accurately than the linear TO, as the differences between the displacements obtained from the TO and the analysis align more closely.
The Wang method is investigated to observe its influence on the range of the applied pressure loads during the TO of PA soft robots. The Wang method employs an interpolation technique that interpolates between linear and nonlinear theories. In this approach, void elements are described using linear theory, while solid elements are characterised by nonlinear theory. This interpolation method is developed to address distorted elements during large displacements. It effectively extended the range of applied loads during the TO of structures. However, it is found that this method does not influence the range of the applied load during the TO of CMs.","Soft robots; Topology optimisation; nonlinearities; pressure-actuated","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Precision and Microsystems Engineering","",""
"uuid:ba803901-4b24-4420-9d3f-f0db4ee5c680","http://resolver.tudelft.nl/uuid:ba803901-4b24-4420-9d3f-f0db4ee5c680","Beyond the Lab: Challenges to Detect Parkinson’s Disease Symptoms in Remote Smartwatch Data","Schut, Inge (TU Delft Mechanical, Maritime and Materials Engineering)","Schouten, A.C. (mentor); Delft University of Technology (degree granting institution)","2024","Parkinson's Disease (PD) is a neurodegenerative disorder with four cardinal motor symptoms: bradykinesia, tremor, rigidity, and postural instability. Adaptive Deep Brain Stimulation (aDBS) is a promising treatment for PD that provides stimulation based on the expression of PD symptoms, improving effectiveness and reducing side effects compared to continuous DBS. Smartwatches can facilitate aDBS by enabling continuous detection of tremor and bradykinesia. However, for bradykinesia detection, existing studies using smartwatch data from PD patients' natural environment were limited in study duration and sample size. To address this, the current study collected smartwatch data for up to seven months in PD patients' natural environments. From 22 PD patients, the smartwatch data was pre-processed, features were extracted and analysed, and a Variational Autoencoder (VAE) was trained to develop a bradykinesia detection model. However, the VAE could only learn from frequency-domain inputs and not from time-domain input data after current pre-processing methods. Limitations were identified in data quantity, distribution, and quality, including low-frequency artefacts and noise. Despite these limitations, feature analysis indicated that the data set contains valuable information about PD motor symptoms. The results of the feature analysis and VAE training on frequency-domain inputs suggest that, after addressing limitations in data quantity and quality, it could be possible to train the VAE using smartwatch data from PD patients' natural environments. In conclusion, although a bradykinesia model was not successfully developed, this study demonstrated the required steps for training the VAE and laid the groundwork for future studies to develop a bradykinesia detection model.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:751c0e04-5f8a-44d3-9e7e-f175008e9346","http://resolver.tudelft.nl/uuid:751c0e04-5f8a-44d3-9e7e-f175008e9346","Spatial variability in dike stability assessments","van der Burg, Lisa (TU Delft Civil Engineering & Geosciences)","van den Eijnden, A.P. (mentor); Lanzafame, R.C. (graduation committee); Kindermann, P.E. (mentor); Tigchelaar, Jan (mentor); Delft University of Technology (degree granting institution)","2024","The safety of the Dutch dikes depends on various failure mechanisms. Macro stability, a geotechnical failure mechanism, is highly affected by differences in soil strength because the sliding plane propagates through areas of least resistance. The variations in soil properties in space, also known as spatial
variability, are caused by geological processes and determine the locations of weaker zones in a dike. This highlights the importance of incorporating spatial variability into dike stability assessments.
The approach to incorporate spatial variability in the official Dutch assessment framework (WBI2017) relies on various assumptions. It assumes complete local variance reduction and neglects that the failure mechanism propagates through the weaker zones, leading to a mean strength reduction. Moreover, it assumes a default value for the ratio between local and regional variance α = 0.75, which lacks empirical evidence. Another method to incorporate spatial variability in stability calculations is the Random Finite Element Method (RFEM). This probabilistic technique models strong and weak zones through random fields. However, the main drawback is its considerable computation time, making it impractical to use for the assessment of the hundreds of kilometers of dikes in the Netherlands.
To address these issues, this research answers the question: What is an effective approach for incorporating spatial variability in soil into dike stability calculations? The study is divided into two parts: a data analysis and the creation of an RFEM model.
The first part investigated national and regional spatial correlations using variograms. The study found that the local spatial variance cannot be analyzed with variograms based on the national dataset. This is because the variograms average the variance in the local data due to their large scale. Investigating
local variability requires local data with a high enough density and accuracy in the research area.
In the second part, the inclusion of spatial variability was studied for a case study dike using RFEM, which is part of dike trajectory 34-2, located between Willemstad and Noordschans. The research highlighted two differences between assumptions made by RFEM and the WBI2017 method: (1) the
inclusion of statistical uncertainty and (2) the use of different stress components in calculating the undrained shear stress. The results of the different methods can only be compared if these differences are accounted for. Furthermore, the study found that using a probabilistic calculation with α = 0.8 better fits the results of the realistic RFEM model of the case study dike, particularly in the lower tail of the distribution of the results, compared to the default value of α = 0.75. Therefore, it can be concluded that α = 0.8 leads to a more realistic approximation of the probability of failure of this cross-section.
To investigate the importance of this finding, an assessment was carried out following the guidelines of WBI2017 but with α = 0.8. This showed that the probability of failure for dike trajectory 34-2 was reduced by 39.72% but that the safety category of the dike trajectory (for macro stability) remains unchanged.
Therefore, the answer to the research question is that when considering the computational requirements of RFEM, it is more effective to keep using the WBI2017 approach of implementing spatial variability into the input parameters of dike stability calculations with α = 0.75.
These findings are relevant as they validate the use of the current method with the available data. The study used different approaches for incorporating spatial variability in stability calculations and provides valuable insights for future research.","RFEM; SHANSEP; Macro-stability; WBI2017; Variogram","en","master thesis","","","","","","","","","","","","Geo-Engineering","",""
"uuid:28542c6f-1e32-4beb-87eb-d4080ed4647d","http://resolver.tudelft.nl/uuid:28542c6f-1e32-4beb-87eb-d4080ed4647d","VoNA The Visualisation of Neuronal Activation: To observe and shape electrode-generated outputs for electrical stimulation in the brain using a Finite Element Model","van Lith, Niels (TU Delft Mechanical, Maritime and Materials Engineering)","Lopes Marta da Costa, T.M. (mentor); Remis, R.F. (graduation committee); Delft University of Technology (degree granting institution)","2024","The development of neural prostheses, especially those directly targeting the brain, requires extensive research and modelling before clinical trials can be performed. Currently, the resolution of artificial vision is not sufficient for everyday tasks. By studying the expected spatial extent of stimulation, we aim to provide insights to researchers that can be used to improve the resolution of artificial vision. The goal of this MSc thesis was to visualise the shape and intensity of electric fields in the cortex as a response to intracortical microelectrode stimulation to observe the expected regions of neuronal activation considering electrode design parameters. To do this, parameters that can influence the generated electric field and the regions of activated tissue have been defined. Implementing these parameters in a Finite Element Model (FEM) allows the computation of the generated electric field in 3D of a stimulating electrode to observe the spatial extent of activated tissue. The spatial extent of activated tissue can be estimated using simplified methods such as the activating function (AF) or current density threshold. The result is a parameterised framework that creates a Visualisation of Neuronal Activation (VoNA) that can be used to assess activated tissue regions for varying scenarios by defining material properties and dimensions of the model, and allows for the adjustment of the stimulation configuration, electrode contact spacing, customisation of electrode size and modulation of stimulation current. It enables the user to tune the model settings towards their specific needs and explore the possibilities by visualising the results from different angles by defining subsets of the entire solution. In line with expectations, the presented models show that the model parameters can influence factors such as the generated electric field, the current density and electric potential, which are indicators of neuronal activation. The findings support the hypothesis that these parameters should be considered during electrode design to achieve accurate stimulation.","FEM; Activating Function; Parameterised model; COMSOL; Intracortical; Neuronal activiy; spatial extent of stimulation","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:25537b5d-3ecb-4b08-8cf3-723e437d8738","http://resolver.tudelft.nl/uuid:25537b5d-3ecb-4b08-8cf3-723e437d8738","Optimization of Interplant Water Reuse in Industrial Parks: Considering Water Treatment Systems","Koldewijn, Niels (TU Delft Civil Engineering & Geosciences)","Spanjers, H. (mentor); Rietveld, L.C. (graduation committee); Korevaar, G. (graduation committee); Garzón Díaz, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Reusing water is a crucial part of the solution for addressing the growing concern regarding the risk of water scarcity in industrialized and urbanized areas. This study introduces a tool for the design of water networks, focusing on water reuse in industrial parks. Utilizing a mixed-integer nonlinear programming (MINLP) model developed earlier, this tool is the first in water network design models that operates with open-source software, while considering water treatment systems and multiple constituents. A literature study is conducted to discover shortcomings in water network design models and to find a foundational model to use to develop the tool. The developed tool creates a water network based on the optimization of the costs of water obtained from water sources, the costs of treatment systems, and optionally the piping costs. The treatment systems are used to regenerate the water for reuse in industrial plants and to meet environmental discharge limits. The tool develops local optimal solutions as an output. Additionally, this study is the first to integrate a water treatment systems database into a water network design model. However, this database needs to be expanded before it is usable. This study demonstrates the tool through three case studies.","Interplant Water Reuse; Water Treatment System; Industrial Symbiosis; Optimization Modeling; Mixed-Integer Nonlinear Programming","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:cfa86046-384d-4cf4-bfa1-c25b6844ab7e","http://resolver.tudelft.nl/uuid:cfa86046-384d-4cf4-bfa1-c25b6844ab7e","Potential Impacts of Transit-Shared Bike Integration on Equity in Job Accessibility: A Case Study in the Amsterdam Transport Region","Wang, Quanyi (TU Delft Civil Engineering & Geosciences; TU Delft Transport and Planning)","van Oort, N. (mentor); Bruno, M. (mentor); Pel, A.J. (mentor); Voerknecht, Hans (graduation committee); Delft University of Technology (degree granting institution)","2024","Travelling to work is one of the essential activities in individuals’ daily lives. However, commuters who depend on public transport often face significant challenges in accessing job opportunities, resulting in transport-related social exclusion risks. In recent years, shared mobility services have gained popularity as a solution, offering greater flexibility in first/last-mile segments of multimodal trips. These services have promising potential to improve accessibility for those who rely on public transport, thereby addressing issues of accessibility inequity. Such an intervention aligns well with the unique Dutch cycling culture, where cycling already accounts for a substantial portion of transit journeys. However, limited bike availability at the egress side diminishes the utilization of bikes for the last mile of a trip. To address this issue, integrating shared bikes with transit as an egress mode could be an effective intervention.
This thesis conducted a ”what-if analysis” in the Amsterdam Transport Region to investigate the impacts on job accessibility for different commuters and the equity of the whole transportation system if shared bikes are provided at transit stations as the egress mode. The results highlight the considerable potential of this integration in improving job accessibility and promoting social equity.
Implications drawn from the analysis provide valuable insights. Statistically, groups without car access can benefit more than groups with car access from integrating transit and shared bikes, but benefits might favour high-income groups. Geographically, accessibility improvements are mainly distributed to commuters living next to transit stations, while equity improvements are concentrated in areas with dense and developed public transport systems. Additionally, commuters living close to transit stations are more likely to contribute significantly to the overall accessibility deficiency, even though they have convenient first-mile segments. These implications guide policymakers in prioritizing interventions for targeted groups or regions, and facilitating the creation of a more equitable transportation system.
A systematic approach was used to determine which type of motion compensation device is best suited for this operation. Numerical models were set up in the commercial software OrcaFlex, where a wide range of different motion compensation types were tested. These motion compensation systems vary in the amount of degrees of freedom compensated and the actuation method. The actuation methods are passively actuated gas springs and position-based motion control using hydraulic actuators.
First, it was identified what combination of degrees of freedom and actuation method is best suitable. This was determined by performing a regular wave analysis and comparing the performances of the proposed motion compensation systems. The performance was indicated by the ability of the motion compensation system to control the weight on bit, reduce the maximum stress as well as the fatigue damage accumulation in the drill string. From this analysis it was concluded that a passive actuated concept that compensates for the heave, roll, and pitch of the vessel is the most effective scheme.
The optimal type of motion compensation system was then pursued by designing two different systems capable of compensating for the heave, roll, and pitch motion of the vessel. The first, relatively simple, type consists of a vertical gas spring and a universal joint where the drill rig can rotate freely. The other type is a passive variant of the Barge Master platform motion compensation system. This second concept was designed using a multi-objective optimization algorithm, the NSGA-II algorithm. The difference between these two systems is that the platform concept has rotational stiffness, while the simple type is free in this degree of freedom.
It was finally concluded that both concepts are viable solutions, and that both systems represent a motion compensation system that compensates for the heave, roll, and pitch motion of the vessel. The performance regarding the indicators is very similar, therefore choosing one of the concepts would come down to the other advantages and disadvantages of the concepts.
However, in predictive simulations of gait, hyperextension of the knee during stance phase is often encountered. This limits their applicability in research into running-related injuries. It is unclear what causes these unrealistic kinematics, with various studies coming to conflicting conclusions.
This study aims to identify the cause of knee hyperextension in predictive models of running and subsequently, to determine the essential modeling elements for accurately simulating stance knee flexion.
A structured analysis was conducted to investigate the potential impact of the model components within the predictive simulation framework. This framework was divided into four main categories: the objective function, the musculoskeletal (MSK) model, the foot contact model, and the controller. The analysis resulted in numerous hypotheses regarding the element that might be responsible for the simulation of realistic knee kinematics. SCONE, an open-source package for neuromusculoskeletal predictive simulation, was used to test the effect of each hypothesis on the simulated running kinematics. The simulation outcomes were compared to experimental data to assess possible improvements.
The results demonstrate that, in contrast to previous literature, adaptations to the objective function, the MSK model, and the foot contact model have negligible effects on predicted running kinematics. This leads to the conclusion that the controller is essential to focus on when improving knee kinematics. Due to time constraints, multiphase control could not be implemented. Therefore, the exact reflex pathways and phase transitions should be further investigated for the predictive simulation of running before implementation is possible.","predictive simulation; Neuromuscular modeling; Running Gait","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:e3f2d8a8-048f-49a0-ac2f-347f224c55e4","http://resolver.tudelft.nl/uuid:e3f2d8a8-048f-49a0-ac2f-347f224c55e4","Indoor Localization for Efficient Bike-Sharing Management","Visser, Berend (TU Delft Electrical Engineering, Mathematics and Computer Science)","Venkatesha Prasad, Ranga Rao (mentor); Song, Q. (graduation committee); Willemsen, G.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","In response to the growing demand for sustainable transportation solutions, bike-sharing systems have gained prominence in supporting an eco-friendly means of commuting. Within this landscape, Skopei, a forward-thinking company specializing in innovative sharing propositions, has developed a smart bike lock capable of autonomous rentals and returns. This master’s thesis delves into the application of radio frequency-based distance measurements to create an indoor positioning system for the efficient management of bike storage. Specifically, the system is designed to determine whether bikes have been correctly parked at docking locations, enabling users to conclude their rentals autonomously. The architecture of this system uses a network of anchor nodes (known-location routers) that should ascertain the positions of mobile nodes (bikes) within the bicycle storage area. Notably, the solution developed in this thesis employs sophisticated distance measurement techniques, including frequency hopping and phase shift analysis. By finding the amplitude and phase shift over multiple frequencies, we can find the channel impulse response and estimate the distance using machine learning. We employ a novel Multi-layer Perceptron neural network regressor to improve the accuracy in the presence of complex environmental factors in bike storage environments. In the bike storage test case, we achieved a mean absolute error in position estimation of 1.68m compared to 3.80m of a naive approach. We improved the parking state classification from 75.99% of a naive approach to 98.09% with our machine-learning-based approach. This thesis underscores the importance of cutting-edge distance measurement methods and real world field studies in advancing indoor positioning systems, specifically for smart bike storage management. By bridging the gap between technology and sustainable transportation, this work aims to make urban bike-sharing systems more scalable, efficient, user-friendly, and environmentally conscious.","Indoor positioning; Multilayer Perceptron; Machine Learning (ML); Localization","en","master thesis","","","","","","","","2026-01-22","","","","Electrical Engineering | Embedded Systems","",""
"uuid:fe19a6f9-35bb-477f-a206-edd7ba6ae43a","http://resolver.tudelft.nl/uuid:fe19a6f9-35bb-477f-a206-edd7ba6ae43a","Experimental Validation of Model-Based Control Methods for Shape Regulation in Soft Robots","Bhatti, Ghanishtha (TU Delft Electrical Engineering, Mathematics and Computer Science)","Della Santina, C. (mentor); Kober, J. (graduation committee); Pustina, P. (graduation committee); Delft University of Technology (degree granting institution)","2024","Soft robots are characterized by compliant elements that introduce heightened kinematic complexity compared to their rigid counterparts. Such systems, with infinite degrees of freedom, are inherently underactuated, making precise real-time shape regulation a challenging task. Model-based controllers, utilizing tractable reduced-order modelling methods, have emerged as promising solutions. However, practical implementations of these methods often rely on fully-actuated approximations, overlooking the underactuated nature of these continuum structures. In this study, we aim to experimentally validate model-based controllers that explicitly account for underactuation, surpassing the theoretical feasibility demonstrated in simulation. These controllers incorporate gravity cancellation and compliance compensation using the dynamic model of the robot to achieve superior real-time shape regulation compared to conventional PD/PID controllers. To facilitate this experimental validation, we have built a multi-segment soft robot research platform that includes a passively actuated segment, allowing for the utilization of both actuated and unactuated degrees of freedom in the control feedback loop. Through rigorous experimentation, we provide comprehensive evidence of the efficacy of this class of model-based controllers in controlling unconventionally actuated robotic systems. Consequently, our work bridges the gap between theory and practice, resulting in a practical real-time shape regulation framework that is adaptable to a vast variety of soft robotic systems.","Soft Robotics; Shape Regulation; Model-based Control; Experimental validation","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:0f293d68-e98a-46ca-9561-51d47862fd60","http://resolver.tudelft.nl/uuid:0f293d68-e98a-46ca-9561-51d47862fd60","Designing Expressive Movements for Non-Anthropomorphic Hotel Restaurant Service Robots","Lee, Hyunmin (TU Delft Industrial Design Engineering)","Cila, N. (mentor); Rozendaal, M.C. (mentor); Koerten, Klaas (graduation committee); Delft University of Technology (degree granting institution)","2024","The hospitality industry, struggling with significant staff shortages, has increasingly turned to service robots as a solution. However, the prevalent service robot’s design with anthropomorphic appearance is considered inharmonious with the fine-dining restaurant ambiance and may harm the guests’ perception of the service. An alternative approach is exemplified by Rober, which adopts a design resembling a traditional cart. The non-anthropomorphic design offers flexibility, economic efficiency, and enhanced acceptability in hospitality settings. However, it also raises challenges in expressing intentions that are typically conveyed through human non-verbal cues. Consequently, the movement quality of service robots becomes a critical area of design to facilitate nuanced human-robot interaction (HRI) in hotel restaurant contexts.
The research focused on two main questions: how to design robot movement to facilitate essential interaction and collaboration qualities during dining experiences, and how to craft these movements using a dramaturgic performative approach. The project employed methodologies like speculative enactment and Extended Reality (XR) experiments to explore and evaluate robot movements. These methods allowed for creative ideation and assessments of the robot’s movements in simulated dining scenarios.
The project’s findings revealed that specific robot movements, including refined presence, prompted actions, and engaging addresses, significantly enhance the experience of guests, staff, and managers of the hotel restaurant. The robot’s role was envisioned as an ‘Ensemblist,’ a term encapsulating its function as an integral yet unobtrusive participant in the fine dining scene. This role demands the robot be ‘response-able,’ adapting to the fine dining rhythm. Furthermore, the project’s performative approach illuminated methods to design the robot’s movement as expressively meaningful and contextually appropriate. Methodological reflections revealed the effectiveness of speculative enactment and XR experiments in capturing the complexities of human-robot interactions, though suggesting future improvements in prototype fidelity, participant diversity, and advanced data treatment.
This project contributes to the field of HRI in hospitality, bridging theoretical concepts with practical applications. It lays the groundwork for future research in service robot design, emphasizing the need for nuanced interaction designs that resonate with human users in the hospitality sector.","Human-Robot Interaction; Human-Agent Collaboration; Hospitality; Speculative Design; Expressive Agents; Movement; Design for Interaction; Performativity","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:50782ab3-445a-4823-b636-b8c432ddefc6","http://resolver.tudelft.nl/uuid:50782ab3-445a-4823-b636-b8c432ddefc6","Engine Shop Visit Scheduling: A Reinforcement Learning Optimization Approach","Winckler Musskopf, Nicolas (TU Delft Aerospace Engineering)","Santos, Bruno F. (mentor); Delft University of Technology (degree granting institution)","2024","The scheduling of engine shop visits quickly becomes a complex problem to solve as the number of aircraft and engines increases. In recent times, different approaches have been used to tackle this problem and optimize schedules, reducing costs and increasing revenue. This paper formulates the ESV scheduling problem as a Markov Decision Process and develops a reinforcement learning model that includes parameters such as engine performance and life limited parts status, maintenance constraints, and temporal factors. A prioritization algorithm is presented to optimize the learning process and allow for the scheduling of larger fleets. The results show a slight better performance in comparison to a greedy policy when evaluating aircraft availability, flexibility to the initial parameters and reduction in use of spare engines. On the other hand, the reinforcement learning provided lower scores and higher number of removals of aircraft from operations. In conclusion, the methodology proved that reinforcement learning is a viably way to optimize the ESV scheduling process, however a fine tuning of parameters might be necessary to approximate scores to a real revenue and cost relation, and reduce the number of aircraft interventions.","Engine MRO; Engine Shop Visit; Scheduling optimization; Reinforcement Learning; aircraft engine","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:78214c62-6af2-4a51-adb1-6ce253258ead","http://resolver.tudelft.nl/uuid:78214c62-6af2-4a51-adb1-6ce253258ead","Soundstorm: Collaborative Ideation for Sound-Driven Design","Moleman, Rob (TU Delft Industrial Design Engineering; TU Delft Design Aesthetics)","Ozcan Vieira, E. (mentor); Delle Monache, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","Product sounds are important in our interactions with them. The temporal and context-dependent nature of sound makes it difficult to design them. There is a semantic gap between how we talk about sound and how it is embodied. Sound-driven design aims to improve this in two ways. It proposes a human-centered design approach, focusing on designing for the listening experience instead of purely the sound. Secondly, it proposes a collaborative approach, iteratively designing the listening experience with all stakeholders throughout the project.
The four stakeholders of sound-driven design work and design with sounds in different ways. Sound designers are brought in late into a project and work on sound solitarily. They are experts at bridging semantic gaps. Acoustic engineers are solution-oriented and think of sound in terms of noise elimination. Design researchers are experts in guiding design processes and incorporating user needs but are ill-equipped for sound. Expert users are well attuned to the sounds of their context, which is vital information for sound-driven design.
During group ideation, participants use generative session methods to generate solutions to a design problem. If this collaboration is managed effectively, a group outperforms its members. Generated ideas are larger in quantity, quality, variety, and originality. During idea generation, participants use their creative cognition to generate ideas. The dual pathways model of creativity states that creative cognition is the result of persistence and flexibility in thought. These two cognitive processes can be primed to enhance creativity.
Based on this theory I designed Soundstorm, a quick and collaborative card game. Players take turns making product sounds based on randomized prompts. It should be played before starting a generative session, and positively influences its outcomes. Soundstorm is meant to improve creative cognition by priming the persistence and flexible cognitive process. Soundstorm allows players to practice vocal expressions. Playing a collaborative game increases social cohesion.
Soundstorm was validated using a protocol study (n=18), in which 3 groups played a game before a brainstorm, and 3 did not. There was no increase in the number of ideas generated. There is an increase in iteration for the Soundstorm group, but the effect was not significant.
Future research into sound-driven design ideation should explore the fundamentals of sonic and verbal generative methods. Furthermore, sound-driven design should be applied in a collaborative project where problem and solution are allowed to coevolve over multiple iterations.
The research encompasses a comprehensive analysis of heat pump models, emphasizing their role in space heating, space cooling, and domestic hot water functions. Two specific models are chosen for Coefficient of Performance (COP) and Energy Efficiency Ratio (EER) calculations. These models, along with the utilization of the nPro tool, lay the foundation for integrating heat pump systems with PV production and battery storage in residential buildings.
The study successfully integrates the model of the battery’s performance and the overall grid-connected energy system. Employing a mathematical modeling approach, each component is systematically incorporated into the system, including the previously developed heat pump model. This integration, coupled with the Alternating Current (AC) output of the PVMD toolbox and the battery, establishes the groundwork for subsequent economic and performance analyses of the system.
The study systematically selects various locations with different environmental conditions such as Equivalent Sun Hours (ESH) and average ambient temperature to analyze the economic aspect by checking the Net Present Cost (NPC) and performance aspects by checking Self Consumption Ratio (SCR) and Self Sufficiency Ratio (SSR) of the integration model. The findings emphasize the economic viability of heat pump investments in cities with distinct heating and cooling demands. It has been demonstrated that in colder cities where heating demand is predominant, heat pumps are economically attractive, resulting having heat pumps in optimal scenarios that give the minimum NPC in Amsterdam and Lisbon. Additionally, although the individual components of the system may seem cost-ineffective, their value is derived more from integration in milder cities where heating demand is dominant, resulting having heat pump and PV integrated for the optimal scenario for Lisbon. However, cities dominated by cooling demand face challenges in achieving financially optimal designs because the operational savings for cooling cannot be accurately included such as Cairo and Dakar. The research underscores the importance of considering various system factors, including initial investment costs and electricity tariffs, to achieve financially optimal sizing. As the initial cost of the battery decreases, battery technology becomes economically appealing for Lisbon and Dakar. Moreover, changes in the tariff prove economically favorable for integrating the battery system in Lisbon, Cairo, and Dakar.
This work contributes valuable insights to the field of renewable energy, providing practical solutions for the transition towards cleaner and more efficient residential energy systems.","Residential building; PV panels; Heat pump; Battery Energy Storage System (BESS); NPC","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:6d06a1d8-56b8-4234-8d02-4192721539af","http://resolver.tudelft.nl/uuid:6d06a1d8-56b8-4234-8d02-4192721539af","Benchmarking Neural Decoders: Benchmarking of Hardware-efficient Real-time Neural Decoding in Brain-computer Interfaces","Hueber, Paul (TU Delft Electrical Engineering, Mathematics and Computer Science)","Tömen, N. (mentor); Micheli, A. (graduation committee); van Gemert, J.C. (graduation committee); Marroquim, Ricardo (graduation committee); Delft University of Technology (degree granting institution)","2024","Designing processors for implantable closed-loop neuromodulation systems presents a formidable challenge owing to the constrained operational environment, which requires low latency and high energy efficacy. Previous benchmarks have provided limited insights into power consumption and latency. However, this study introduces algorithmic metrics that capture the potential and limitations of neural decoders for closed-loop intra-cortical brain-computer interfaces in the context of energy and hardware constraints. This study benchmarks common decoding methods for predicting a primate’s finger kinematics from the motor cortex and explores their suitability for low latency and high energy efficient neural decoding. The study found that ANN-based decoders provide superior decoding accuracy, requiring high latency and many operations to effectively decode neural signals. Spiking neural networks have emerged as a solution, bridging this gap by achieving competitive decoding performance within sub-10ms while utilizing a fraction of computational resources. These distinctive advantages of neuromorphic spiking neural networks make them highly suitable for the challenging closed-loop neural modulation environment. Their capacity to balance decoding accuracy and operational efficiency offers immense potential in reshaping the landscape of neural decoders, fostering greater understanding, and opening new frontiers in closed-loop intra-cortical human-machine interaction.","neural decoding; brain computer interfaces; closed-loop neuromodulation; spiking neural networks; neuromorphic computing","en","master thesis","","","","","","http://doi.org/10.36227/techrxiv.24523291","","2025-12-07","","","","Electrical Engineering","",""
"uuid:bdf55847-4d93-4bd9-bec6-13132a52a6d8","http://resolver.tudelft.nl/uuid:bdf55847-4d93-4bd9-bec6-13132a52a6d8","Dynamic loadability of cables in grids connected to offshore wind farms","Srivastava, Akshaj (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ghaffarian Niasar, M. (mentor); Delft University of Technology (degree granting institution)","2024","Abstract:
As grids become more and more saturated due to addition of more renewable energy sources in the system, the power demand keeps on increasing. This puts a pressure on the electrical utilities especially the power cables transferring power from one voltage level to another. Therefore, prior investigation into the effect of dynamic loading of such power cables is required before implementing the system in the physical grid.
One such saturated system is the implementation of offshore wind farm cables and the utilities connected to it.
The objective of this study was to check the effect of the dynamic nature of power flow through the connected cable system. In order to get a quantified result the degradation of the cable is measured in terms of the lifetime consumption of the cables under different loading schemes.
The offshore grid connection from Ijmuiden ver area in the North sea operated by Tennet has been identified as a case study for explaining the results. The offshore platforms are connected to the converter station with the help of 3-core 66kV HVAC cables. The connection from the converter station to the onshore grid is with the help of 2 525kV 1-core HVDC cables. The setup has been modelled with the help of two separate models for both the AC and DC cable sross-sections respectively. The models were developed in MATLAB (analytical) and Comsol Multiphysics
5.0 (numerical) to check the effect of dynamic loading of the cables with the help of different current vs. time profiles. The temperature variation with time was checked for the two cable systems.The parameters of soil thermal resistivity and the burial depth were varied from (0.2[W/mK],1200[mm]) to (0.36[W/mK],1500[mm]).
For the AC cable, it was observed that the lifetime consumption increases on changing the soil thermal conductivity and burial depth from 0.36[W/mK],1500[mm] to 0.2[W/mK],1200[mm]. The percentage lifetime consumption is dependent on the time duration for which the cable has been overloaded with a current value greater than the steady state value of 310[A] corresponding to 90◦C. The worst case change between the two cases was observed as going from 0.4439% to 0.5295% per year.Thus, showing that prior investigation is needed before loading the cable with a certain current profile under a given scenario.
For the AC case, on overloading the cable with a current value about 1.2 times the normal value, the maximum percentage lifetime consumption observed was 0.6208%. For the DC cable, the lifetime consumption was measured in terms of the time to failure of the insulation. This parameter is a function of the radial thickness of the insulation from the conductor screen to the insulation. The time to failure increases as one moves away from the conductor screen. Greater insulation deterioration was found to happen with the burial depth and soil thermal conductivity being (1200[mm],0.2[W/mK]) as compared to the case (1500[mm],0.36[W/mK]).A reversal in the
trend of time to failure was observed when the cable was overloaded with a current value of 1.2 times the normal current. The worst case mean value of time to failure observed was 39.68[y] for the normal case.
Building on identified limitations in existing robotic grippers and automated systems, a novel gripper design is proposed. This design uniquely approaches grasping the peduncle of vine tomato trusses. Traditionally, trusses have been grasped by the peduncle with standard pinching grippers for pick and place operations. The proposed gripper in this paper grasps the truss with a hook around the peduncle, often optimally at the centre of mass, increasing the success rate of grasping, stability, and avoiding damage to the truss. Furthermore, it has a higher tolerance for detection errors, allowing for inaccurate positioning of the robotic system. A hook-gripper can successfully grasp a wider range of tomato varieties than a pinch gripper.
The hook, consisting of two fingers, allows for more stable lifting of the truss and can handle peduncles in hard-to-grasp positions, such as in a crate filled with tomatoes. In addition to increased reach capabilities, the hook-gripper also enables manipulations like dragging and pushing.
The study involved an iterative design process, prototyping, and rigorous testing of the gripper. Key features include a hook mechanism for secure grasping, enhanced mobility for reaching into cramped spaces like packed crates, and a delicate touch to prevent bruising or damaging the fruit. The research also integrates the gripper with advanced detection systems for precise and effective operation within automated setups.
Results from extensive testing demonstrate that the newly designed gripper not only improves the success rate of grasping and manipulating vine tomato trusses but also significantly reduces the risk of damage compared to conventional pinch grippers. Individual trusses can be grasped with great success.
Testing for the different positions showed an increased range of grasping position that resulted in a successful grip. In practical experiments, the gripper performed well, lifting trusses with ease. Other test results show that the position of the peduncle is of great importance for the success rate. Test results indicated an 80% success rate in grasping trusses positioned near the edges of the crate. Replaying waypoint with ""learning from demonstration"" improves the grasping of the trusses compared to existing detection possibilities, and that emptying a crate is a challenging task.
The specialized hook-gripper offers insights into a practical solution for picking and placing vine tomatoes using the peduncle. This thesis contributes to the field of agricultural robotics and facilitates a step towards future innovations in the automation of high-value crop handling. The study delves into hook-gripper design and actuation, crucial for optimal performance in robotic manipulation systems.
Our research aimed to investigate the underlying causes of this specific performance degradation and find solutions to mitigate this issue. We employed the YOLOv8 object detector and created datasets from clinical procedures recorded at Reinier de Graaf Hospital (RdGG) and Philips Best Campus, supplemented with publicly accessible images. An aggregated version of object detection metrics was created for multi-camera system evaluation. Through a series of experiments complemented by data visualization, we discovered that the performance degradation primarily stems from data distribution shifts in the feature space. Notably, the object detector trained on non-sensitive online images can generalize to unseen Cath Labs, outperforming the model trained on a procedure recording from a different Cath Lab. The detector trained on the online images achieved an mAP@0.5 of 0.517 on the RdGG dataset. Furthermore, by switching to the most suitable camera for each object, the multi-camera system can further improve detection performance significantly. An aggregated 1-camera mAP@0.5 of 0.679 is achieved for single-object classes on the RdGG dataset.
of sodium sulfate spherulites. We characterized the spherulites’ morphological evolution and chemical/structural composition using various microscopy techniques and Raman Spectroscopy. The study reveals that faceted crystals, during their morphological evolution, can transiently exhibit a spherulitic morphology before attaining their final shape. We demonstrate that adding bivalent ions to sulfate solutions can create the conditions required for the spherulitic growth of the crystal phase. We show how to obtain perfectly developed spherulites through an in-depth experimental investigation of ion concentrations, evaporation rate, and geometric constraints. Moreover, quantifying the growth conditions enables a precise understanding and facilitates a comprehensive discussion on a general approach for
cultivating spherulites through solvent evaporation that is imperative for innovative purposes.
The aim of this study is to advance the understanding of the drying shrinkage mechanism in AAMs, by considering the contribution of the pore size distribution and gel characteristics of AAMs. A detailed analysis is performed to identify the governing parameter related to the drying shrinkage mechanism. This is done by controlling the pore size distribution and total porosity at moment of exposure, while differences in the gel composition were obtained. Moreover, the impact of different mix design parameters of blended AAMs on drying shrinkage behaviour, weight loss, microstructural development, flexural and compressive strength are investigated. The selected mix design parameters in this study include slag-to-fly-ash ratio (1, 0.7 and 0.5), curing time (3, 7, 14 and 28 days) and Na2O wt.% content (4 and 5 wt.%).
Results from the study indicate that drying shrinkage in AAMs is influenced by factors beyond water loss, diverging from the observed correlation in PC. The study highlights important findings related to mix design parameters. In terms of the slag-to-fly-ash ratio, an increase in ground granulated blast furnace slag (GGBFS) content correlates with reduced drying shrinkage, weight loss, refinement of the pore structure and total porosity. Regarding curing time, prolonged curing durations lead to decreased drying shrinkage and weight loss, coupled with improved flexural and compressive strength. As for activator content, an increased amount of activator refines the pore structure, resulting in reduced total porosity, weight loss, and increased compressive strength. However, drying shrinkage remains relatively constant over the 56 days exposure.
Based on the starting point that the pore size distribution of selected samples at the moment of exposure to drying was controlled, it is suggested that gel characteristics, i.e. reaction products, rather than pore size distribution, govern the drying shrinkage phenomenon in AAMs. Comparative analyses underscore the influence of homogeneous reaction products, a higher atomic Ca/Si ratio and the availability of sodium and silicate from the activator. At the moment of exposure, the type of gel is more crucial than the quantity, as demonstrated by the sample with more reaction products exhibiting greater drying shrinkage in the analysis.
The drying shrinkage mechanism in AAMs is strongly correlated with microstructure and the nature of reaction products. The comprehensive results of this study suggest that the gel characteristics have an crucial role as a driving force in the mechanism of drying shrinkage. The study underscores the substantial influence of mix design parameters on drying shrinkage, offering valuable insights for the practical implementation of AAMs in construction. This research marks a significant step forward in enhancing our understanding of this complex phenomenon for AAMs.
The study provides several recommendations for future research, including extending the range of mix design parameters and curing times to evaluate the findings of this study, assessing the rate of reaction of mixtures and considering the impact of drying on the exposed pore structure. Furthermore, the application of N2 adsorption to detect the smaller range of pores in AAMs, the determination of the influence of internal relative humidity on drying shrinkage, and the investigation of cracking potential of AAMs related to drying shrinkage are also suggested.
Various Meso-level C-FRTP 3D printing methods and print heads have been developed and standardized, but more knowledge is needed of essential 'In-Nozzle' C-FRTP impregnation dynamics. During In-Nozzle impregnation, solid-dry fiber and molten Thermoplastic polymer matrix bond inside the print head before extrusion. This master thesis explores the challenges and potential solutions by conceptualizing a functional ’In-Nozzle’ impregnation extruder capable of extruding proper C-FRTP composites using FDM printing.
Initially, a theoretical framework is presented on melt impregnation dynamics and Interface adhesion, followed by experiments as validation. Based on the melt impregnation analysis observations, a limited permeability of Thermoplastic polymer melt is observed. These are primarily from the high viscosity of the thermoplastic materials (PLA) and the dense fibers. Applying an overflow of melt with extensive external pressure achieves a smoother and faster melt flow around the interface. Tensile strength experiments underscored the dependency on the exposure time and encapsulation of fibers by matrix and showed an increase in IFSS compared to the neat thermoplastic polymer. Further research is recommended to augment contact surfaces between fibers and the matrix. This research highlights the current challenges and lays the foundation for future advancements in C-FRTP 3D printing through in-nozzle impregnation, offering insights into improving material compatibility, impregnation quality, and interfacial bonding.","Multi-Material Additive Manufacturing; Continuous fibre-reinforced thermoplastics; In-nozzle impregnation","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","ME56035 ME-HTE/OM MSc Thesis",""
"uuid:17143757-2b39-4911-9bb6-cd58ac7af854","http://resolver.tudelft.nl/uuid:17143757-2b39-4911-9bb6-cd58ac7af854","Implementation of Vision Control in an Autonomous Food Processing Robot","Yildiz, Haydar (TU Delft Mechanical, Maritime and Materials Engineering)","Eisma, Y.B. (mentor); Wisse, M. (graduation committee); Bosma, Stijn (graduation committee); Delft University of Technology (degree granting institution)","2024","","Algorithm; Autonomous System; Vision; Food Industry; Robotics","en","master thesis","","","","","","","","2026-01-29","","","","Mechanical Engineering","",""
"uuid:fdc21856-e15f-4297-9f7d-a1a83653f049","http://resolver.tudelft.nl/uuid:fdc21856-e15f-4297-9f7d-a1a83653f049","Fatigue Assessment of Complex Riveted Connections: A study on old steel bridges using Advanced Finite Element Analysis","Reijers, Rico (TU Delft Civil Engineering & Geosciences; TU Delft Old - CITG Dept. Structural and Building Engineering)","Veljkovic, M. (mentor); Mohabeddine, A.I. (mentor); Langendijk, W.J.P. (mentor); Tankova, T. (graduation committee); den Besten, J.H. (graduation committee); Delft University of Technology (degree granting institution)","2024","Numerous traffic bridges have been constructed over the last 150 years. Because bridges are commonly built based on an expected lifetime of 75 to 100 years, a large quantity of bridges are reaching the end of their design lifetime, or even are far overdue. To ensure these bridges remain operational without experiencing catastrophic failures, they have to be recalculated, and if need be, repaired, strengthened or replaced. Particularly older bridges are commonly constructed utilizing rivets. Their often overly complex geometries, the fact that riveting has become largely obsolete as a construction process, and old bridges are commonly not design for fatigue loading, engineers regularly face significant challenges when reassessing such bridges.
While fatigue phenomena have been extensively investigated throughout the years, studies pertaining to the fatigue of riveted connections are relatively limited. The Eurocode on fatigue, EN-1993-1-9 includes only two detail categories. Additional guidelines, like RBK Steel expand upon these detail categories, but focus primarily on built-up beam cross-sections in riveted structures, rather than riveted connections. In order to attempt to more accurately assess the complex joints present in ancient steel bridges, this thesis attempts to answer the following question: What would be a suitable approach to model complex riveted joints and assess their fatigue life considering a balance between the level of complexity and applicability in design practice?
A literature study is performed to identify the different factors that affect the fatigue resistance of riveted connections, as well as to highlight several of the available methods to perform a fatigue assessment. Through the investigation of experimental studies complemented with Finite Element (FE) Analyses, a design methodology for a full scale riveted model is drawn up, and finally a FE model of a joint of the John S. Thompsonbridge is constructed. A critical location within the joint is identified. On this critical locations, several stress- and strain-based fatigue life analyses are performed, namely the use of Stress Concentration Factors (SCF), Smith-Watson-Topper’s (SWT) strain-life equation, and the multiaxial shear strain criterion (MSSC) method, to investigate the effects of incorporating mean stress effects and multiaxiality.
From these analyses, it is concluded that SCF appears to provide overly conservative fatigue life estimates, whereas SWT and MSSC provide more probable results. The increased life estimate through MSSC suggests a limited degree of multiaxiality present in the critical location. All three methods require a detailed FE model, complicating the fatigue assessment of the joint. While the SCF method is slightly simpler to use than SWT and MSSC, it does not weigh up to the conservativity of its life estimation. SWT is deemed the most suitable approach for the fatigue assessment of riveted joints, given that it is more widely applicable and relevant than MSSC.","Finite Element Analysis; Rivet; Local Approach; stress concentration factor; Fatigue Analysis; Bridge","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:690741fa-54b5-42c2-8555-2247ad55325d","http://resolver.tudelft.nl/uuid:690741fa-54b5-42c2-8555-2247ad55325d","Underwater 3D localization and communication for mobile networked robots","van Paassen, Daniel (TU Delft Electrical Engineering, Mathematics and Computer Science)","Venkatesha Prasad, Ranga Rao (mentor); Sharma, S. (mentor); Gaydadjiev, G. (graduation committee); Delft University of Technology (degree granting institution)","2024","","mobile robots; underwater 3D localization; anchor free lozalization; joint communication and sensing","en","master thesis","","","","","","","","2026-01-31","","","","Electrical Engineering | Embedded Systems","",""
"uuid:f2ad48f1-b960-4d36-a9fe-20486d3f0645","http://resolver.tudelft.nl/uuid:f2ad48f1-b960-4d36-a9fe-20486d3f0645","Adaptation of an MDO platform for system architecture optimization","García Sánchez, Raúl (TU Delft Aerospace Engineering)","la Rocca, G. (mentor); Bussemaker, J.H. (graduation committee); Garg, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","Early design decisions have a significant influence in the final success of the project. One of the most important decisions is to determine the system architecture, as it highly impacts the performance of the system. System architecture optimization can be used to determine the best possible architectures through the formulation of an optimization problem, allowing to explore the design space without traditional bias and conservatism.
MDO can be used to evaluate the performance of each architecture, allowing to consider the interactions between the multiple and coupled disciplines involved in the design process. To do this, MDO platforms have to satisfy multiple requirements, including the automatic readjustment of the MDO problem for each system architecture. They also have to be adapted to collaborative MDO, so that they can be used in real industrial cases.
Before this research, there was not MDO platform that satisfied all these requirements, impeding the integration of system architecture optimization in the industry. The MDO platform consisting on MDAx and RCE was adapted to collaborative MDO and satisfied all requirements to be used as architecture evaluator, except the automatic readjustment of the MDO problem. To fill the previous gap, the main objective of this research has been to extend MDAx backend code to allow the formulation of these
dynamic MDO problems, allowing to use it in the system architecture optimization process.
To achieve this, first the possible modifications that the system architectures can cause in the MDO problem, called architectural influences, are determined. Then, some possible implementation strategies MDO platforms can use to deal with these influences are presented. After that, the actual implementation process used to extend MDAx backend code is widely discussed.
Afterwards, a benchmark problem based on Fourier series is used to verify the implementation. A real engineering problem, based on the design of a space multistage rocket, is also used as validation to show the potential tool, and more generally, of the methodology. Finally, some conclusions and possible future steps are drawn.
In conclusion, this research allows to reduce the existing gap between system architecture optimization and MDO by obtaining an MDO platform that can be used as an architecture evaluator. Also, the different requirements identified for the inclusion of architectural influences, as well as the benchmark problems discussed, are aimed to help developers to extend their MDO platforms to be adapted to system architecture optimization, reducing the barriers for its implementation in the industry.
The industry needs a guide for safely designing, developing, and deploying AI systems, considering stakeholders' perspectives. This guide fills two knowledge gaps: a framework for translating high-level values into Japanese life insurance industry requirements and an initial process for converting these high-level values into organizational guidelines.
An empirical study on predictive underwriting informed the research, identifying 13 values and four informal social institutions for the AI design process. It involved eight experts who defined 54 norms, which were later refined and categorized into process and assessment norms focusing on data and AI.
The result is ten design guidelines for AI system developers, which are validated by experts, addressing the full AI lifecycle. These guidelines contribute scientifically by introducing an initial process combining design for values with system safety concepts, reporting standardization, and AI governance frameworks.
Future research should replicate this process in various contexts, reevaluate the value framework with broader stakeholder inputs, investigate the dynamics between Japanese society and AI in more detail, and delve deeper into system theoretic hazards analysis. This approach promises to strengthen the value framework and process applicability in different organizational settings.
The first scenario is represented by damages located in the CFRP structural part of the fuselage access panel. The scarf repair method was identified as the optimal one for this instance, research effort was therefore directed towards its improvement. Indeed, such technique does not currently allow to achieve a fully flush surface, as a mismatch between the repaired area and the undamaged one remains noticeable. Eliminating such unevenness is crucial for stealth and eventually aerodynamic reasons, and therefore needs to be investigated. Two repair configurations were implemented: the first one consists of re-milling the surface once the repair is completed, while the second one relies on a thinner repair patch that — once properly aligned — allows for a flush surface. The first option allowed for an improvement of over 80\%, reducing the surface unevenness from more than 1/2 of a millimeter to less than a 1/10, while ensuring a smooth, continuous surface finish. At the same time, it proved capable of meeting all the mechanical requirements, performing closely to a reference repair configuration in several tests. On the other hand, the second option only partially improved the surface finish, but fell short of the fatigue life requirement by a large margin and also showed a significantly poorer mechanical performance compared to the reference repair and the other configuration.
The second scenario is represented by small, cosmetic damages located in the functional edge of the fuselage access panel. It was identified that such damages are best addressed with repair procedures based on a filler compound. Guidelines to define such repair compounds were defined. Then, two repair procedures based on the use of these compounds were thought out: the first one is similar to conventional filling repair processes, while the second one relies on a bespoke tool to inject the repair compound. The former was implemented and produced promising results: it allowed to precisely restore the original profile and achieve a seamless surface finish. Damages affecting both the structural and functional parts were also briefly addressed, paving the way for future developments.","","en","master thesis","","","","","","","","2026-01-26","","","","Aerospace Engineering | Structures and Materials","",""
"uuid:ab25d2b8-36dd-4312-b444-96cbaba5cce1","http://resolver.tudelft.nl/uuid:ab25d2b8-36dd-4312-b444-96cbaba5cce1","Installation of perforated monopiles: And the associated fatigue damage","Twigt, Tom","Vergassola, M. (mentor); Colomés, Oriol (mentor); Delft University of Technology (degree granting institution)","2024","The European offshore wind industry has experienced significant growth in the past decade, mainly focusing on shallow areas in the North Sea to reduce the Levelised Cost of Electricity (LCoE) and compete with fossil fuels. However, as shallow areas become scarcer and the industry seeks greater independence from government subsidies, a shift towards deeper waters is anticipated, and already observed in Europe. In the northern part of the North Sea (60-120 meters deep), jacket foundations are currently favoured, despite drawbacks such as extensive engineering efforts, weld requirements, challenging series production, and high costs. This misalignment with the industry's LCoE reduction goal highlights the need for a technologically viable and economically attractive foundation concept for waters in the 60-120-meter range.
To combat this challenge, perforated monopiles are being developed. The perforated monopile consists of a monopile with perforations, either circular or elliptical, around the splash zone, with the goal of reducing the frontal area, and thus reducing the hydrodynamic loads on the structure. These concepts aim to combine the ease of manufacturing of a monopile, with the reduced area affected by hydrodynamic loads that are common for jacket structures. The research done so far on these perforated monopiles has only looked at the reduction in hydrodynamic loads, which have proven significant. These reductions in hydrodynamic loads should enable the perforated monopiles to be used in deeper waters compared to regular, non-perforated, monopiles. They could provide a tempting alternative for the more expensive jacket structures, but more research is necessary, especially in analyzing other loads that the perforated monopile may be subject to.
This thesis aims to look at one such different load that affects this perforated monopile, namely the installation loads induced by hammering. The first part of this thesis will look at stresses and fatigue damage during the installation of non-perforated monopiles. The second part will analyze the increased stresses, possible losses in hammer energy, and increased fatigue damage, all due to the presence of perforations. Finally, several alternatives, such as different geometries of perforations and different hammer loads will be analyzed with regard to their effect on fatigue damage.
The fatigue damage due to installation is found to increase significantly due to the presence of perforations, increasing from 5% for non-perforated monopiles, to up to 118% and 112% for the two most promising geometries analyzed, thus proving a show-stopper for installation via impact hammer, if no measures are taken.
Changing certain parameters, however, either the geometries of the perforations, or the characteristics of the hammer used, shows that installation is indeed possible. Using different geometries of perforations, that maintain a significant reduction in area, shows installation is possible, whilst limiting the fatigue damage to 53%. A reduction in hammer force by a factor of 2, also decreases the fatigue damage by 34% on average. The use of a so-called vibro-hammer also shows promising, resulting in a halving of the fatigue damage compared to the use of an impact hammer, but more research needs to be done to confirm this final finding.
To conclude, this research shows that installation of a perforated monopile is possible, although most, if not all of the reduction in fatigue damage due to hydrodynamic loading is cancelled out by the increase in fatigue damage due to installation. Geometries and installation methods may exist that improve the fatigue life of the structure, but this research was unable to find them. Future research may be able to find geometries and installation loads that do reduce overall fatigue damage.
Further research is also necessary before perforated monopiles can be taken into service, such as the confirmation of the energy losses in installation due to perforations. Also, several other load cases need to be analyzed, to ensure the perforated monopile survives its designed lifetime.","Fatigue Analysis; pile driving; monopile; perforated monopiles","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:4e40b7d4-975e-4b88-b87b-f247fadc343a","http://resolver.tudelft.nl/uuid:4e40b7d4-975e-4b88-b87b-f247fadc343a","High-strength steel: ultimate material or expensive alternative?: Feasibility and optimization of using high-strength structural steel in offshore topsides","Dekkers, Wout (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Offshore and Dredging Engineering)","Hoving, J.S. (mentor); van der Stap, A.C.M. (graduation committee); Tankova, T. (graduation committee); Delft University of Technology (degree granting institution)","2024","Steel is a widely used material in construction because of its availability, high strength-to-weight ratio, and recyclability. However, steel manufacturing consumes a lot of energy, and there is an increasing demand for more environmentally friendly building materials. Consequently, high-strength steel is becoming more popular as it reduces the mass of a structure. Although high-strength steel has been available for many years, its use in offshore topsides is limited due to stability and deflection issues. This research aimed to assess the feasibility of utilizing high-strength structural steel in offshore topsides, and investigated how the use of high-strength steel can be optimized in topside design.
Two different screening tools were constructed to assess the feasibility of high-strength steel within an entire topside. It was concluded that the length of a particular beam can tell an engineer if it is worth further investigating the potential of high-strength steel, while columns showed potential in all cases. The methods were tested with a case study in which an topside was assessed for its feasibility of utilizing high-strength steel beams and columns. Only hot-rolled primary and secondary beams combined with the columns and bracings were considered for this topside. When S460M steel was used for strength-governing beams and seamless tubulars, in combination with S690Q steel for welded tubular columns, the highest benefits were found and a maximum steel weight reduction of 15% was found for the considered components. At the same time, the material costs were reduced by 10%, the welding costs by 13% and the embodied carbon savings equalled 14%. When comparing these results with the total topside steel weight, 5% of the topside steel weight was reduced by using a combination of S460 and S690 steels. It was concluded that high-strength steel is feasible for offshore topsides and is more environmentally friendly and cost-effective, providing a promising alternative to conventional steels within certain components on offshore topsides.
This research presented a screening tool that is simple to use and assessed the feasibility of high-strength steel. Other engineers can easily extend this tool. As more detailed calculations are included in the screening tool, it is expected that additional cost reductions and embodied carbon savings can be found. Furthermore, including additional steel components in the assessment, such as plate girders, may result in finding much higher total weight reductions.
Damage dealt to the central nervous system (CNS) caused by trauma or disease can have detrimental effects on human quality of life because the CNS has limited regenerative capabilities. Efforts to replace lost neural cells require improved knowledge and methods for differentiation of neural stem cells (NSCs).
Objective
In this thesis, I aim to chart our current scientific knowledge and progression of neural differentiation and explore practically the feasibility of continuous ultrasound (US) stimulation on neural progenitor cells (NPCs) differentiating into neurons in vitro.
Methods
I performed a literature study examining previous studies that investigated electrical stimulation, nanoparticles, or ultrasound to improve in vitro or in vivo differentiation of neural stem cells. Using finite element method (FEM) frequency analyses with COMSOL Multiphysics, I investigated the use of a 24-wells plate with piezoelectric lead zirconate titanate [Pb(ZrxTi1–x)O3] (PZT) US transducers. Additionally, I validated in vitro previous findings on the feasibility of differentiation of NSCs to NPCs.
Results
With the knowledge gained from literature and findings from the experiments, I created a mold for the fabrication of a custom variant of a 24-wells plate made with polydimethylsiloxane (PDMS) to which 2.03 mm thick PZT can be mounted.
Conclusion
Future research efforts should focus on further developing this technique, specifically into electrical schemes to optimize US transmission to NPCs.","","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:ea764848-033f-45f7-a159-51b34fb09620","http://resolver.tudelft.nl/uuid:ea764848-033f-45f7-a159-51b34fb09620","Quantitative Analysis of Flame Kinematics in Premixed Hydrogen-Air-DNG Jet Flames using PIV Measurements and Flame Front Segmentation","Gunce, Cem (TU Delft Mechanical, Maritime and Materials Engineering)","Klein, S.A. (mentor); Tummers, M.J. (mentor); Delft University of Technology (degree granting institution)","2024","Today's electricity supply falls short of current demands, leading to the utilization of gas turbines in both ground based and avionic infrastructures. Nevertheless, these often rely on carbon-based fuels, resulting in escalating CO2 emissions. However, adopting hydrogen as a fuel eliminates carbon emissions. Aside from zero carbon emissions, hydrogen has a higher energy density by weight compared to conventional fuels. This makes it an distinct option for applications requiring efficient energy storage and delivery. Due to its wide flammability range and low ignition energy, hydrogen can combust in scenarios where traditional fuels might not. This unique characteristic, while advantageous in certain contexts, requires detailed study to ensure safe and efficient combustion in gas turbines. However, the combustion of hydrogen inherently results in elevated flame temperatures, thereby generating increased NOx levels. Furthermore, hydrogen's high mass diffusivity translates to a reduced Lewis number. Consequently, it becomes vital to grasp the local dynamic characteristics of the flames, particularly at stable and flashback points. Understanding the thermo-physical behavior of hydrogen flames, especially at stable and flashback points, therefore, requires experimental studies to reflect real life complexities. These tools can offer insights into turbulence-flame interactions, flame stabilization, and emission formation mechanisms.
In this research, an exploration was undertaken to understand the local kinematics and dynamics of Hydrogen and DNG flames, with emphasis on stable states and those approaching flashback conditions. Particle Image Velocimetry (PIV) experiments were employed on a Bunsen burner setup, facilitating the capture of the jet flames' velocity fields. Both low-speed and high-speed recordings were captured by high-speed camera, providing distinct insights into flame dynamics. Flame front detection was achieved using Mie-scattering, capitalizing on the differential seeding particle densities between the unburnt and burnt regions. Intensity differences between these regions were meticulously captured with a bilateral filter, leading to the successful extraction of the flame front. This extracted front was subsequently distinguished via segmentation and superimposed onto the velocity field. Low-speed recordings offered a generalized perspective on flame turbulence characteristics through cold flow validation, while high-speed recordings unveiled specific dynamics, inclusive of flame curvature, local flame and displacement speeds, and both normal and tangential velocities and stretches. It consistently holds the 1-D unstretched flame speed, even as the Reynolds number increases, aligning with the respective flashback points and stable conditions of the flames. As a result, in-depth comparison of DNG and $H_2$ fuels in terms of flame dynamics and kinematics were discerned.","Hydrogen Combustion; PIV (particle image velocimetry); image segmentation; Data analysis; Flame front edge detection algorithms","en","master thesis","","","","","","","","2024-01-26","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:d402bc20-8884-4051-b78c-9ccc84e34eeb","http://resolver.tudelft.nl/uuid:d402bc20-8884-4051-b78c-9ccc84e34eeb","Aerodynamics of cylinders in tandem: Experimental investigation by stereoscopic PIV","Bajuk, Jože (TU Delft Aerospace Engineering)","Sciacchitano, A. (mentor); Ubbens, H. (mentor); Terra, W. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis investigates the aerodynamics of two finite wall-mounted cylinders in tandem, focusing on drag reduction as a function of governing parameters.
For the experimental wind tunnel campaign, two measurement techniques were employed: balance measurements and stereoscopic particle image velocimetry. While balance measurements exhibited good repeatability, drag values obtained with PIV saw high uncertainty and only limited conclusions could be made from it.
Cylinders were mounted to the floor of a closed wind tunnel test section. The trailing cylinder was rigidly attached to the balance underneath, while the leading cylinder could move upstream to the de- sired distance. Stereoscopic PIV images of the wake at various distances upstream and downstream were taken through the transparent sides of the wind tunnel. These images, in combination with the control volume approach, were used to determine the drag of a trailing cylinder.
Coefficients of drag, obtained with a balance for isolated cylinders of various aspect ratios, were in line with similar results from the literature, albeit on the higher side. For cylinders in tandem of the same aspect ratio, AR, as the distance between them increased, the CD of a trailing cylinder converged to that of an isolated cylinder. Comparing tandem configurations with different AR and at the same nondi- mensionalized in-between distance, trailing cylinders with larger AR experienced larger drag reduction.
Introducing cylinder diameter ratio as an additional degree of freedom showed that smaller diameter trailing cylinders experienced greater drag reduction at close distances. However, at a certain distance further downstream, this trend reversed.
The drag reduction values obtained with PIV confirmed the findings from balance measurements. How- ever, due to the limited set of usable data, further work would need to be carried out to gain more confidence in the method.","Cylinder aerodynamics; Cylinders in tandem; Stereoscopic PIV; Drag reduction; Bluff body aerodynamics; PIV (particle image velocimetry); Drafting","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Aerodynamics","",""
"uuid:446e9903-7d61-4de1-9d54-ef6788713b67","http://resolver.tudelft.nl/uuid:446e9903-7d61-4de1-9d54-ef6788713b67","Optimizing Revision Total Knee Arthroplasty: An In-Depth Analysis of 3D Planning Prediction and Patient-Specific Instruments for Revision Surgery","van der Laan, Thom (TU Delft Mechanical, Maritime and Materials Engineering)","Harlaar, J. (graduation committee); van Oldenrijk, J (mentor); Cornelissen, Bart (graduation committee); Veltman, E (graduation committee); Delft University of Technology (degree granting institution)","2024","In today’s medicine, 3D planning and PSI are not commonly used in revision TKA surgery and little is known about the accuracy and implementation of these techniques in revision TKA. Therefore, the question arises, what are the potential benefits of 3D planning and PSI in revision surgery? The goal of this thesis is to explore the potential benefits of 3D planning and PSI in revision surgery. To achieve this, a retrospective study and a prospective study are performed, and a first design of a 3D printed PSI is created. For both retrospective and prospective study, 3D plannings are made of patients undergoing revision TKA, comparing the pre-operative planning with the post-operative results based on size prediction, augmentation prediction and component placement analysis. The results of the studies conducted in this research align closely with each other, providing similar results for size and augmentation prediction and component placement analysis. All in all, these studies indicated consistency and reliability of the 3D prediction in different scenarios, affirming the potential of 3D planning in revision TKA surgery. Additionally, the first design for a PSI, a 3D-printed guide, is constructed. This design incorporates several important landmarks for component placement during revision TKA, increasing the outcome of these surgeries, especially if landmarks are missing or unidentifiable during surgery. Together 3D planning and PSI have a bright future in revision surgery, however, future research needs to be conducted to implement 3D planning and PSI in modern-day healthcare.","TKA; revision; 3D planning; PSI","en","master thesis","","","","","","","","","","","","Technical Medicine","TM30004; 35 ECTS",""
"uuid:0150a022-d7e6-46d9-8c8f-3c50d5962637","http://resolver.tudelft.nl/uuid:0150a022-d7e6-46d9-8c8f-3c50d5962637","The fast track to flexibility in public procurement: An exploratory study on institutional design concepts for the Dutch Railways using a morphological chart","Schenk, Nena (TU Delft Technology, Policy and Management)","Renes, Sander (mentor); Veeneman, Wijnand (mentor); Smulders, T. (graduation committee); Michielsen, A.L.P.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Fast developing technology offers many opportunities for innovation and optimization in the public domain. However this sector is bound to European directives on public procurement transposed into national law and therefore bound to the mandatory procurement of, among others, their assets. This legislation provides a limiting framework in which, after awarding the contract, little room for design adjustments is perceived to be left. As a result assets, especially with long-term procurement processes, are not in accordance with current technological development. The limited amount of literature on this subject provides mitigation in very specific cases, but no overview of flexibilities in the procurement process exists, which is therefore the objective of this research. The Dutch railway sector was used as context of this research and since most process design choices are made by the procurer, the Nederlandse Spoorwegen (NS) [Dutch Railways].
First, the current process was mapped out using the functional modelling technique IDEF0 based on which a first identification of flexibilities was performed. Subsequently, a first version of a morphological chart (MC) was made. This is a product design tool, in this research used, tested and validated for institutional design, as a parallel research focus supporting the exploration of flexibilities. The MC was developed and validated on its content as well as its use as institutional design tool. Additionally, validated trends were identified in the combination of flexibility aspects, followed by the exploration of a future institutional design for the NS.
During this research four additional construction rules for the MC were found, being (1) within scope of action, (2) readability, (3) abstraction level and (4) informational value, for which a variety of practical solutions are suggested. These were adhered to in the developed MC and resulted in the validation of this tool to be useful as systematic, substantiated and concrete guidance in the discussion of process design.
Using these developed conditions for the MC enabled identification and representation of aspects enhancing flexibility, being split into three chapters being Product, Contract and Market Approach. Validated conceptual designs enhancing flexibility were created by combining different fragments of solutions into one conceptual design. Six of these were created, one being the “traditional” procurement, four were based on collaborative practices and the last was based on the product to be part of a network. These four conceptual designs based on collaboration focused on: a partnership for the separate development of innovation, international collaboration and supplier-procurer collaboration of a light and more extensive degree.
Applying this to the case of the NS shows that separate innovation and extensive collaboration are perceived to be most suitable as starting point of their future procurement design.
Altogether it can be concluded that commencing a shift from a vertical to a horizontal approach within the buyer-supplier interaction is essential, placing greater importance on formalizing the partnership rather than specifying precise outcomes. The required redesign for enhanced flexibility to intermediate design changes in public procurement processes can be obtained by using the developed MC as guidance for discussion on the process design...
During an explosion, the energy released from the blast will be absorbed by the composite material. This often results in the delamination of plies within the laminate. Due to the delamination, bending loads will be taken over by membrane loads. This is proven advantageous for composite materials as they are stronger in membrane loading.
Unfortunately, modelling sizeable composite structures with a Direct Numerical Simulation (DNS) requires the use of a lot of elements. This, in turn, results in long computational times, particularly for non-linear analyses. Multiscale modelling is a possible solution to this problem.
This study explores the method of Computational Homogenisation for delamination in composite laminates as an alternative to 3D DNS modelling. Two-dimensional Shell-Interface-Shell elements (SIFS elements) are introduced on the macroscale. These double-layered shell elements consist of two stacked Mindlin-Reissner shell elements with an interface element connecting the two shells. Each integration point of a SIFS element is linked to a mesoscopic 3D coupled Representative Volume Element (cRVE), which is also split into two shells with an interface in between. By applying linear and periodic boundary conditions that incorporate the macroscopic strains on the cRVE, mesoscopic stresses are determined, leading to macroscopic stresses and the macroscopic stiffness matrix.
The proposed multiscale framework is validated by a set of load cases with different ply configurations. The results are then compared to those of a 3D DNS. The multiscale framework performs reasonably well; however, it is not without its limitations. Firstly, the cRVE exhibits width dependence, requiring the implementation of a sufficiently narrow cRVE for accurate results. Additionally, SIFS elements may lack kinematic consistency with 3D solid elements, constraining certain deformations and resulting in overly stiff responses for SIFS analyses. The proposed multiscale framework might not perform as accurately as the 3D DNS in specific load cases, one of which is explored in this work.
Returning to the original goal of this work for the multiscale model, certain extensions still need to be implemented to design composite laminates for blast protection. Implementation of the arc-length method will provide insight into snapback behaviour that could occur during loading. Next, the macroscale and mesoscale models need to be adapted for multiple delaminations over the height of a laminate. Furthermore, the implementation of dynamic loading is a necessary step, as blast loads induce strong dynamic behaviour. Finally, the integration of Artificial Intelligence / Machine Learning into the framework could improve the model by further reducing computational time.","Computational Mechanics; Computational Homogenisation; Multiscale Framework; Composite Laminates; Delamination","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:cc9f60a7-9926-4954-8427-be2437c4e355","http://resolver.tudelft.nl/uuid:cc9f60a7-9926-4954-8427-be2437c4e355","Finding Companions in the YSES IFS Data","Burr, Zach (TU Delft Aerospace Engineering)","Kenworthy, M.A. (mentor); Delft University of Technology (degree granting institution)","2024","Planet formation is a topic that still has many unanswered questions, particularly regarding the formation of wide orbit giant planets. Detecting more of these types of planets can aid understanding of how they form by giving examples of what kind of planets exist. Direct imaging is uniquely well suited to detecting these kinds of planets, which is why several direct imaging surveys have been launched with this goal. Including the Young Suns Exoplanet Survey, which is looking specifically for wide orbit giant planets around young solar analogues.
The survey makes use of the IRDIS instrument on the VLT, which images in parallel with the IFS. By analyzing the IRDIS data, the researchers have already detected three planets in two systems. However, the IFS data has not yet been analyzed. That was the goal for this thesis: to contribute to YSES and the broader scientific community by analyzing the IFS data to search for potential companions.
In total, 41 observations of 37 different star systems were analyzed. The data was pre- and post-processed (with SDI), and candidate companions were identified and examined. Eight candidate companions were found in five systems. Of those candidates, all were determined to be background stars and not related to the host. Two were bright single stars. One system had two M-dwarf candidate companions that were in a binary system together. The last two systems each had two candidate companions, and in both cases it was revealed that these candidates form a triple system of their own, with one of the candidates being an unresolved binary. One of these triple systems was previously thought to be an equal mass binary with the host star, however this thesis has proved that this is not the case.
All of the systems analyzed also had detection limits computed. This gives a good idea for what size of objects could have been seen if they had been in the images. For most systems, the limit after SDI is around 5-10 Jupiter masses at 0.3""-0.5"". This leaves room for planetary mass companions in these systems which could be found in follow-up observations. The two background triple systems should also have follow-up observations performed, as this configuration is somewhat unusual and warrants further study.","exoplanets; high contrast imaging; image processing; differential imaging; direct imaging; giant planets","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:a144a873-d51b-4b52-8abb-0c07868f5f92","http://resolver.tudelft.nl/uuid:a144a873-d51b-4b52-8abb-0c07868f5f92","Dynamic Target Time Management with Reinforcement Learning: A case study on Zurich short-haul regulated arrivals","Caranti, Leonardo (TU Delft Aerospace Engineering)","Ribeiro, M.J. (mentor); Carré, Marie (graduation committee); Santos, Bruno F. (graduation committee); Delft University of Technology (degree granting institution)","2024","This Master Thesis investigates the possible improvements to the Target Time Management concept to optimize the arrival flows for SWISS International Airlines. The aim is to improve operational performance based on the current model used, as well as prove that Target Time Management constitutes a valuable system to improve operations in a dynamic way. To leverage the dynamic nature of slot assignment, an environment model is created and used as training base for two Multi-Agent Reinforcement Learning algorithms. These two algorithms, Soft-Actor Critic (SAC) and Proximal Policy Optimization (PPO), are then tested against the baseline model currently used in operations at SWISS (based on Mixed-Integer Linear Programming). The four domains to measure the algorithms' performance are passenger connecting time, curfew performance, rotation delay and fairness to other airlines. The algorithms were trained in a simulation environment based on statistical representations of the dynamics of the slot allocation system of EUROCONTROL. They were then tested with new data, where they outperformed a MILP implementation in passenger connecting time and rotation delay metrics (curfew and fairness were comparable in magnitude, since the MILP was slightly unfair for SWISS and RL was slightly unfair for other airlines). PPO was then also tested on the real slot assignment environment hosted by EUROCONTROL and once again compared to a MILP approach. Here, it was found that the improvement in critical passenger connecting time was 5.0 minutes for the MILP, and 5.9 minutes for PPO. Rotation delay was improved by 0.9 minutes by the MILP, and by 4.8 minutes by PPO. PPO also made the highest delays higher and the lowest delays lower, which would require EUROCONTROL or SkyGuide representatives to interpret and make conclusions on fairness and safety. Curfew performance was optimal for both methods. In conclusion, it is proven that Reinforcement Learning techniques can aid the dynamicity of decision-making within Target Time Management. It is also proven that Target Time Management with a dynamic decision making approach can improve operational performance compared to a static one.","Air Traffic Management; Airlines Operations; Target Time Management; Machine Learning; Reinforcement Learning; Soft-Actor Critic; Proximal Policy Optimization; Mixed-Integer Linear Programming","en","master thesis","","","","","","","","2026-01-26","","","","Aerospace Engineering","","47.462075, 8.549797"
"uuid:39aa5049-4826-464d-8500-f20248e0fdee","http://resolver.tudelft.nl/uuid:39aa5049-4826-464d-8500-f20248e0fdee","Impact of Ice Sheet-Climate Interactions on Greenland Ice Sheet Mass Balance: Insights from Coupled CESM2-CISM2 Simulations","Feenstra, Thirza (TU Delft Civil Engineering & Geosciences; TU Delft Geoscience and Remote Sensing)","Vizcaino, M. (mentor); Wouters, B. (graduation committee); Steele-Dunne, S.C. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Greenland Ice Sheet (GrIS), which stores freshwater equal to more than seven meters of potential sea level rise, strongly interacts with the global, Arctic and North Atlantic climate. In a warming climate, the GrIS has been losing mass and is projected to lose mass at an increasing rate. The interactions between the GrIS and the climate have the potential to amplify or dampen GrIS mass balance responses to a CO2 forcing. We investigate the impact of ice sheet-climate interactions on the mass balance and climate of the GrIS using the Community Ice Sheet Model version 2 (CISM2) coupled to the Community Earth System Model version 2 (CESM2). We compare idealized simulations with a non-evolving and evolving ice sheet topography in which we apply an annual 1 % increase until we reach four times pre-industrial (PI) CO2 concentrations. Furthermore, we analyze an idealized simulation in which we first apply a 4x PI CO2 forcing and thereafter annually reduce atmospheric CO2 by 5 % until PI concentrations are reached. By comparison of a 1- and 2-way coupled simulation, we find significant changes in atmospheric blocking, precipitation and cloud formation over Greenland as the GrIS topography evolves, acting as negative feedback mechanisms on mass loss. Besides, we find that a uniform temperature lapse rate misrepresents temperature changes in the ablation area, leading to an overestimation of the positive melt-elevation and melt-albedo feedback in 1-way coupled simulations, resulting in an overestimation of mass loss. During a 350 year 4xPI CO2 forcing period, the ice sheet loses a total mass of 1.1 m sea level equivalent, and part of its margins retreat land inward. When applying an annual 5 % decrease in CO2 to 1xPI CO2 concentrations, melt reduces rapidly. The small discharge concerned with the retreated state of the ice sheet allows for halting the GrIS mass loss, despite a surface mass balance that is only slightly positive under a remaining global warming of 2 K. During a complex transitional phase towards a colder climate, the GrIS, Arctic and North Atlantic ocean strongly interact, causing the area south of the GrIS to transition from a ’warming hole’ towards a ’cooling hole’. Elevated atmospheric temperatures, larger ocean heat transport and a poorer state of the snowpack, compared to the initial pre-industrial state, result in limited regrowth of the ice sheet under reintroduced PI CO2 conditions.","Earth system modelling; Ice sheet modelling; Ice sheet-climate interactions; Greenland Ice Sheet; Climate change; Sea level rise","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:10645ab7-581f-4b38-88aa-5b3c767fb2c9","http://resolver.tudelft.nl/uuid:10645ab7-581f-4b38-88aa-5b3c767fb2c9","Health data sharing for clinical research : Designing a patient-centric approach","Ghasia, Zahra (TU Delft Industrial Design Engineering)","van Heur, R.J.H.G. (mentor); Bourgeois, Jacky (graduation committee); Salami, Nima (graduation committee); Delft University of Technology (degree granting institution)","2024","The use of Electronic Health Records (EHRs) has seen a breakthrough in clinical research for personalized treatments (Hamburg & Collins, 2010.) Despite the potential advantages of vast EHR data available, constraints of privacy and legislation hinder its use (Rieke et al., 2020.) Health data exists in an interconnected healthcare system (Harris et al., 2009), comprising of stakeholders responsible for health data management within the constraints of GDPR. Data sharing platforms, through the use of secure data sharing practices and encrypted technology, can potentially change the landscape of health data in clinical research (Obermeyer & Emanuel, 2016.)
This project examines the privacy and stakeholder landscape of health data sharing through an evaluation of interaction with patients. It uses a Value-Sensitive design approach (Friedman et al., 2002) to contextualize the privacy values in clinical research for patients, doctors, patient organizations, clinical researchers, pharmaceutical industries and data sharing platforms. Through this examination, it identifies lack of transparent data use and research practices as a hindrance to the use of health data on a data sharing platform. Transparency is examined through a patient-centric lens, wherein information and control over preferences of participation are found to be crucial. This evaluation further identifies roles of researchers and data sharing platforms for a transparent approach.
The project results in a concept termed ‘Negotiated Consent‘, which examines the use of data sharing platforms in offering individuals transparency. This is contextualized within patient consent for recruitment in clinical research, wherein data sharing platforms are responsible for data processing through the use of Federated Learning and Natural Language Processing. The stakeholder landscape for the same is defined in 3 functions for the platform- Access to Health Data, Patient Consent and Recruitment, and Data-Driven Insights. The results are tested through a study of the prototyped user experience of ‘Negotiated Consent‘, wherein participants emphasized ‘feeling more informed and in control’ in comparison to the current informed consent.
Whilst the study examines a patient-centric approach towards health data sharing for clinical research, it has limitations in addressing the multi-faceted reality of patient’s lives that informs their choices to enrol in clinical research. The further development of patient-centricity in the domain requires examination of motivations through a lens of disease-specific patient groups, demographics, and personal history with diseases (Hong et al., 2020) will lead to richer insights. Furthermore, Negotiated Consent is a proof-of-concept for dynamic consent (Mascalzoni et al., 2022), that demonstrates revision over participation choices within one moment of patient consent.
This project contributes to an understanding of the privacy and stakeholder dynamics for health data sharing. It illuminates a transparent approach to recruitment for clinical research through a collaborative effort that emphasizes on patient-centric approaches. Using a mutually responsible approach, data sharing platforms can lead the way for use of data in clinical research that simultaneously empowers patients to control their data through a negotiated lens.","Health Data; Privacy; Informed Consent; Data sharing; Machine Learning; Ethics of Technology; Health Tech; GDPR; Data donation; Value-Sensitive Design; Systemic Design; Design for healthcare","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:3ca9c554-1473-48a2-813b-52dd0c2753d9","http://resolver.tudelft.nl/uuid:3ca9c554-1473-48a2-813b-52dd0c2753d9","Neurosymbolic AI for Complex Event Detection with Expert Knowledge","van Wijk, Willemijn (TU Delft Mechanical, Maritime and Materials Engineering)","Hellendoorn, J. (mentor); Hernández, Carlos (graduation committee); Boldrer, M. (graduation committee); Aljawaheri, D. (mentor); Delft University of Technology (degree granting institution)","2024","","","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:37b9c0d9-f07a-40a9-b93e-ea41ab5f02e2","http://resolver.tudelft.nl/uuid:37b9c0d9-f07a-40a9-b93e-ea41ab5f02e2","Looking for the soft spot in “The Iron Triangle”: Explorative research into the effect of serious gaming on the level of empathy and the experienced client contractor collaboration of professionals in the construction industry","Ambagts, Janneke (TU Delft Civil Engineering & Geosciences)","Bekebrede, G. (mentor); Houwing, E.J. (mentor); Koolwijk, J.S.J. (graduation committee); Van den Berg, Nick (mentor); Delft University of Technology (degree granting institution)","2024","This master thesis addresses the challenge of project performance in the Civil Engineering (CE) sector, emphasizing the significance of collaboration, communication, and understanding among interdisciplinary project teams. Focusing on the role of empathy in contractor-client collaborations, the study investigates the potential impact of serious gaming on enhancing the level of empathy of CE professionals.
The research uses a mixed-method pre- and post-test design, utilizing a quasi-experimental approach without a control group. The study uses the Interpersonal Reactivity Index (IRI) and the short version of the Empathy Quotient (EQ short) questionnaire to measure the individual self-reported level of empathy before and after participants engage in the serious game 'Fouten maken Moed.' A subscale of the IRI showed a slight decrease in the level of empathy that possibly can be explained by a growth in awareness. While quantitative analysis did not reveal a significant change in overall empathy scores, qualitative findings suggest positive influences on interpersonal dynamics, openness, and talkativeness among participants, aspects that relate to the concept of empathy.
Despite the limitations that arise from researching a difficult to operationalise main concept (empathy), a small sample size and having no control group. It can still be concluded that the intervention, including the serious game, created an environment that was conducive for participants to share personal information and vulnerabilities, fostering a sense of approachability and relatability. It seems like the game can, if facilitated well, function as a context-setter for Project Follow Up (PFU) or Project Start Up (PSU) meetings, enhancing collaboration between contractors and clients.
Although the study acknowledges the fact that results cannot be generalised, it recommends refining empathy measurement methods and enhancing training interventions' briefing and debriefing aspects. Future research should include more extensive experiments with control groups to better isolate the impact of serious gaming on empathy levels in the CE sector, providing a more comprehensive understanding of the game's potential contributions to collaboration and project performance through empathy
Goal
The development and validation of an improved GelMA disturbed flow model for in vitro validation of cell culturing under disturbed flow conditions.
Method
To determine the compressive modulus of the GelMA, three sets of samples are produced with different exposure times to UV light. These samples were subjected to compressive testing to determine the pressure/strain characteristics of the GelMA.
Furthermore, the disturbed flow model is partially newly designed. A new cover allows for incorporating a pressure sensor to determine if the model can operate under physiological pressure levels. To achieve this pressure, a pump with adjustable flow output is selected. Flowmeters were included in the test setup to determine when the correct flow was performed. The balance between the right pressure and flow results is described as the systemic vascular resistance of the disturbed flow model.
Results
The results of this study are the findings on the compressive modulus of the GelMA used for the research in the LUMC. The optimal curing time for achieving 10\%-15\% strain at physiological pressure levels (80-120 mmHg) was identified as 150 seconds, indicating a clear relationship between curing duration and GelMA's mechanical properties. The disturbed flow model improved the mean pressure to 113 mmHg, showing a more consistent pattern but with systolic pressure exceeding the desired range. Placing the sensor directly on the disturbed flow model improved results, suggesting potential improvements over the current IBIDI pump system waveform. The experiment targeted a total flow of 12 ml/min, similar to earlier experiments. It prevented cells from washing away from the GelMA substrate, with a split between inlets and outlets of 15\%-85\%. Initial runs used syringes for flow measurement, achieving a total flow of 11.8 ml/min, with a split of 1.8 ml/min and 10 ml/min, matching the desired split. With a pressure of 113 mmHg and total flow of 11.8 ml/min, the calculated total system resistance is 9.58 mmHg*min*mL-1 Peripheral Resistance Units (PRU), equivalent to 766 dynes*sec*cm-5 in cgs units.
Conclusion
This project successfully determined GelMA's possibilities in creating advanced in vitro vascular models, particularly under disturbed flow conditions. Through experimentation, GelMA demonstrated the ability to mimic native blood vessels' mechanical properties, offering improvements for future vascular research. Optimal conditions for GelMA were identified with a curing time of 150 seconds, resulting in a strain of 10\%-15\% under physiological pressures (80-120 mmHg). The fluidic test setup closely approached physiological pressures and allowed for two pathways resembling arteriovenous fistula (AVF) or bypass conditions. The correct flow of 12 ml/min and a split of 15\%-85\% was achieved. Determining systemic vascular resistance (SVR) contributes to setting up future experiments quicker and accurately within desired parameters.","mechanical characteristics; Disturbed flow model; GelMA","en","master thesis","","","","","","","","2026-02-01","","","","Biomedical Engineering","An in vitro bifurcated disturbed flow model for hemodynamics study on endothelial cells",""
"uuid:43c3b7dd-d007-4335-825b-768d0c70d5bf","http://resolver.tudelft.nl/uuid:43c3b7dd-d007-4335-825b-768d0c70d5bf","Reynolds-Averaged Navier–Stokes Simulations of Unyawed and Yawed Rotating Wheels","Alsudani, Ali (TU Delft Aerospace Engineering)","Modesti, D. (mentor); Delft University of Technology (degree granting institution)","2024","Aerodynamics has been an important aspect of the automotive industry for decades with the wheels being a notable contributing factor. They are responsible for up to 25% of the drag in the case of a general passenger car and up to 30%-50% for an open-wheeled race car.
In this research, the aerodynamic characteristics of an isolated rotating wheel in contact with the ground will be investigated using RANS simulations. Open-source software OpenFOAM is used for the simulations and the mesh is generated using cfMesh. The wheel geometry used in this work is the ""Fackrell A2"" and the contact region is modelled using the step size approach.
Firstly, the sensitivity of the step size, mesh fineness and domain size is assessed for an unyawed wheel and the k −ω SST, Realizable k − ε and Spalart-Allmaras are tested. Among these models, the Realizable k −ε model is chosen to investigate the effect of yaw and Reynolds number. The yaw is investigated up to 10° in increments of 2° and the Reynolds number effect is investigated for the Reynolds number range of 10 000 — 1 000 000.
The results show that yawing the wheel yields a fairly linear increase in the drag coefficient and the side force coefficient. Furthermore, only a significant increase of the lift coefficient is observed when going from a yaw of 4° to 6°. Moreover, the wake becomes asymmetric with increasing yaw. The vortex at the leeside on the ground becomes bigger while the vortex at the windward side becomes smaller. Additionally, a new vortex in the upper part of the wake further downstream is formed and the wake becomes shorter.
Increasing the Reynolds number, the value of the drag coefficient decreases and of the lift coefficient stays approximately the same. Moreover, the Reynolds number seems to affect the pressure peaks upstream and downstream of the contact patch. A lower value results in larger magnitude peaks. Finally, in the investigated Reynolds number range the wake structures are the same. However, the wake is bigger when the Reynolds number is smaller and asymmetry was observed in the wake for ReD = 1 000 000, which can be caused by the asymmetry of the wheel.
Both the integration and compatibility potential of the propellants and the propulsive and mass performance potential were investigated. The integration and compatibility potential were evaluated through a qualitative assessment based on non-performance-related propellant characteristics. Furthermore, eight fuels were subjected to a more detailed assessment covering the criteria of handling toxicity, environmental toxicity, material compatibility, handling and storage, development level, and coolant qualities. RP-1 was found to be the most suitable fuel with respect to the specific criteria, while ethanol, methanol, isooctane, and isopropanol were also found to be promising alternatives. A launch vehicle model was created to evaluate the propulsive and mass potential of twelve fuels proposed based on earlier findings. This model included a propulsion model, a mass and sizing model, and an aerodynamics and trajectory model, which were all connected through a global optimisation model. In terms of propulsive potential, the cryogenic propellant hydrolox was predicted to have a 25% higher vacuum specific impulse than the best-performing HTP-based propellant DMAZ/HTP. In terms of the specific impulse density, kerosene-derivative fuels in combination with HTP were predicted to have a better performance than hydrolox and than that other conventional storable propellant UDMH/NTO. The optimised gross lift-off mass for the launch vehicle concepts employing HTP was found to be 42-61% higher than the gross lift-off mass of Ariane 6 predicted through the model. Separately, the payload capability of the HTP-based launch vehicle concepts was predicted to be at least 38% lower. In both cases, RP-1/HTP was reported to be the HTP-based propellant with the best performance, while DMAZ, isooctane, and isopropanol could be regarded as suitable alternatives. All of these propellants also outperformed UDMH/NTO. Through a sensitivity analysis, it was discovered that up to 270kg additional payload could be taken to GTO upon considering elevated chamber pressures in the HTP-based engine design. In the end, the high potential and promise of HTP were confirmed as it was concluded that increased development efforts towards HTP-based storable bi-propellant rocket engines could not only lead to a promising alternative to cryogenic propellants but could also allow for the complete replacement of toxic hydrazine-derivative fuels.","Hydrogen peroxide; Launch Vehicle optimization; propellant; Trade-off Analysis; Trajectory optimisation; Analytical hierarchy process","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:57fe3082-7584-463e-99d7-e98e2b334d25","http://resolver.tudelft.nl/uuid:57fe3082-7584-463e-99d7-e98e2b334d25","Data Governance Challenges at Dutch Financial Services Firms","Koeleman, Friso (TU Delft Technology, Policy and Management)","Smit, A.C. (mentor); Zuiderwijk, AMG (mentor); Delft University of Technology (degree granting institution)","2024","In 2006 already, Clive Humby said: “Data is the New Oil!” and like oil, data needs “infrastructure” to be gathered, analyzed and used. This infrastructure is called data governance and it is essential in today’s data-driven era to ensure availability, quality and security of an organization’s data. This is especially true for financial services firms, which deal with massive amounts of highly-sensitive personal data, such as names, dates of birth and bank details, and operate in a highly regulated environment. Therefore, it is essential that any new data governance policies, such as a transition to a cloud-based data governance policy, are implemented as quickly and efficiently as possible. Research thus far has primarily focused on the importance of data governance and developing data governance models. However, the implementation of data governance proves to be not without its barriers. Some research has been done into what barriers organizations encounter when attempting to implement new data governance policies, but strategies to deal with these barriers have not been found in existing literature. Therefore, this research seeks to answer the question:
“How do managers at financial services firms in the Netherlands deal with the barriers to successfully implement new data governance?”
This thesis used a literature study, twelve individual interviews with PwC employees who were heavily involved in data governance implementation processes at financial services firms in the Netherlands and a focus group interview with experts from PwC to determine what barriers financial services firms face when they are implementing new data governance, which strategies they use to deal with these barriers and what key factors influence the decision-making in this implementation process. These three elements were then used to find the answer to how managers at financial services firms in the Netherlands successfully implement new data governance.
The research attempts to close the gap in the literature surrounding the general strategies that are used to navigate the barriers that inhibit (new) data governance implementation. Furthermore, it can help further identify which barriers (financial services) firms face when attempting to implement new data governance and aid in the development of more effective data governance framework. Additionally, the improved understanding of how financial services firms navigate the barriers that inhibit data governance implementation can help maintain trust in financial services firms and the financial system as a whole and it can aid in the development of more effective regulatory frameworks to increase how fast financial services firms are able to comply to them.
The barriers financial firms face to implementing data governance that were found in this thesis were sorted into four broad categories: “Organizational culture/structure”, ”Senior management priority”, ”IT performance” and ”Lack of information”. Examples of these barriers are: a “restrictive mindset”, “unfocused strategy”, “incompatible IT systems” or a “lack of information on technoliii
ogy”. The strategies the firms used to deal with these barriers were also sorted into four different categories: “Senior management vision/championing”, “Technological tools/skills”, “Stakeholder involvement/consensus” and the “Business case” strategy. Examples of these strategies are: “developing a global vision”, “standardization of technology”, “stakeholder involvement” and “building a broad business case”. A complete overview of the barriers and their corresponding strategies that were found in this thesis can be found in figure 4.1...
This research focuses on utilizing satellite data to determine sand wave characteristics. Satellites like Sentinel-1 and Sentinel-2 which are used in this research have global availability of data over multiple years at a 10 meter resolution. Sentinel-1 has a Synthetic Aperture Radar (SAR) which creates images by sending out microwave signals and recording the strength and the time delay of the returning signals. Sentinel-2 has an optical instrument which creates images by recording the reflected light from the sun on the earth's surface. Sand waves were detected through the change in Sea Surface Roughness due to the current interaction with the sea bed as described in the Alpers-Hennings Model. The difference in resulting sand wave characteristics between SAR and optical images were determined.
Three initial areas of interest off the coast of the Netherlands were chosen based on their different characteristics. Hoek van Holland contains short irregular sand waves. Holland Kust Zuid contains long regular sand waves. The third location is Alkmaar, which contains no sand waves and was used to determine the results of the methodology when a location has no sand waves present. The first step was to determine the environmental conditions necessary for sand waves to be visible in satellite images. Image collections for an area of interest over a set period of time were filtered based on the environmental parameters. These parameters include mean glint angle and cloud cover for optical images, and wind and current speed for both optical and SAR images. Threshold values were determined through literature. The wind speed should be between 3 and 12 m/s, the current speed should be greater than 0.4 m/s, and the mean glint angle for the latitude of the North Sea is less than 56 degrees. The image collection for the year 2021 for the three different areas of interest was filtered based on these parameters. The final collection after filtering was then manually checked for the visibility of sand waves. This number was lower than the initial filtered collection which means that there are additional factors that need to be accounted for.
A methodology was created to determine the sand wave characteristics over an area by applying a Fast Fourier Transformation (FFT) and calculating the sand wavelength and wave angle from the resulting signal. This was first applied to the three different areas of interest within the North Sea, Hoek van Holland, Holland Kust Zuid, and Alkmaar. Using the resulting image collection at these locations the sand wave characteristics were calculated. Although there are no sand waves at Alkmaar, due to the methodology, sand wave characteristics are still calculated. Also, the methodology works better at Holland Kust Zuid with long regular sand waves compared to at Hoek van Holland where sand waves are shorter and irregular.
To determine at which scale the satellite images should be viewed at to obtain information on the sand wave characteristics, the data was split into different area sizes and a FFT performed. The resulting average values and spread of the sand wavelength and wave angle were compared at different area sizes for optical and SAR images, as well as Multi-Beam Sonar (MBES). This resulted in an area of 5 by 5 kilometers which allows for the correct signals to be read across different possible sand wavelengths. Also, the effect of different sources of noise on the FFT and calculation of the sand wave characteristics for optical and SAR images was determined. From this it was seen that ships within a satellite image containing sand waves introduce a very strong signal within the FFT. This prevents the sand wave characteristics from being calculated correctly. Wind farms within a satellite image affect SAR images, and not optical images. Suspended sediment transport and clouds, which only occur within optical images, have different effects. Optical images are not affected by sediment transport because the current direction will always be perpendicular to sand wave crests and therefore does not influence the calculation of sand wave characteristics. Clouds, similarly to ships, introduce a strong signal within the FFT which blocks the signal of the sand waves. Dark patches (like rain cells), which effect SAR images, block the visibility of sand waves within satellite images and therefore there no signal corresponding to sand waves within the FFT can be found.
Then, the area of interest was increased to the entire southern North Sea. Satellite images over the North Sea were downloaded in 5 by 5 km tiles for a single satellite pass over per year where the environmental parameters met the conditions necessary to view sand waves. As for the local scale cases, a FFT was applied to each tile and the sand wave characteristics were calculated including sand wavelength, wave angle, density, and spatial frequency. The differences in characteristics were compared from year to year. Typically, the difference is less than 200 meters in sand wavelength, however there are points where the difference is much larger. This is due to noise affecting the calculated value. Additionally, the data for wind speed and current speed for the date and time of the satellite image was determined. From this it is seen that optical images can contain sand waves at lower wind and current speeds, at the lower end of the boundary conditions. Sand waves are only visible in SAR images when the current speed is very high. Also, the results were compared to the water depth of the North Sea. At depths less than 40 meters which occurs on the Dutch Continental Shelf sand waves are almost always visible with the correct environmental parameters. At depths greater than 40 meters, which occurs on the West side of the sand wave field, sand waves are not visible consistently. The calculated sand wavelength over the North Sea was also compared to wavelength obtained from MBES. This resulted in low correlation values for both optical and SAR. As a result, noise present in satellite images should be taken into account.
By both applying the methodology to smaller scale cases and to the entire North Sea, it is possible to detect sand waves in different environments. Using the change in sea surface roughness due to sand waves it is possible to see sand waves in both optical and SAR satellite images. By applying the Fourier transformation the average sand wave characteristics over an area can be calculated. The methodology is limited by environmental parameters and noise that can be present in the satellite images. SAR and optical images require specific wind and current conditions, while optical images also requires specific mean glint angles and a low cloud cover. Different sources of noise also have a negative impact, adding signals to the FFT that do not correspond to the sand waves. Although the methodology proposed in this thesis is successful in determining the average sand wave characteristics over an area, more research for this topic would increase the possibilities. This includes using additional conditions for filtering image collections for sand waves, removing sources of noise, utilizing higher resolution satellite data, and testing the methodology on different sand wave fields that have different characteristics, such as symmetry. There are still many possibilities that can be explored in using satellite images to determine sand wave characteristics.","Sand Waves; Remote Sensing; Satellite Imagery","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:6afd744c-906a-430d-a573-4382492596b3","http://resolver.tudelft.nl/uuid:6afd744c-906a-430d-a573-4382492596b3","Model Predictive Control for a Heat Pump System with Thermal Storage Tanks: Economical Operation and Demand-Side Management","Tang, Weihong (TU Delft Mechanical, Maritime and Materials Engineering)","Keviczky, T. (mentor); Li, Y. (mentor); Walker, S. (graduation committee); Khosravi, M. (graduation committee); Delft University of Technology (degree granting institution)","2024","In light of the pressing challenges posed by global climate change and the imperative to reduce CO2 emissions, innovative approaches in energy management are critically important. This thesis presents an exploration of heat pumps integrated with Thermal Storage System (TES) systems, an area of research and application pivotal for enhancing energy efficiency and environmental sustainability. The combination of heat pumps and TES systems emerges as a key factor in reducing greenhouse gas emissions and optimizing the utilization of renewable energy. Such integration plays a crucial role in minimizing operational costs, reducing environmental negative impact, and augmenting system efficiency by enabling the storage and later use of energy from renewable sources. Moreover, this integration facilitates the effective management of demand-side energy, bolstering the capacity to incorporate fluctuating renewable generation into the energy grid. This is achieved by dynamically load shifting to balance energy supply and demand.
A central aspect of this thesis is the utilization of Model Predictive Control (MPC) for advanced energy management. The research delves into the use of MPC to optimize the operational economy of the system, aiming to maximize cost-efficiency. Additionally, an innovative MPC-based Demand-Side Management (DSM) strategy is introduced. This strategy involves two key steps: initially establishing a model to assess the system's energy flexibility, followed by harnessing this flexibility to respond to demand fluctuations. Such an approach facilitates dynamic adaptation to varying energy demands, ensuring optimal resource utilization. The predictive capability of MPC, which accounts for future disturbances including demand forecasts, electricity pricing, and weather conditions, is exploited to improve the system’s responsiveness and operational efficiency.
Experimentation was conducted both in simulations and through the implementation in real systems. These practical applications demonstrated significant savings in energy costs and energy consumption, achieving economical operation. Furthermore, the execution of the proposed two-step demand-side management strategy successfully managed energy demands. This not only underscores the practical effectiveness of the proposed system but also highlights its potential in real-world scenarios.
In summary, this research underscores how the integration of heat pumps, TES systems, and advanced control strategies like MPC can significantly improve energy efficiency, reduce operational costs, and enhance energy flexibility. It highlights the vital role of incorporating sophisticated control mechanisms into sustainable energy systems, aligning with the strategic goals of modern energy policies and advancing the field of sustainable energy management.","MPC; Heat pump; Demand Side Management; Model Predictive Control","en","master thesis","","","","","","","","2026-01-25","","","","Mechanical Engineering | Systems and Control","",""
"uuid:ad06b7b5-8467-4ca5-94b0-66218c9c4b32","http://resolver.tudelft.nl/uuid:ad06b7b5-8467-4ca5-94b0-66218c9c4b32","Towards a predictive maintenance strategy for Passenger Boarding Bridges at the airport","Meijs, Lester (TU Delft Mechanical, Maritime and Materials Engineering)","Pang, Y. (mentor); Negenborn, R.R. (graduation committee); Caspani, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","With Schiphol Airport's flight numbers growing, working assets are essential to ensure on-time processes. The Passenger Boarding Bridge (PBB) is a critical asset in the airport's turnaround process. By ensuring that the asset is working properly, the operational processes can run efficiently. Currently, improving the reliability of the PBB when in use happens after the fault has occurred. With this maintenance strategy, the PBB data is not used to predict the future health state of the PBB. Literature shows that the PBB can be classified as a multi-component system. Research in the predictive maintenance strategy of multi-component systems is still in an early phase. Research until now is more theoretical than practical, and an investigation into applying theoretical knowledge in practice is needed. With the upcoming developments of Industry 4.0, a Cyber-Physical System (CPS) architecture is proposed for a multi-component system. This architecture has been applied to the PBB to develop and use a predictive maintenance strategy for this system. Based on the implementation of a simulation model, the output showed that the proposed CPS architecture enabled the development of a predictive maintenance strategy for the PBB. With this strategy, proactive maintenance is planned while the system's reliability is held on a preset level to ensure a working asset during in-time use.","Predictive Maintenance; Cyber-Physical Systems; Passenger Boarding Bridge; Amsterdam Airport Schiphol; Prediction Model","en","master thesis","","","","","","","","2026-01-25","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:05113292-4aaa-4600-9fc6-09fe556fbdc8","http://resolver.tudelft.nl/uuid:05113292-4aaa-4600-9fc6-09fe556fbdc8","Improving Access to Laparoscopic Bilateral Tubal Ligation in LMICs: A Novel Lifting Device with an Integrated Imaging System","den Butter, Robbert (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Medical Instruments & Bio-Inspired Technology)","Oosting, R.M. (mentor); Dankelman, J. (mentor); Diehl, J.C. (graduation committee); Horeman, T. (graduation committee); Iskander-Rizk, Sophinese (graduation committee); Delft University of Technology (degree granting institution)","2024","Background: Bilateral tubal ligation (BTL) is the most common method of contraception worldwide because it is safe and effective. However, its accessibility remains unequal among women in rural India, in part due to a lack of laparoscopic equipment. Rural hospitals therefore resort to gas insufflation-less laparoscopic surgery (GILLS) because it requires less complex equipment. The pneumoperitoneum is replaced by an abdominal wall lift (AWL) device, but these devices suffer from limitations concerning visibility and working space.
Methods: Initiated by the identified medical needs in rural India, a novel AWL device with an integrated imaging system is designed based on methods from literature and input from local end-users. It is a stainless steel hollow circular hook housing an LED lighting system and a 5 MP camera module. It can be connected to any laptop with a USB-A port. The device substitutes for both the traditional AWL device and currently used laparoscopes. The design is exemplified by a fully functional aluminium prototype used for verification and validation.
Results: The selected camera module is the key technology of this design because it provides state-of-the-art imaging at an unmatched price point. The lighting system used for the prototype does not provide enough light, has an asymmetric illumination distribution, and generates too much heat. A structural strength test showed that the strength of the prototype exceeds the material-adjusted design load by 30%. Furthermore, the prototype is cost-effective, lightweight, compatible with current AWL systems, and has limited waterproofness. User tests with an expert rural surgeon confirmed that this design has the potential to improve surgical outcomes of BTL and other procedures, and can increase access to specialized medical care in rural India.
Conclusions: It is strongly recommended to continue the development of this AWL device. The focus points should be the shape and size of the loop (and related cost-effective production techniques), a new lighting system, and the waterproofness of the device. Collaboration with rural surgeons and local biomedical engineers is crucial for context-driven development and implementation.","Gasless Laparoscopy; GILLS; Abdominal Wall Lift Device; LMICs; global surgery","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:6645b15b-ed31-45e6-b14d-96d516d23cf0","http://resolver.tudelft.nl/uuid:6645b15b-ed31-45e6-b14d-96d516d23cf0","Water Boards Navigating the Transition Towards Circular Use of Dredged Sediment","Loudon, Joséphine (TU Delft Technology, Policy and Management)","Pesch, U. (mentor); Quist, J.N. (graduation committee); Delft University of Technology (degree granting institution)","2024","This research critically examines Dutch water boards' journey towards the circular use of dredged sediment, set against the backdrop of water boards’ ambitious targets for circularity. Water boards, carrying the majority of responsibility for regional dredging, must navigate the surplus of approximately 40 million cubic meters of dredged material annually, with the declining availability of disposal permits. This has made the pursuit of circular solutions essential. Despite the central role of water boards in managing dredged material, particularly in freshwater contexts, there has been a lack of empirical study on how these actors are navigating and shaping the transition towards the repurposing of this material. As they confront this challenge, Dutch water authorities have set bold targets, aligning with national circularity goals: achieving 50% circularity by 2030 and reaching 100% by 2050.","Dredged material; Circularity; Water Boards; Discourse; Sustainability Transitions; Discursive Institutionalism","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:9afc5dfc-fcf7-41a1-a66e-f23b3714326c","http://resolver.tudelft.nl/uuid:9afc5dfc-fcf7-41a1-a66e-f23b3714326c","Real-time Adaptive Nonlinear MPC for Collision Imminent Control and Planning in Automated Vehicles: Enforcing constraints and utilizing the full control potential","Trip, Kenrick (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Mechanical, Maritime and Materials Engineering)","Mazo, M. (mentor); Spaan, M.T.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","With the introduction of autonomous vehicles on public roads, their performance in emergency situations has become a strong focus. Collision Imminent Control (CIC) concerns the planning and control of aggressive evasive maneuvers for collision avoidance of automated vehicles. CIC is implemented using adaptive Nonlinear Model Predictive Control (NMPC), which considers obstacles and road barriers for combined trajectory re-planning and control. To achieve real-time performance, the prediction model complexity is often reduced, which can lead to an under-utilization of the control potential. The aim of CIC is to use as much of the control potential of the vehicle as possible while remaining real-time viable.
In this research, CIC is implemented using objective-based collision avoidance based on the distance to obstacles and road boundaries. Different collision avoidance formulations were derived and compared on accuracy and real-time performance. The control potential of the vehicle was further exploited by a computationally efficient vehicle model that employs differential braking. The NMPC problem is solved using Sequential Quadratic Programming (SQP) with Real Time Iterations (RTI). Different techniques that reduce the computation time were compared. Sparse solvers and variable timesteps were found to be most significant.
The robustness of the controller was improved by friction estimation. The controller is furthermore demonstrated to work on highly curved roads and in scenarios with dynamic obstacles. The controller is implemented on the hardware of a real autonomous vehicle and simulated on a closed-loop embedded system. Combining all these elements results in a CIC controller that can apply more control potential and reach control frequencies upwards of 100 Hz, increasing the level of safety in vehicle collision avoidance.","NMPC; Real-time control; Collision avoidance; Path planning; Autonomous vehicles","en","master thesis","","","","","","Double degree in Mechanical Engineering | Systems and Control and Electrical Engineering | Embedded Systems","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:ddcc3503-f815-4dd4-88b5-56076bf8f817","http://resolver.tudelft.nl/uuid:ddcc3503-f815-4dd4-88b5-56076bf8f817","Optimisation of the Absolute Sine for Dynamic Induction Control: Power Maximisation of a Wind Farm Through Infinite and Finite Horizon Control","Kuppers, Jean (TU Delft Mechanical, Maritime and Materials Engineering)","van Wingerden, J.W. (mentor); van den Broek, M.J. (mentor); Mulders, S.P. (graduation committee); van Vondelen, A.A.W. (graduation committee); Delft University of Technology (degree granting institution)","2024","The clustering of wind turbines in a wind farm results in overall efficiency losses as downstream wind turbines operate in the wake of their upstream neighbours. Wind farm flow control (WFFC) strategies have emerged to reduce these wake effects with the goal of maximising overall performance. Dynamic induction control (DIC) aims to enhance the wake breakdown and restore the wake's energy content through dynamic thrust variations. The control signals are often found through the economic model predictive control (EMPC) method, which relies on an internal model to incorporate future system behaviour in the determination of the next optimal control input. These models are designed to capture the most dominant wake characteristics while remaining computationally efficient. We employ the two-dimensional free-vortex wake (FVW) model presented from [1], which models the wake through vortex element pairs released from the edges of the actuator disc. The power of the two-turbine wind farm is maximised through EMPC, improving performance by 9.64\% over greedy control simulations. However, the EMPC method inherits finite horizon effects, resulting in large control horizons to optimise. In this study, we address these limitations by employing an absolute sine parameterisation in the FVW model to limit the finite horizon effects and reduce the dimension of the optimisation problem. The significant dimension reduction allows for a grid search to find the optimal infinite horizon steady-state solution, improving the mean steady-state performance by 2.43\% over the baseline results from [1]. Additionally, we focus on converging towards this optimum through finite horizon EMPC optimising over the amplitude and offset. Grid search analyses reveal sensitivity towards initialisation due to the appearance of local minima around the infinite horizon optimum. A maximum success rate is realised for very large control horizons, maximising the probability of converging towards the infinite horizon optimum. Accounting for the inherited system delay in the objective function also realises a maximum success rate but for shorter control horizons, which significantly decreases the simulation time. The final controller design terminates simulations ten times faster through the absolute sine parameterisation compared to the baseline simulation from [1] while maximising the probability of convergence towards the infinite horizon optimum.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:6d1f6c28-f4c3-4822-affa-7a761856cd07","http://resolver.tudelft.nl/uuid:6d1f6c28-f4c3-4822-affa-7a761856cd07","Influence of the slope angle on wave overtopping at rubble mound breakwaters","van Marrewijk, Jaap (TU Delft Civil Engineering & Geosciences; TU Delft Coastal Engineering; TU Delft Hydraulic Engineering)","van Gent, M.R.A. (mentor); Jumelet, D. (graduation committee); Mares Nasarre, P. (graduation committee); Delft University of Technology (degree granting institution)","2024","The objective of this research is to gather more information about a possible relation between the slope angle of a rubble mound breakwater and the wave overtopping at this breakwater. The following research question is covered in this thesis: What is the influence of the slope angle of rubble mound breakwaters on wave overtopping? To answer this research question, a literature study was done and physical model tests were performed at Deltares in Delft, the Netherlands. In total, tests to five different breakwater configurations were performed, with a slope of 1:1.5, 1:2, 1:4, 1:6 and 1:8. These breakwaters were exposed to varying significant wave heights and wave steepnesses. During these tests, the amount of water from waves overtopping the structure was collected in order to determine the average wave overtopping discharge for every performed test.
Results of this study show that the slope angle has a large influence on wave overtopping at rubble mound breakwaters. It follows that the steeper the slope, the larger the wave overtopping discharge for the same dimensionless crest freeboard. This trend was captured regardless the wave steepness. This relation can be seen V both for breaking and for non-breaking wave loading. However, the dependency between the slope angle and the wave overtopping discharge appears to be larger for breaking waves than for non-breaking waves.
Furthermore, it was found that the wave steepness has a large influence on wave overtopping at rubble mound breakwaters, both for non-breaking waves and for breaking waves. In general, it can be said that the lower the wave steepness, the larger the wave overtopping discharge for the same dimensionless crest freeboard. This relation was found regardless of the slope angle of the breakwater. However, it followed that the wave steepness has a larger influence on the wave overtopping discharge at gentle slopes, like 1:6 and 1:8. It should be noted that for non-breaking waves, the influence of the slope angle and wave steepness is not present in the existing manuals, while the effects are important.
The formulas in the current guidelines to calculate the wave overtopping discharge were compared to each other and the data. These formulas were further modified based on the data gathered during the physical model tests to obtain even more accurate predictions for overtopping discharges. This resulted in the proposal of two equations to calculate the average wave overtopping discharge at permeable rubble mound breakwaters for wave loading that can be characterized as breaking waves and non-breaking waves.
The backdoor attacks are mostly created to attack the classification models, where for each data sample, there is a label. In this thesis, we move away from the classification setup and create the first (to our knowledge) backdoor attack on the linear regression. We show that the triggers constructed using different versions of feature selection algorithms can be effective and impose a high error on the linear learning model prediction. Additionally, the study shows that backdoor attacks with the trigger constructed with a feature selection using correlation analysis lead to a higher error than the one using random forest for feature selection.
Furthermore, we also transfer this backdoor attack to the federated learning setup. The results prove to be highly dependent on the number of poisoned nodes, while for all of them, the error for the poisoned region is higher than for the clean data.
Finally, for the attack in both setups, we have adapted popular defence mechanisms that work against backdoor attacks on classification models. For the centralised setup, we have explored the possibility of using the studentized residuals as an outlier detection mechanism. The results are diverse, becoming worse when the poisoning rate of the model increases. To prevent the attacks in the federated setup, we used the FoolsGold defence mechanism, and it proved to be effective against the backdoor attacks on the regression model in all the cases except the one with exactly one attacking node.","Backdoor Attacks; Federated Learning; Machine Learning; Cybersecurity; AI Security; Linear Regression","en","master thesis","","","","","","","","","","","","Computer Science | Cyber Security","",""
"uuid:e277fd9e-7eb5-4af5-aff9-87dd166aed72","http://resolver.tudelft.nl/uuid:e277fd9e-7eb5-4af5-aff9-87dd166aed72","Project-Specific Cost Escalation Modeling: Crafting a Stochastic Tool for Predicting escalation: Modeling escalation","van der Kraan, Frenk (TU Delft Civil Engineering & Geosciences)","van Gelder, P.H.A.J.M. (mentor); Kammouh, O. (graduation committee); Morales Napoles, O. (graduation committee); Delft University of Technology (degree granting institution)","2024","Gaining insights into anticipated future expenses is essential for both the planning and construction phases of a project. A significant factor in future costs, particularly over extended periods, is the variability of material and labor prices. the change in costs over time, known as ’cost escalation,’ has been the focus of numerous research efforts. These studies primarily aim to forecast the Construction Cost Index (CCI), a composite index representing a standardized array of materials and labor typical in construction projects. This research presents a novel approach to forecast cost escalations, tailored to individual construction projects, addressing the shortcomings of predictions of a generic Construction Cost Index (CCI). Traditional CCI predictions, while providing some foresight, are limited by their generic nature and often overlook the specific material and labor variations within different projects. Additionally, current research generally fails to account for the uncertainty in the forecasts of the change in the material price and the estimate of the construction cost. In response to these challenges, this research pivots around the following research question: How can cost escalation for various types of construction projects be predicted, accounting for uncertainties in final construction costs and the forecast? Addressing this question involves selecting distinct indices for various project resources, such as steel, concrete, and labor, and combining these predicted indices in line with each resource’s cost. To achieve this, the study evaluates several time-series forecasting models, namely the vector error correction model (VECM), the vector autoregression (VAR) model, and the Holt-Winters model. For each model an automatic forecasting process is created, which automatically checks the suitability of the model, select the appropriate variables (in case of a multivariate model) and selects parameters. These models are evaluated for their accuracy across different time-frames and forecasting horizons. The Holt-Winters model, in particular, showed promise in providing reliable confidence intervals and point forecasts. The study’s testing phase revealed varying degrees of success, as volatile indices like copper and steel proved to be challenging, whereas forecasts for less volatile indices achieved higher accuracy. This outcome suggests room for improvement in refining these forecasting methods. The project-specific forecasts, including cost uncertainties, are developed by inputting cost estimates associated to the material price at current day value. The cost estimates input includes material and labor costs and their uncertainties. The uncertainties are represented through a three v point estimate (optimistic, pessimistic, and most likely values). This input is then transformed into a PERT distribution which is a transformation of the Beta distribution. The final stage involves combining the PDFs of each project activities cost (considering the cost of each specific resource) with the monthly forecast PDFs in a Monte Carlo simulation, providing a detailed cost distribution histogram of total cost and the individual resources cost. The tool’s functionality was demonstrated through a case study on a highway construction project. In this demonstration, specific project data, including material and labor cost estimates, were inputted into a hybrid web and Excel interface. This setup facilitates visualization and manipulation of project information. The tool processes these inputs via the AFP and Monte Carlo simulation, yielding comprehensive outputs such as histograms and statistical properties of the output. This is visible for total project costs and resource-specific escalations. This demonstration effectively showcased the tool’s capability to offer detailed insights into cost escalation, addressing the variability and uncertainty in construction projects. It underscored the tool’s alignment with the study’s objective of providing nuanced, project-specific cost escalation forecasts, moving beyond traditional CCI predictions. In conclusion, this study introduces a tool that caters to specific project resources, timelines, and uncertainties in cost escalations. While current limitations prevent its immediate practical application, this proof-of-concept lays the groundwork for future improvements. Focus of future research should be on refining accuracy and comparing the tool’s forecasts with escalation of historic projects to establish more robust insight into the actual escalation of projects.","cost escalation; Time-series modeling; Monte Carlo simulation; Econometrics; Programming; Cost management; project management; user interface; Predicting; Stochastic","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:5c86f444-d625-432e-9fbb-188e67373929","http://resolver.tudelft.nl/uuid:5c86f444-d625-432e-9fbb-188e67373929","An integrated bicycle computer mount for aerodynamic handlebars: Development of a lightweight and aerodynamic solution for integration of bike computers into aerodynamic handlebars of professional road cycling bicycles","Kemme, Daan (TU Delft Industrial Design Engineering)","Jansen, A.J. (mentor); Tempelman, E. (graduation committee); Delft University of Technology (degree granting institution)","2024","The goal of this graduation thesis is to design a bicycle computer mount (BCM) that connects bike computers with road cycling handlebars in a visually appealing, and aerodynamic way. Initiated between Delft University of Technology and a leading sports equipment company, the project addresses the evolving needs of cyclists regarding maximum integration and aerodynamic optimisation of their material.
Through context research, user research and aerodynamic research, ideas were generated and formed into concepts. From those concepts the most promising was selected to further develop into a detailed design. This design was then validated with vibration tests, computational fluid dynamics (CFD) and finite element analysis (FEA).
The final design is a one-piece thermoplastic BCM that weighs 38 grams. It is made from injection moulded carbon-reinforced nylon (CF/PA12) to optimise weight and structural performance. A physical prototype was made for validation with different computers and vibration testing.","Bicycle computer integration; Bicycle product design; Product optimisation; Aerodynamic design","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:d7ce31ed-e4e6-4452-b54a-2f6dba9439c3","http://resolver.tudelft.nl/uuid:d7ce31ed-e4e6-4452-b54a-2f6dba9439c3","Mapping Discomfort through Patient Input in Robotic Physiotherapy","Ravenberg, Jevon (TU Delft Mechanical, Maritime and Materials Engineering)","Peternel, L. (mentor); Belli, I. (graduation committee); Stienen, A.H.A. (graduation committee); Della Santina, C. (graduation committee); Delft University of Technology (degree granting institution)","2024","In this work, we propose a method of processing patient input on discomfort level during robot shoulder physiotherapy into discomfort maps. These maps represent the patient's discomfort distribution throughout the range of motion of the shoulder, interpretable by both physiotherapists and robots. This method consists of three parts: the patient can input discomfort with a linear push-button; a collaborative robot arm is used to track the motion of the patient's shoulder; and audiovisual feedback of inputted discomfort is given to the patient and the therapist.
The method was validated in human factors experiments simulating shoulder physiotherapy sessions, where the subject is tasked with recreating a reference discomfort map through an auditory reference signal that emulates this discomfort. Here the robot also acts as the physiotherapist, moving the subject's shoulder. The signal is a beeping sound, whose rate scales with the discomfort intensity at the measured pose in the reference discomfort map.
We performed experiments with a total of 10 participants, demonstrating the viability of our method during patient-robot interaction. The results we collected also highlighted the presence of a time delay between the discomfort signal and the user input, and its effect on discomfort maps.","discomfort maps; shoulder rehabilitation; robot-assisted; Human-Robot Interaction; biomechanics; patient safety; patient feedback; physical therapy robot; shoulder; Impedance Control; patient input","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:1972d2ab-540b-4092-afd2-dbffa716283e","http://resolver.tudelft.nl/uuid:1972d2ab-540b-4092-afd2-dbffa716283e","Life cycle sustainability of novel monopropellant systems: A comparative LCSA of a LEO minisatellite case study","Deroo, Pepijn (TU Delft Aerospace Engineering)","Jyoti, B.V.S. (mentor); Wilson, Andrew R. (graduation committee); Delft University of Technology (degree granting institution)","2024","For over 50 years, hydrazine has been the industry standard for monopropellant propulsion systems, widely used in satellite attitude and orbit control systems. However, hydrazine’s toxicity necessitates expensive handling procedures and may lead to a future ban of the propellant in Europe. This has motivated the development of novel monopropellants, featuring reduced toxicity compared to hydrazine. Separately, life cycle assessments (LCAs) are becoming increasingly prevalent in the space industry. As very few assessments have been made so far for monopropellant systems, this thesis performs a comparative life cycle sustainability assessment (LCSA) of a hydrazine and three novel monopropellant systems for a single use case, evaluating the environmental, economic and social sustainability of each. This research provides new insights into the life cycle impact of the differences between the various propulsion systems and identifies hotspots in each sustainability dimension, informing a more sustainable development of novel monopropellant systems in the future.","Novel monopropellants; Life Cycle Assessment; Life Cycle Sustainability Assessment; Space Sustainability; space LCA; Non-toxic space propulsion","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:81b4473d-ea5a-4015-aefc-e7b56a9a44ef","http://resolver.tudelft.nl/uuid:81b4473d-ea5a-4015-aefc-e7b56a9a44ef","Flow analysis within the well dock of an LPD","Fotis, Konstantinos (TU Delft Mechanical, Maritime and Materials Engineering)","Pourquie, M.J.B.M. (mentor); Wellens, P.R. (mentor); Kalofotias, Filippos (graduation committee); Laskari, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Landing Platform Dock vessel is mainly designed to support amphibious operations in which landing operations play an important role. For operating landing craft the vessel has a well dock at the stern, designed to station several landing craft. Landing operations are restricted by the motions of the Landing Platform Dock vessel and by the motions of the water in the flooded well dock. Due to turbulent flow in the dock entrance and nonlinear wave motions inside the dock, the (dis)embarking procedure can be very hazardous for landing craft. Therefore it is necessary to execute this operation as safe as possible, meaning that the water motions in the well dock should be investigated thoroughly.
The primary objective of this thesis is to investigate how various physical mechanisms, when combined with wave characteristics, influence the flow within the well dock. The wave profile within the dock arises from the interaction of two physical mechanisms: radiation, caused by ship motions, and diffraction, which is observed when the ship remains stationary, causing incoming waves to diffract around its hull. Specifically, this study wants to determine which of the two physical mechanisms radiation or diffraction has a more pronounced influence. Also, it investigates how different wavelengths impact these mechanisms and the results combination of independently analysed mechanisms with a model that integrates both mechanisms from the start of the simulation.
The second objective centers on the development of an accurate and efficient model to capture the physical mechanisms of the flow within the well dock of an LPD. The validation for this model derives from model tests conducted at TU Delft[20].
The third objective focuses on simplifying the model in order to facilitate the design process. Upon validating the model from the second research question, wave kinematics are captured using a wave probe located at the dock entrance. These kinematics are then used as inputs for a 2D model. Notably, this model specifically represents the well dock and the ramp region, excluding the complete ship structure. For validation, the same procedure employed in the second objective is followed, referencing experiments conducted at TU Delft[20].
All Computational Fluid Dynamics (CFD) calculations were executed using the ComFLOW program.","Landing Platform Dock; ComFLOW; Physical Mechanisms; Well Dock; Fast Fourier Transformation (FFT); Time-Domain Signal; Design Modification; Ramp Region; Viable Model; Full Model","en","master thesis","","","","","","","","2026-01-23","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:a4cf15dc-b4db-41ad-96c2-5e2cef9d7d9f","http://resolver.tudelft.nl/uuid:a4cf15dc-b4db-41ad-96c2-5e2cef9d7d9f","The Potential of Community Energy Storage for Grid Congestion and Prosumer Profitability in the Netherlands’ Residential Solar Market","Yousef, Gabriel (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hakvoort, R.A. (mentor); Li, L. (mentor); Vergara Barrios, P.P. (mentor); Delft University of Technology (degree granting institution)","2024","With the phasing out of the Net Energy Metering (NEM) scheme, the energy market is shifting towards alternative solutions like independent energy storage, already successful in countries like Belgium and Germany.
However, a single solution dominating the market is unlikely due to continuous innovation and the limitations of individual battery systems for prosumers and Distribution System Operators (DSOs). Community energy storage (CES) emerges as a promising alternative but lacks a defined business model, particularly for Dutch residential communities.
This study delves into the implementation of centralized community energy storage systems to boost prosumer profitability and mitigate grid congestion in the Dutch solar residential market, in the wake of the NEM scheme phase-out. Community energy storage applications are identified, along with their respective potential business models. The optimal application, in terms of prosumer profitability and grid relief, is selected, and its associated business model is developed using the Morphological business model designed for energy communities. Furthermore, a practical approach for integration is proposed, based on regulatory and market constraints, to enhance the potential for large-scale emergence. This approach includes defining key roles and responsibilities of stakeholders within the community and the corresponding allocation of value. Subsequently, a technical system design topology is outlined for each defined community. This system design delves into engineering details to analyze the energy interaction possibilities between consumers and the grid, along with the corresponding financial implications. Accordingly, the CES application’s performance is simulated and evaluated both technically and financially. The potential is presented by simulating the interactions between the community, the grid, and the optimal battery system. This optimal interaction arises from an optimization problem formulated to provide the optimal battery size and its corresponding energy profiles that minimize the total community cost. Finally, an energy distribution mechanism is carried out through conditional decision making to evaluate the cost and profitability allocation among consumers within the community.
The findings highlights the optimal application of CES, combining energy sharing with energy arbitrage, which significantly enhances the value of prosumers’ surplus PV energy, outperforming standard tariffs and avoiding grid feedback charges. This approach also provides consumers with access to more affordable shared community energy, while aiding DSOs in alleviating grid congestion and improving infrastructure capacity. The study suggests that the most effective strategy for widespread CES adoption involves collaboration between housing cooperatives and Energy Service Companies (ESCOs). Financially, this model entails
community managers overseeing initial investments, complemented by household contributions via usagebased or fixed service fees. The business model’s success is influenced by the type of grid connection, with Behind-The-Meter (BTM) offering flexibility but lacking standardization, and Front-of-The-Meter (FTM) encountering challenges related to community energy taxation. Modelling the optimal operation for both BTM and FTM connections demonstrates a significant decrease of energy costs and contribution to grid relief, highlighting load smoothing and peak shaving as key benefits. The research concludes that centralized CES systems can substantially elevate prosumer profitability and reduce grid congestion, leading to considerable energy savings and enhanced grid performance in the Dutch solar residential market.
To support the expansion of Community Energy Storage (CES) systems and energy communities, policymakers are advised to revise energy taxation policies and create frameworks aiding community grid formation, including simplifying regulations and offering incentives for residential initiatives. Researchers should adopt a multidisciplinary approach to explore regulatory, technical, economic, social, and environmental impacts on CES, focusing on regulatory effects, grid dynamics, cost-benefit models, community engagement, and environmental benefits. Industry stakeholders, such as Distribution System Operators, energy providers, Energy Service Companies, and housing cooperatives, should apply these research insights to develop and implement CES systems, fostering partnerships to address challenges and innovate in energy solutions, particularly in the evolving landscape post-Net Energy Metering, to enhance the role of community storage in sustainable energy systems.","","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:0ad3c088-b6a6-472b-b60b-4b424a08e15c","http://resolver.tudelft.nl/uuid:0ad3c088-b6a6-472b-b60b-4b424a08e15c","High Lift Split Flaps for the Flying-V","Eftekhar, Shadab (TU Delft Aerospace Engineering)","Vos, Roelof (mentor); Oliviero, F. (graduation committee); Stroosma, O. (graduation committee); Asaro, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Flying-V, a novel aircraft design developed at Delft University of Technology, presents a revolutionary flying wing transport aircraft with a remarkable 20% reduction in energy consumption compared to traditional twin-aisle planes.
This thesis project delves into the study of optimizing the Flying-V's landing performance, emphasizing the necessity of reducing pitch attitude. High-lift devices, particularly split flaps, were explored for this purpose. Wind tunnel tests were carried out on a scaled-down model of the half- wing, in the Open Jet Facility of TU Delft. The tests yielded two successful flap configurations— a single-flap and a double-flap.
These were analyzed further using a flight performance tool to make a final selection on the flap configuration. The single-flap option proved effective in reducing landing pitch attitude by 3 degrees, significantly lowering obscured segment by 20 to 30 m and the pilot's eye altitude by 1 m. This is a quite desirable outcome for the landing performance of the Flying-V which significantly improves pilot’s vision.
The COVID-19 supply disruptions and the energy crisis triggered by the Russian-Ukrainian conflict emphasize the EU's structural supply dependencies and potential damages during crises. It underscores the critical risk factors, particularly Rare Earth Elements (REEs), which are highly susceptible to supply disturbances due to their increasing demand, limited supply, and reliance on a few suppliers, notably China. REEs are contrary to their name quite abundant, however, their extraction and processing are environmentally intensive, complex, and expensive. These REEs are utilized in numerous applications, including applications used in the energy transition because they exist in the Neodymium-Iron-Boron permanent magnets (Nd-Fe-B PMs). These magnets are used in wind turbine generators and traction motors of EVs. In these technologies, magnets are necessary to convert electricity into kinetic energy in EVs and vice versa for wind turbines.
This thesis focused on understanding how the EU can bolster its autonomy regarding its access to REEs, counterbalance supply risks, examine existing strategies, evaluate their effectiveness, and identify additional institutional interventions to strengthen the EU's position. This led to the following main research question:
How can the European Union improve its autonomy regarding its access to rare earth elements to achieve material security in the context of the European Union's energy transition?
The qualitative approach was chosen as the most suitable design approach to answering this main research question. It enabled a nuanced understanding of the EU's REE dependency issue by considering multiple dimensions and facilitated the development of well-informed and contextually grounded institutional interventions. The findings are triangulated between a literature review, desk research, and semi-structured depth-interviews with seven experts in this field.
This study performed REE value chains, actors, institutions, and PESTEL factor analyses. These four analyses offered a multifaceted insight into the complexities and interactions within this domain. Moreover, the illumination of various perspectives enhanced the overall comprehensiveness and facilitated a more holistic view of the EU's quest for enhanced REE autonomy.
Findings
Regarding the global REE value chain, China's unparalleled dominance, facilitated by generous lines of credits and subsidies, strategic partnerships and initiatives, geopolitical influence, manufacturing capabilities, technology, infrastructure, low labor cost, and lax environmental regulations, presents significant challenges. China's extensive control, especially in REE processing and Nd-Fe-B PM manufacturing, underscores the complex global dependence on China’s REE industry. The critical issues identified include a single third country supply dependency, rising demand for Nd-Fe-B PMs, challenges in recycling and circularity, high cost, stringent environmental regulations, and the need for skilled labor. These concerns, especially during the processing stage, underscore the complex barriers to achieving a resilient REE supply chain.
Globally, the REE landscape is predominantly shaped by China and the US. China maintains its pre-eminence through strategic initiatives, while the US is actively trying to close the gap. Acknowledging the imperative of strategic autonomy, the EU has instituted ambitious regulations, exemplified by the Critical Raw Materials Act (CRMA) and the Net-Zero Industry Act. These regulations established industry benchmarks for domestic extraction, processing, and recycling. However, the effectiveness of the CRMA in ensuring robust REE supply security is questionable.
The legislation lacks clarity on achieving and implementing industry benchmarks, presenting significant challenges in compliance, environmental and societal impacts, navigating shortened lead times for permitting, and addressing hurdles in private investment and fiscal alignment.
Institutional Interventions
This research identified enabling and constraining factors for the EU's autonomy regarding REE. Whereafter institutional interventions were created to cope with these issues. To achieve enhanced autonomy regarding REE access, five main strategies and several interventions are suggested. Conclusively, it is vital to note that the approach here is not a binary choice between strategies; rather, it necessitates the integration of a synergistic blend of the five proposed strategies.
Firstly, ‘Supply Diversification’ addresses the introduction of incentives for private demand for diversification, and the enhancement of strategic partnerships with REE-rich, EU-friendly countries.
Secondly, ‘Domestic Supply’ discusses: regulations incentivizing internal capacity; levelling the playing field; including Nd-Fe-B PM domestic manufacturing benchmarks; raising public acceptance; expanding funding; standardizing long-term contracts; and introducing low-energy zones.
Thirdly, ‘Circularity’ Encourages Nd-Fe-B PM demand reduction; incentivizes EoL practices; establishes CRMA PM labeling requirements; sets Nd-Fe-B PM collection targets; provides incentive structures; standardizes circularity by design; introduces extended producer responsibility; expands support programs; and impose an export ban on EoL Nd-Fe-B PMs.
Fourthly, ‘Substitutes for Nd-Fe-B PMs’ proposes: an increase in funding for R&D and innovation; and incentivizes substitutes for EV and wind turbine applications.
Fifthly, ‘Strategic Stockpiling’: addresses deficiencies in communication, transparency, and clarity by investigating obligation possibilities for Member States to monitor and strategically stock Nd-Fe-B PMs
Furthermore, the European Commission is instructed to consider introducing sub-benchmarks per individual CRM, improving understanding within governmental entities, increasing overall investment, and acknowledging the criticality for the EU to extend its policy horizon beyond 2030, given the long-term impacts of most proposed options. Moreover, EU policy alignment between Member States is critical, especially for creating an EU-level playing field for internal capacity and circularity. Enhancing autonomy in the realm of Rare Earth Elements (REEs) poses significant challenges, yet this thesis equips policymakers with essential findings and tools to navigate and improve access to REEs.
Consequently, the central question centers on assessing whether the positive impact on speech perception justifies the potential negative consequences for auditory spatial awareness. In this master’s thesis project a new test design was created to evaluate the speech perception and spatial awareness of children with cochlear implants in an ecologically valid classroom environment.
Methods: This master’s thesis project aimed to develop a test that was both ecologically valid and reproducible, focusing on the evaluation of three distinct conditions: Autosense on, Autosense off, and a remote microphone. Eligible participants for the study included children with cochlear implants aged between 5 and 18 years. The study utilized a virtual reality classroom environment, incorporating acoustical parameters of a real classroom setting into a 12-array loudspeaker setup. Assessment of frontal speech perception in noise was done with the digits-in-noise (DIN) test, while spatial awareness was assessed through the presentation of animal stimuli from various directions. Additionally, a randomly presented ice cream truck during the session served to evaluate environmental sound awareness (ESA). A pilot study was conducted on students, adults with cochlear implants and normal hearing children to validate the test method and fine-tune the levels of spatial awareness stimuli.
Results: The pilot study validated a virtual classroom setup for speech perception and spatial awareness in children with cochlear implants. Results from 14 participants, consisting of students, normal hearing and cochlear implant individuals, were included for test validation. Initial findings showed clarity in instructions, no adverse effects with virtual reality glasses, and comparable speech-in-noise scores in individuals. Spatial awareness tasks displayed a ceiling effect, leading to adjustments in stimuli levels. Psychometric curves for spatial awareness stimuli levels varied among cochlear implant individuals,
complicating the correlation between speech perception and spatial awareness. The test method proved suitable for normal hearing children, highlighting adaptability and ecological validity.
Conclusion: The results of this pilot study indicate the feasibility of the test for children with cochlear implants aged between 5 and 18 years. Regarding spatial awareness levels, it is recommended to use -5 dB and 0 dB SNR, with the goal of reducing the likelihood of floor or ceiling effects.","Cochlear Implants; Virtual Reality; Technical medicine","en","master thesis","","","","","","","","2025-01-15","","","","Technical Medicine","",""
"uuid:71500cd9-d2e3-4c02-8aca-63edad0638b2","http://resolver.tudelft.nl/uuid:71500cd9-d2e3-4c02-8aca-63edad0638b2","Synthesis of Nonlinear Torque-angle Profile Using Compliant Helicoidal Shell Joint","ABOUHEIDARI, SINA (TU Delft Mechanical, Maritime and Materials Engineering)","Radaelli, G. (mentor); Herder, J.L. (graduation committee); Delft University of Technology (degree granting institution)","2024","Compliant mechanisms, particularly helicoidal shell joints, present intriguing possibilities in mechanical design with applications in medical devices, robotics, automotive, and aerospace engineering. This research focuses on the synthesis of nonlinear torque-angle profiles using a compliant helicoidal shell mechanism such as gravity-balancing profiles. This study required a thorough exploration of the mechanism’s diverse design variations through Finite Element Modeling (FEM) and more specifically, Isogeometric Analysis (IGA). Subsequently, a targeted optimization process is utilized, incorporating both global geometric parameter adjustments and localized modifications by using splines. The prominent challenge addressed is the synthesis of gravity balancing torque-angle profile, achieved by tailoring the output profile of a compliant shell mechanism through optimization. Considering the inherent sine function output of a pendulum during gravitational equilibrium, an algorithm is developed to optimize the mechanism’s behavior to align with a sine function, hence enabling gravity balancing. Additionally, experimental validation was undertaken through manufacturing prototypes and conducting measurements to provide a crucial link between simulations and real-world behavior. The results of this research, encompassing optimized geometry and experimental data, are presented, and comprehensively discussed. This research contributes a numerical methodology that utilizes isogeometric analysis and optimization algorithm within the framework of finite element analysis for achieving nonlinear torque-angle profiles in complaint helicoidal shell mechanisms, such as gravity balancing profiles, offering valuable insights for possible applications in various engineering domains.","Compliant Mechanisms; Compliant Shell Mechanisms; compliant joints; tunable joints; compliant revolute joints","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:fa4f7239-d829-41aa-8cd4-f92c1a874a5e","http://resolver.tudelft.nl/uuid:fa4f7239-d829-41aa-8cd4-f92c1a874a5e","Circular performance of project equipment: The material circularity and economic value of project equipment on a project, product, and company level","Rodermond, Timo (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Marine and Transport Technology)","Pruyn, J.F.J. (mentor); Kana, A.A. (mentor); Delft University of Technology (degree granting institution)","2024","The global awareness of the environmental and economic advantages of the circular economy (CE) concept has grown significantly. In order to implement this concept into company practices, a vital starting point is the adoption of a measurement framework. In the past years, the evolution of CE metrics resulted in maturity and practical applicability. However, no method exists able to quantify CE performance specific to a project-based organization. The Material Circularity Indicator (MCI) is one of the most ambiguous methods, which captures circularity with mass as the measurement unit. Alternatively, the Material Circularity Indicator based on economic value (MCI’) is developed as a solution for the reliance of the MCI on mass flow, by using cost-based economic value as the measurement unit. However, existing economic value indicators — as accounts for the MCI’ — are criticized for not including all significant and relevant life cycle cost factors. To solve these implications, both methods are adapted to indicate the CE performance on the levels of interest for a project-based organization: the project, product, and company level. To work from level to level, a bottom-up approach is taken, aggregating using a weighted sum. Additionally, the MCI’ is enhanced by including more life cycle cost factors. The results show that the enhanced MCI’ gives a more accurate estimate of cost-based economic value. Furthermore, the aggregation from the project level to the product and company level, gives valuable insights into the CE performance of project-based organizations.","circular economy; Circularity; Circularity assessment; Material Circularity; Material Circularity Indicator; Economic valuation; sustainability; Project-based organizations; Equipment","en","master thesis","","","","","","","","","","","","Marine Technology | Maritime Operations and Management","",""
"uuid:557222bc-36a2-42e9-857a-e315a3d841de","http://resolver.tudelft.nl/uuid:557222bc-36a2-42e9-857a-e315a3d841de","Characterisation of frictional behaviour at brick-mortar interface","Karthick Sasikumar, K (TU Delft Civil Engineering & Geosciences)","Esposito, R. (mentor); Cabboi, A. (graduation committee); Dieudonné, A.A.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Unreinforced Masonry, a popular construction material with a rich historical legacy, has been resilient through centuries, despite its susceptibility to failure through various loading conditions such as seismic forces. Understanding the mechanical behaviour of masonry, particularly the cohesion and frictional mechanisms at interfaces between bricks and mortar, is crucial for assessing its structural reliability.
This thesis presents an experimental study characterising masonry frictional interfaces using a tribometer test, a novel approach in masonry research. The study aims to investigate the frictional behaviour of brick-mortar interfaces under varying precompression levels and test frequencies (3 Hz and 0.2 Hz). A novel sampling method was implemented, wherein the required specimens were extracted from a bonded masonry couplet specimen. The couplets were bond wrenched and then subjected to a mechanical extraction. Bond wrenching, through tension, separates the couplets, thereby isolating frictional response from the influence of cohesion by decoupling cohesion between the unit and joint. A tribometer was chosen for the investigation of frictional parameters, tribometers are typically employed with metal surfaces and rarely applied to masonry. Consequently, experiments were conducted using a reciprocating tribometer, featuring a novel specimen extraction procedure and a modified setup. The novel extraction involved mechanically altering a parent brick couplet to extract compatible specimens. Customised holders were procured and used to accommodate the prepared specimen. The obtained specimens were then, fastened into the appropriate sample holders. Tests were performed on the specimen with displacement, tangential force, normal force and time being recorded. Three key parameters, the mean Coefficient of Friction (CoF), the Energy Coefficient of Friction (ECoF), and tangential contact stiffness were analysed from the collected data. The above mentioned parameters were evaluated through two approaches, (i) Mean Curve approach and (ii) Cycle approach. A mean curve is the averaged curve of the measured hysteresis loop, which encompasses the overall behaviour exhibited by the hysteresis loop.
The experimental results revealed a dependency between the coefficient of friction and the applied pre-compression level, showing a non-Coulomb behaviour; this is in contradiction with assumptions of several models used for the analyses of masonry structures. Initially, experiments were conducted at a higher frequency (3 Hz) and followed by experiments at a lower frequency (0.2 Hz), and the results in both cases revealed a non-Coulomb friction behaviour characterised by a nonlinear connecting trend between kinetic and static friction region. The connecting trend between the kinetic and static region demonstrated a reduction in the degree of non-linearityan enhanced symmetry in the hysteresis profile as the precompression levels increased. The mean curve and the cycle approach yielded identical estimates for the coefficients of friction (CoF) and effective coefficients of friction (ECoF).
The evolution of Coefficient of friction (CoF), did not exhibit any clear systematic time dependency, while Energy Coefficient of friction (ECoF) showed a systematic decrease over time. The ECoF related the energy dissipated by friction across each cycle to a hypothetical Coulomb energy dissipation. Therefore the ECoF captures the energy dissipation due to friction during one cycle, while the CoF captured the static friction region. The energy dissipation across different precompression levels were also studied and it was observed that the energy dissipation increased for increasing precompression levels.
Tangential Contact stiffness refers to the tangential force required to effect a unit displacement in the tangential direction. The contact stiffness was calculated from the slope of the region of the hysteresis loop associated with displacement reversal. Contact stiffness was observed to increase with increasing precompression levels. This observation was also validated by the theoretical model which illustrates a proportionality between the applied normal load (precompression) and tangential contact stiffness.
Additionally, the study highlights the impact of frequency on the observed frictional behaviour, noting more prominent static and kinematic components at lower frequencies due to reduced inertial forces. A preliminary study using the LuGre model was used to plot the analytically derived frictional force-velocity relationship, to briefly study the impact of sliding velocity on frictional force. It was deduced that the velocity had an influence over the frictional force at the interface.
In conclusion, the findings provide valuable insights into the frictional characteristics of masonry interfaces under varying loading conditions. The results also shed light on the practical aspects of testing methods and offer considerations for further research in the field of masonry engineering.
This study focused on optimizing BPMED for the recovery of ammonia and citric acid from ammonium citrate scrubber effluents. The impact of current density, membrane configuration, feed solution characteristics (pH and initial N concentration), and temperature on recovery efficiency, current efficiency, and energy consumption of a BPMED system was evaluated. The limiting current density (LCD), a key factor in the normal operating range of the system, was determined using the Cowan and Brown method, yielding a critical value of 1.01 A/m2.
Comparative experiments conducted on three BPMED configurations, including 3-chamber BPMED (BPCA), 2-chamber base BPMED (BPC), and 2-chamber acid BPMED (BPA), revealed the superior performance of the BPC in terms of current efficiency, energy consumption, and running time. The optimal operating time of BPC was determined to be 120 minutes, achieving a recovery efficiency of 55.9%, a current efficiency of 44.2%, and an energy consumption of 8.4 kWh/kg-N.
Moreover, regression models were established using Box-Behnken design (BBD) from response surface methodology (RSM) to optimize operating conditions (pH, initial N concentration, and temperature), maximize recovery efficiency, current efficiency, and minimize energy consumption. Verified by analysis of variance, normal probability plot, and residual analysis, the model showed high accuracy and significance.
Univariate analysis elucidated that pH and initial N concentration were found to be important variables, while temperature was not. Increasing pH (3–7) enhanced recovery and current efficiency while decreasing energy consumption. Higher initial N concentrations (2–10 g/L) improved current efficiency, decreased energy consumption, but reduced recovery efficiency, emphasizing the need for a careful balance. Temperature variations (20–40°C) had no significant impact on BPMED. Critical factors limiting ammonia recovery efficiency, current efficiency, and energy consumption were identified, including solution conductivity, H+ ion leakage, water migration, and NH3 diffusion.
Furthermore, the study revealed non-significant interactions between these variables through 3D response surface plots and 2D contour plots. Adjusting operational variables proved feasible for optimizing performance indicators. The optimized conditions (pH 6.05, initial N concentration 6.67 g/L, temperature 30°C) were experimentally verified, and the predicted values were in good agreement with the actual values, confirming the reliability of the optimization model. Specifically, the recovery efficiency was 52.9%, the current efficiency was 45.4%, and the energy consumption was 7.0 kWh/kg-N.
Energy evaluation of the BPMED system in BPC configuration under optimal conditions showed significant energy efficiency. Based on the comparison with the available literature, the integration of BPMED with air stripping and organic acid scrubbing could improve energy efficiencies and lower chemical consumption while offering a closed-loop system.
Future research should explore principles to inhibit ion leakage and water migration, analyze the combined effects of various operating variables using RSM, and validate the potential for lower energy consumption in full-scale BPMED. Developing continuous BPMED processes is crucial for full-scale application, and integrating BPMED with other processes such as air stripping and acid scrubbing may enhance ammonia recovery and production efficiency.
The insights gleaned from this study provided a solid foundation for enhancing ammonia recovery processes from ammonium citrate scrubber wastewater, thereby promoting sustainable and resource-saving industrial practices.
In this thesis project, a drone is designed, built, and programmed to navigate autonomously in the rainforest with complete onboard computing and no GPS localisation. This 500-gram drone is being extensively tested and optimized in real forest conditions, and a dataset is being created from its autonomous flights to simulate various configurations of the path-planning algorithm. The results of these simulations on this dataset are then used for thorough research on how the algorithm can downscale to smaller systems and how this affects performance.
By using the results of this research on downscaling, a 100-gram drone is built and programmed to fly in forest conditions with complete onboard computation. Challenging on this small-size drone is the use of low-quality lightweight sensors and processor. The processor only weighs 10 grams, and the depth camera weighs 8 grams. Unique on this small drone is the 3D path planning fully computed onboard and the implementation of a new type of depth camera.","autonomous navigation; drone; downscaling","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:7dcddaae-dad1-4804-bf5b-c8706e4e2e59","http://resolver.tudelft.nl/uuid:7dcddaae-dad1-4804-bf5b-c8706e4e2e59","An Area and Energy Efficient Arithmetic Unit for Stacked Machine Learning Models: Mo Model Mo Problems Like... Hardware Design Problems","van der Kolk, Floris (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hamdioui, S. (mentor); Gebregiorgis, A.B. (graduation committee); van den Berg, René (graduation committee); Delft University of Technology (degree granting institution)","2024","Machine learning on edge devices performs crucial identification or prediction tasks while limiting the amount of data that needs to be transmitted to more centralized computing nodes. However, strict area and energy requirements necessitate specialized hardware developed for the requirements of the device and model. This thesis is concerned with developing an area and energy arithmetic unit as part of the implementation of a stacked machine learning model in embedded automotive devices. The model in question was previously designed to perform lifetime prediction with the goal of improving the reliability of semiconductor devices used in various automotive applications.
This thesis aims to achieve area and energy efficiency by exploiting the commonalities in the arithmetic operations of several of the internal learners of the stacked machine learning model. The use of a weighted figure of merit, taking into account area, energy and delay, allow for simple comparisons of designs at any operation frequency and easy insight into the changes in the merit of designs if device requirements were to change. A sweep of the percentage of multiplications in the workload also gave insight into how design choices may change due to future redesigns of the stacked machine learning model.
It was found that the MAC, multiply, divide and accumulate operations of the internal learners can best be supported by one arithmetic unit containing a ""Reduced Area"" parallel multiplier (still taking up most of the area), a small, dedicated accumulator and invariant integer division using the multiplier. It was also found that the ability to reconfigure the multiplier for different levels of bit-precision does not yield performance improvement for the expected precision distribution.","Machine Learning; Computer Arithmetic; Lifetime prediction; Reliability; Invariant Integer Division; reconfigurable architecture","en","master thesis","","","","","","","","2024-02-07","","","","Computer Engineering","Lifetime Prediction for embedded automotive devices",""
"uuid:72573b61-7cad-4707-adb2-9115a438e31e","http://resolver.tudelft.nl/uuid:72573b61-7cad-4707-adb2-9115a438e31e","Microphysical Retrievals in Mixed-Phase Clouds with low LWP using Cloud Radar","Wang, Peiyuan (TU Delft Civil Engineering & Geosciences; TU Delft Geoscience and Remote Sensing)","Unal, C.M.H. (mentor); Dias Neto, J. (graduation committee); Glassmeier, F. (graduation committee); Schleiss, M.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Mixed-phase clouds, which have a significant impact on the global climate, are complex systems where liquid water and various types of ice particles coexist at temperatures below the freezing point. A key process in mixed-phase clouds is riming which alters microphysical and scattering properties of ice particles. Cloud radar is a powerful instrument for observing and understanding the processes that occur within mixed-phase clouds. Observations from multi-frequency radars and simulation results were combined in recent research to retrieve microphysical properties of ice particles in snowfall and ice clouds. This report presents an ambitious attempt to retrieve all common microphysical properties of ice particles, such as maximum dimension, density, aspect ratio and number concentration in slight rime condition using Doppler spectra. Two mixed-phase cloud events with low liquid water path are studied for such purpose. Spectral dual-wavelength ratio is introduced to retrieve maximum dimension of particles. An iteration process is developed in order to retrieve aspect ratio and density of ice particles from observation of spectral differential reflectivity. The number concentration of particles is retrieved with additional spectral reflectivity. With all the retrieved microphysical properties, ice water content and particle size distribution can be further derived. Ice water content is compared with results from an empirical model. The retrieved properties obtained from using three distinct mass-size relations are compared. Also the bulk and spectral retrieved profiles are compared. The retrieval process can provide consistent microphysical properties of ice particles. It is found that the retrieved ice water content is generally smaller than that from empirical model. Besides, the mass-size relation has significant impact on all retrieved microphysical properties except maximum dimension. The resulting profiles from bulk retrieval are smoother, while spectral retrieval can provide values in regions where the former cannot. The possible error from different sources are discussed or estimated, including the effect on dual-wavelength ratio from the elevation angle of radar, the neglect of differential attenuation caused by liquid and the usage of soft spheroid model. Recommendations are discussed, which include the usage of the latest microphysical models for ice aggregates and Discrete Dipole Approximation for electromagnetic wave scattering simulation.","Cloud radar observations; Mixed-phased cloud; Dual-wavelength ratio; Microphysical cloud properties","en","master thesis","","","","","","","","","","","","Geoscience and Remote Sensing","",""
"uuid:0304a61b-14df-44a5-8a72-84b5ea5d1eb6","http://resolver.tudelft.nl/uuid:0304a61b-14df-44a5-8a72-84b5ea5d1eb6","Optimised Private Set Intersection for Vertical Federated Tree Models","Li, Martin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hai, R. (mentor); Zhan, D. (mentor); Lofi, C. (mentor); Decouchant, Jérémie (graduation committee); Delft University of Technology (degree granting institution)","2024","In recent years, the rapid advancements in big data, machine learning, and artificial intelligence have led to a corresponding rise in privacy concerns. One of the solutions to address these concerns is federated learning. In this thesis, we will look at the setting of vertical federated learning based on tree models. We have built a system that can do both entity resolution through private set intersection (PSI) and vertical federated learning (VFL). In this system, we have implemented an optimisation to pre-sort the data per feature before the start of VFL. We have also created a privacy framework, where we define four levels of privacy. This optimisation did not affect the privacy level of the system. In our results, we have seen that pre-sorting the data lowers the overall training time. How much depends on the number of entities and features of the passive party. We observe from our results that we estimate the speed-up to be 0.3654 seconds per feature and 0.2093 seconds per 1000 entities.","Vertical Federated Learning; Private Set Intersection; Privacy","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:27a06549-10eb-40c6-ae78-38a898241194","http://resolver.tudelft.nl/uuid:27a06549-10eb-40c6-ae78-38a898241194","Well-being Indicators for Car-Free Policies: A study into the selection and operationalisation of well-being indicators for representing local stakeholder interests in the ex-ante evaluation of car-free policies","Verbeeke, Ruben (TU Delft Civil Engineering & Geosciences; TU Delft Transport and Planning; TU Delft Integral Design & Management)","Bosch-Rekveldt, M.G.C. (mentor); Snelder, M. (mentor); Lim, Y. (graduation committee); Pel, A.J. (graduation committee); Heezen, M.J.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Governments worldwide are planning car-free policies to increase the livability of cities. However, car-free policies often face opposition from local stakeholders, and policy-makers often lack relevant model indicators to represent all stakeholder interests in their ex-ante car-free policy evaluations. Well-being (Dutch: Brede Welvaart) indicators can be more relevant for the various stakeholder interests in car-free policies by covering aspects in the domains of living environment, accessibility, safety and health. This thesis investigates how relevant well-being indicators can be selected and operationalised for the ex-ante evaluation of car-free policies. A well-being indicator selection process was developed and applied to a case study in the Oude Westen neighbourhood in Rotterdam. This process involved conducting thirteen semi-structured stakeholder interviews. The resulting stakeholder interests were analysed to determine the relevant aspects of well-being and the relevant indicators for these aspects. From the shortlist of relevant indicators, three indicators were selected and operationalised in this thesis. At last, two validation interviews were conducted to validate the results of this case study and the developed indicator selection process.
The most relevant stakeholders - municipality, local residents, and local business owners - were selected to be involved in the well-being indicator selection process in the case study. Based on their interests, the most relevant aspects of well-being were found to be the use of space, accessibility of mobility options, accessibility of activities, traffic safety, and noise pollution. This resulted in the operationalisation of three indicators: the use of space for car parking, the number of mobility options, and the traffic mix safety warning.
While the small sample size does not allow for generalisation of the case study results, the results of this thesis do show that the well-being indicator selection process can yield relevant indicators of well-being and that this process can be applied in practice. The operationalised indicators can be relevant to represent stakeholder interests in car-free policy evaluation and can be applied to other cases as well. However, the relevance of the indicators does depend on the type of policy and the stage of the planning process. Using well-being indicators in policy evaluation can enhance the relatability of the evaluation results for stakeholders and potentially increase stakeholder support for policies.","Well-being; car-free; indicators; Policy Evaluation","en","master thesis","","","","","","Double degree in Civil Engineering - Transport & Planning and Construction Management and Engineering","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:96225f95-67da-4576-94b2-af409f9ea628","http://resolver.tudelft.nl/uuid:96225f95-67da-4576-94b2-af409f9ea628","Predicting Vessel Motions: A Comparative Analysis of Machine Learning and Conventional Approaches","Oosterholt, Torsten (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Engineering Structures)","Wang, H. (mentor); Metrikine, A. (graduation committee); Peters, Onno (graduation committee); Jacobs, Peter (mentor); Delft University of Technology (degree granting institution)","2024","Founded in 1910, Boskalis, a leader in offshore operations, contends with limitations in ABB's Ability Marine Advisory System 'OCTOPUS' in predicting maximum vessel motions for heavy-transport vessels (HTVs). Accurate prediction of these motions, especially roll and pitch, is vital for transporting large, heavy structures, as exceeding predefined limits can jeopardize both vessel and cargo integrity. OCTOPUS's challenges, stemming not only from its reliance on linear theory but also potentially from the quality of its environmental data, underline the need for exploring alternatives, such as Machine Learning (ML) approaches, adept at handling complex, nonlinear phenomena, to ensure operational safety and efficiency.
This thesis presents the development and comparison of three new approaches to predict maximum roll and pitch motions. The approaches are compared and evaluated against OCTOPUS. Two validation strategies are used to test their performance under known and unknown loading conditions (LCs). Known LCs in this context refer to the evaluation of data that incorporate LCs that are included in the training dataset for ML-based approaches. On the other hand, unknown LCs refer to the evaluation of data that incorporate LCs that are not included in the training dataset for ML-based approaches. The approaches are trained and validated using sensor data, LC data, and environmental data from 24 different voyages for a specific HTV. They differ in their design and the type of environmental data they use.
The superior performance of ML-based approaches over OCTOPUS in known LCs is mainly due to two factors. First, ML approaches inherently incorporate nonlinear phenomena, which is particularly effective in accurately predicting maximum roll motion. Second, they are better equipped to handle flaws in environmental data. Although these advantages contribute to a significantly lower mean absolute percentage error (MAPE) compared to OCTOPUS, ML-based approaches face challenges in unknown LCs and extreme motion response scenarios. However, it is noteworthy that ML approaches quickly adapt to unknown LCs when small portions of these LCs are included in the training dataset.
ML shows potential in vessel motion prediction, and this thesis underscores the importance of diverse training data to enhance its reliability in unknown LCs and extreme motion response scenarios. For Boskalis, addressing these challenges with strategies such as adjusting the custom loss function, data augmentation, and implementing ensemble methods could improve the accuracy of these approaches. This progress is significant for Boskalis and the wider maritime industry, paving the way for adaptive and efficient prediction systems. Collaborative efforts between industry and academia, using rich data and expertise, are essential to drive these innovations.","Machine Learning; Vessel motions; Prediction","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:c0759959-d7ff-47d9-ab33-63f7f64ac8ee","http://resolver.tudelft.nl/uuid:c0759959-d7ff-47d9-ab33-63f7f64ac8ee","The Effect Of Wall Heating On Stability And Laminar Breakdown Of Three-Dimensional Boundary Layers: An Experimental Study","van de Weijer, Tim (TU Delft Aerospace Engineering)","Kotsonis, M. (mentor); Barahona Lopez, M. (mentor); Rius Vidales, A.F. (mentor); van Oudheusden, B.W. (mentor); Delft University of Technology (degree granting institution)","2024","An important challenge in the electrification of aircraft propulsion systems is the design of thermal management systems because of an increased heat load that needs to be dissipated. As an alternative to high-drag external heat exchangers, one can consider surface heat exchangers to dissipate the extra thermal energy. This reduces the size of the external heat exchangers and consequently reduces drag. However, a non-adiabatic wall can affect skin friction through a movement of boundary layer transition. Limited studies are available on the effect of non-adiabatic surfaces on laminar-to-turbulent transition in swept wing boundary layers dominated by crossflow instability (CFI). Therefore, the current work experimentally investigates the effect of surface heating on the stability and breakdown of the stationary crossflow instability. The experimental work is supported by Compressible Linear Stability Theory (CLST) computations.
Hot-Wire Anemometry (HWA) and Cold-Wire Anemometry (CWA) measurements of the boundary layer are performed on the STEP model, which features a 45 degree swept flat plate, for both adiabatic and heated surface conditions. Both the experimental and CLST results show a destabilisation of the primary instability linked to the increase in the growth rate of the stationary crossflow (CF) mode. The experimental results show that the type-I secondary CF instability exhibits a larger magnitude in the presence of wall heating and the mode emerges upstream compared to the adiabatic wall condition. The type-III mode displays a significant increase in magnitude in the presence of wall heating, thereby indicating a considerable destabilisation. The effect on laminar breakdown is identified by analysing velocity fluctuations in the 12-17 kHz frequency band in planes parallel to the surface for two different wall distances. A temperature ratio of 1.035 is found to advance breakdown by 5.7%.","Wall heating; Crossflow instability; Hot wire anemometry; Cold wire anemometry","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:bf7dbb7e-63a6-4a28-9964-78b555c54445","http://resolver.tudelft.nl/uuid:bf7dbb7e-63a6-4a28-9964-78b555c54445","Fortress Without Barriers: Maintaining Accessibility in the Face of Security","Fajtl, Michael (TU Delft Architecture and the Built Environment)","van Zalingen, J.M. (mentor); Eckardt, H.F. (mentor); Söylev, Y. (mentor); Overschie, M.G.F. (graduation committee); Delft University of Technology (degree granting institution)","2024","In an era when rising threats put increasing pressure on security demands in state office buildings, the public trust in these same governmental institutions is on the decline, owing to a sense of detachment and lack of transparency.
This detachment partially stems from the functional and physical separation of people’s civic and political lives, leaving public officials as uncountable, a separated class unresponsive to the everyday people, who in turn can glance little of the everyday working of their government.
In other words: it is easier to pass unfavourable legislation when you never interact with the common people and sit in your fortress hidden from protests. For these reasons, the future must see the creation of new governmental spaces that are public in nature, but also safeguarded from new dynamic threads. New design approaches in established typologies need to be sought to answer these relationship questions that stem from new state, societal, and technological developments.
The Graduation Project ‘Fortress Without Barriers‘ seek to explore these issues of security and accessibility by using a scenario involving the design of a new Federal Ministry of Defence headquarters in Berlin. At first glance this typology might be perceived as ill suited to the integration of public life, even agitative. But rather the project places it as the ultimate test for whether these values can be effectively expressed in an urban context. Defence Ministry being the most prime domain of security, on the scale of city within a city, and placing the most private and secured programme imaginable alongside the proposed public functions.
“In what way does reducing embodied carbon in residential building projects impact the investment decision-making process from an investor's perspective?”
To explore this, the study first conducts a literature review and exploratory interviews, followed by three case studies with semi-structured interviews. The findings reveals that the integration of embodied carbon in the investment decision-making process is still in its early stages. Although there is growing awareness and interest, embodied carbon is not yet a standard consideration in investment decisions. This integration depends on the flexibility of investors and the specific sustainability goals of projects. Traditional financial models and evaluation methods have largely remained unchanged. The study concludes that there is a need for further standardization and integration of carbon reduction in all aspects of investment practices to encourage the construction sector to achieve the goal of carbon net-zero by 2050.
Accurately representing post-buckling behaviour in finite element models requires accounting for geometric and loading imperfections. The present study explores their effects on the post-buckling behaviour of a composite L-stiffened panel. A finite element model is created and validated based on an experimental case. This is then further modified to incorporate imperfections. Geometric imperfections are modelled using linear eigenvalue modes, while loading imperfections are introduced via a rigid loading plate making contact at an angle.
The research showed that both first and higher eigenmode combinations for geometric imperfections influence post-buckling behaviour. Their shape and amplitude impact the transition into post-buckling and their ultimate loads. Similar behaviour was also observed for loading imperfections. Additionally, their configuration also showed an offset in axial displacement results. These insights emphasise the need for precise imperfection modelling to promote safer and more efficient post-buckling design of aerospace structures.","Post-buckling analysis; Composites; Stiffened panel; Abaqus; FEM analysis; Geometrical Imperfections; Loading Imperfections","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:1553d8c9-79df-44dd-8c9f-d2eb9f7017b8","http://resolver.tudelft.nl/uuid:1553d8c9-79df-44dd-8c9f-d2eb9f7017b8","Uncertainty-Aware Reinforcement Learning for Flight Control: Mastering the Mystery of Flight","Homola, Marek (TU Delft Aerospace Engineering)","van Kampen, E. (mentor); Li, Y. (mentor); Delft University of Technology (degree granting institution)","2024","In the rapidly evolving aviation sector, the quest for safer and more efficient flight operations has historically relied on traditional Automatic Flight Control Systems (AFCS) based on high-fidelity models. However, such models not only incur high development costs but also struggle to adapt to new, complex aircraft designs and unexpected operational conditions. As an alternative, deep Reinforcement Learning (RL) has emerged as a promising solution for model-free, adaptive flight control. Yet, RL-based approaches pose significant challenges in terms of sample efficiency and safety assurance. Addressing these gaps, this paper introduces Returns Uncertainty-Navigated Distributional Soft Actor-Critic (RUN-DSAC). Designed to enhance the learning efficiency, adaptability, and safety of flight control systems, RUN-DSAC leverages the rich uncertainty information inherent in the returns distribution to refine the decision-making process. When applied to the attitude tracking task on a high-fidelity non-linear fixed-wing aircraft model, RUN-DSAC demonstrates superior performance in learning efficiency, adaptability to varied and unforeseen flight scenarios, and robustness in fault tolerance that outperforms the current state-of-the-art SAC and DSAC algorithms.","Autonomous Flight Control; Deep Distributional Reinforcement Learning; Uncertainty-Driven Control; Safety-Critical Systems; Sample Efficient Machine Learning; Reinforcement Learning; Autonomous System","en","master thesis","","","","","","Public code repository: https://github.com/mhomola/RUN-DSAC","","","","","","Aerospace Engineering","",""
"uuid:f82420e1-eecb-4ae2-81b4-7b86c6b91b13","http://resolver.tudelft.nl/uuid:f82420e1-eecb-4ae2-81b4-7b86c6b91b13","Integrating Blockchain Technology with Project Management System in the AEC Sector","YU, HAIHAN (TU Delft Civil Engineering & Geosciences)","Papadonikolaki, E. (mentor); Hall, Daniel M. (graduation committee); Decouchant, Jérémie (graduation committee); Schipper, H.R. (graduation committee); Delft University of Technology (degree granting institution)","2024","Since its emergence in 2008, blockchain technology has significantly expanded its scope, impacting various industries beyond its initial cryptocurrency applications. Its potential to enhance established practices is increasingly recognized, yet its application in the Architecture, Engineering, and Construction (AEC) industry has been relatively little researched to date, let alone been applied in practice. This research aims to develop a system facilitating the initiation and management of architectural projects on the blockchain, enabling multi-disciplinary collaboration and participation, by integrating blockchain technology into the AEC ecosystem. The proposed system is designed to allow architects, engineers, and designers, irrespective of their preferred design software, to contribute, manage and record their designs. By uploading these designs to the InterPlanetary File System (IPFS) and recording the IPFS hashes on the Ethereum blockchain, the system ensures immutable data provenance, transparent ownership representation, and heightened project transparency. Furthermore, this framework automates various aspects of project management, including contractual obligations, payments, and compliance verification through smart contracts. At the core of this research is a multi-step approach that begins with a literature review to establish the current state of blockchain applications within the AEC sector. The review focuses particularly on the potential of smart contracts, NFTs, and IPFS for improving the management of construction projects. A conceptual framework is then developed, drawing from the literature to designing a prototype system that integrates these technologies. The prototyping phase involves creating a blockchain-based system where architectural project management can be conducted securely and efficiently. Key to this phase is the crafting of smart contracts to automate project workflows and the use of NFTs for clear delineation of design ownership and achievements. The system also incorporates a method for offchain storage of design files through IPFS, connected to the blockchain, ensuring data integrity and easy retrieval. Systematic testing under certain scenario assesses performance and informs iterative optimization to refine the system's functionality. A performance evaluation strategy is employed to assess the prototype, ensuring its suitability for real-world applications in the AEC sector. This research develops a blockchain-based system in attempt to restructure project management in the AEC sector. Through iterative development, testing, and optimization, the objective of this study is to contribute to enhanced efficiency, transparency, and collaboration within the industry, exploring the potential benefits of integrating blockchain technology in a structured and measured manner.","Blockchain Technology; Blockchain; NFT; IPFS; Smart Contract; Construction Management; AEC industry","en","master thesis","","","","","","","","","","","","Civil Engineering | Building Engineering","",""
"uuid:2f1719da-336e-47c2-b1e4-9e4b60773bde","http://resolver.tudelft.nl/uuid:2f1719da-336e-47c2-b1e4-9e4b60773bde","Overcoming Network Saturation in Continual Learning: A Method for Dynamic Parameter Adjustment","Qin, Xusen (TU Delft Mechanical, Maritime and Materials Engineering)","Bessa, M.A. (mentor); Sluiter, M.H.F. (mentor); Delft University of Technology (degree granting institution)","2024","Neural networks have made significant progress in domains like image recognition and natural language processing. However, they encounter the challenge of catastrophic forgetting in continual learning tasks, where they sequentially learn from distinct datasets. Learning a new task can lead to forgetting important information from previous tasks, resulting in decreased performance on those earlier tasks. This issue is further intensified in dynamic scenarios where the task sequence varies unpredictably. To address this problem, architectural methods have been developed to modify a neural network's structure, creating or adapting subnetworks to retain task-specific knowledge and mitigate catastrophic forgetting. However, these solutions can lead to network saturation, where the accumulation of task-specific adaptations hampers the network's ability to learn new tasks. This research aims to address the problem of network saturation by developing innovative methods that enable neural networks to maintain high performance across both existing and new tasks in continual learning scenarios. Eventually, the new model improved its learning ability on new tasks in the presence of an allowable forgetting, while demonstrating better overall learning ability.","Deep Learning; Continual Learning; Network Saturation; Image recognition; Data-Driven Analysis","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:098192cd-0d56-4536-9596-6aab771ace09","http://resolver.tudelft.nl/uuid:098192cd-0d56-4536-9596-6aab771ace09","Timber on Top: A Parametric Exploration of Vertical Extension Potential in the Rotterdamse Laag","Kwakman, Rik (TU Delft Civil Engineering & Geosciences)","Louter, P.C. (mentor); Schuurman, A.C.B. (mentor); Felicita, M.P. (mentor); Bresser, Djonno (mentor); Delft University of Technology (degree granting institution)","2024","The Netherlands is grappling with a substantial housing crisis, marked by an estimated shortage of 380,000 houses. To address this issue, an annual creation of 100,000 new housing units is deemed necessary. However, the current construction rate stands at only 70,000 houses per year, indicating a considerable gap in resolving the housing crisis. Recognizing the potential of urban densification, especially through vertical extension using Cross-Laminated Timber (CLT), presents a sustainable solution. Nevertheless, challenges arise, such as the unique approach to vertical extension and the structural constraints posed by CLT's lower strength compared to materials like concrete.
This research aims to identify the vertical extension potential of CLT in existing buildings by developing a parametric tool that considers various structural constraints. The ultimate goal is to contribute to informed decision-making practices for sustainable and effective structural design in vertical extensions.
The methodology comprises four phases: analysis, synthesis, simulation, and evaluation. The analysis phase examines existing vertical extensions, structural context, and spare capacity concepts, forming the basis for synthesis. A parametric tool is then created using Grasshopper and Karamba, employed in the simulation phase to conduct a parameter study based on the analysis phase findings. This study assesses the effects of the original structure's base geometry on spare capacity and evaluates the design of the extension itself.
The results of the parameter study reveal that the presence and placement of a stability core have the most significant impact on spare capacity in the existing building. The original construction grid and building height also influence spare capacity, though to a lesser extent. Additionally, wall layouts in the extension, such as core alignment, functional design, and façade-aligned layouts, significantly affect spare capacity utilization in both the original structure and the extension.
Variations in extension grid show differences in spare capacity utilization, with effects smaller in magnitude compared to wall layout variations and displaying less dependence on the original structure's geometry. In the vertical extension itself, failure tends to concentrate on connections between CLT panels and floors, particularly with wall layouts emphasizing functional design.
In conclusion, the research, coupled with the development of a parametric tool, successfully achieves its main goal. The tool's accuracy is validated through extensive assessments of horizontal load transfer from the extension to the original structure. The parameter study highlights the significant effects of various parameters on extension design and the original structure, emphasizing the tool's utility in exploratory design stages for vertical extensions.","vertical extension; CLT; timber; Rotterdamse Laag; parametric modelling; parametric tool","en","master thesis","","","","","","","","","","","","Civil Engineering | Building Engineering - Structural Design","",""
"uuid:ce6b5165-2f5a-4ae7-8966-16b194459364","http://resolver.tudelft.nl/uuid:ce6b5165-2f5a-4ae7-8966-16b194459364","Immersive Spatial Experience: The development of a novel assistive technology for dynamic spatial environment perception for the visually impaired","Mispelblom Beijer, Juerd (TU Delft Industrial Design Engineering)","Rozendaal, M.C. (mentor); Schermer, W. (graduation committee); Delft University of Technology (degree granting institution)","2024","This project develops a novel assistive technology for aiding in mobility of the Visually Impaired in collaboration with Royal Visio. This technology provides VIPs with a dynamic tactile map of their environment to improve spatial awareness. The aim is to improve overview, wayfinding and orientation, while also improving the overall mobility experience by boosting confidence and independence through comprehensive awareness of the environment.
The initial research phase seeked to understand the needs and challenges in mobility faced by VIPs, both from a functional point of view, focusing on wayfinding and orientation, and on a psychosocial level, focusing on emotional and experiential needs that derive from the process. It was found that the absence of the visual sense significantly limits VIPs’ ability to overview and comprehend their surroundings, hindering their orientation and use of distant environmental reference for movement, resulting in the reliance on physical guidelines. Reduced awareness of potential hazards and complicated wayfinding often leads focus the functional aspects of mobility. They experience a vigilant, alert state of mind, focusing mainly on avoiding risks and not losing their way. This intense focus diminishes engagement with the broader environment and leads VIPs to stick to familiar areas. Such limitations impact their independence but also their connection with society.
The review of current assistive solutions reveals that they primarily concentrate on similar functional aspects of mobility, using interface technologies that fall short in significantly enhancing environmental awareness and reducing the perceived lack of environmental awareness.
This gap highlights the need for assistive technologies that focus not only on wayfinding and navigation but also on these experiential aspects. The project proposes a multidimensional, spatial interface technology akin to conventional tactile maps but of dynamic nature, is capable of real-time updates based on the actual environment, moves along with the user and subsequently is able to display non-static and small-scale environmental elements. This dynamic tactile map aims to provide a deeper sense of environmental awareness and understanding.
In the subsequent research-through-design phase, a prototype of the conceptual product, that provides a dynamic top-view map of the environment on an electronic braille screen, is put to the test. Despite highlighting the potential of this conceptual direction for spatial understanding, orientation and free, confident movement, the experiment reveals significant requirements for improvement of the interface and environmental mapping technologies.
The final design, “Immersive Spatial Awareness,” features an improved interface with in the form of a haptic augmented reality system that enables a dynamic 360° 3D perception of the environment, primarily through touch, but supplemented with multisensory elements. While significant technological development and Human-Centred-Design is required to realise this visionary concept, the applied technologies are grounded in actual research and existing technologies that see rapid development, highlighting the realistic potential of the concept. The design is supplemented with a roadmap that details and presents the development process towards the successful application of this technology.","Visually Impaired; Object with Intent; Inclusive Design; Research-by-design","en","master thesis","","","","","","Double degree in Integrated Product Design and Design For Interaction","","","","","","Integrated Product Design","",""
"uuid:a73afc38-214a-4ed7-ad14-575ecfc3f30f","http://resolver.tudelft.nl/uuid:a73afc38-214a-4ed7-ad14-575ecfc3f30f","Practical guidelines towards a circular-adaptable reuse of vacant and obsolete real estate: A particular reference to the Dutch context","Amrani, Khalil (TU Delft Architecture and the Built Environment)","Wamelink, J.W.F. (mentor); Hamida, M.B. (graduation committee); Delft University of Technology (degree granting institution)","2024","The circular economy is an economic model in which resources are circulated in a closed loop by adopting the R-strategies (e.g. reuse, recycling, and reduce) to minimize waste and pollution. Repurposing vacant buildings, known as adaptive reuse, is in line with the principle of circular economy, as it contributes to the reuse of the built assets instead of their demolition. In addition, this can help to conserve resources and reduce the environmental impact of building construction. Furthermore, adaptive reuse is not only necessary for vacant properties but also for obsolete buildings. Thus, it is an unavoidable practice in the built environment. This implies that adaptability should be incorporated into this kind of projects besides circularity. However, recent research revealed that many of the Dutch adaptive reuse projects were implemented without adequate consideration of circular solutions.
Accordingly, this research project aims to develop practical guidelines that could guide practitioners on operationalizing circularity and adaptability in the adaptive reuse of vacant and obsolete real estate.
Sequentially, three approaches with different research methods were followed to answer the main research question: ‘’How can circularity and adaptability be promoted in the reuse of vacant and obsolete real estate?’’
The first approach is the documentation of existing knowledge. This approach was followed to answer the first sub-question: ‘’What are the criteria and measures for reusing obsolete and vacant buildings in a circular and adaptable manner?’’ In this approach, a literature review was carried out. The literature review led to the identification of 12 criteria and 8 measures for circular and adaptable adaptive reuse.
The second approach is case studies on circular adaptive reuse projects of vacant and obsolete buildings. This approach was followed to answer the second sub-question: ‘’To what extent are circularity- and adaptability-related measures implemented in reusing vacant and obsolete buildings?’’ In this approach, three research methods were used in two case studies, namely: archival research, interviews, and field observation were used simultaneously. The findings of the cross-case analysis of two case studies resulted in adding 8 measures to the previously defined measures from the literature, totaling 16 measures.
The third approach is the formulation and validation of guidelines based on knowledge gained from theory and practice. This approach was followed to answer the third sub-question: ‘’How can guidelines guide professionals on how to promote circularity and adaptability-related measures in the reuse of vacant and obsolete buildings?’.’ In this approach, the guidelines were synthesized based on knowledge gained from the literature and findings of the two case studies. The results of developing and validating the guidelines contributed to adopting 13 guidelines. Overall, the validation outcomes indicate that the guidelines are informative and useful when visualized. However, they need to be updated constantly for their applicability in practice.","Adaptive reuse; Adaptability; Circular Economy (CE); Guidelines; Vacancy; Obsolescence; Real Estate; Circular building adaptability","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:0d4f6592-456a-413a-a10b-e7d30930e126","http://resolver.tudelft.nl/uuid:0d4f6592-456a-413a-a10b-e7d30930e126","Analysis of the visibility of GPS satellites in the urban environment using point cloud representation","Gheorghiu, Irina (TU Delft Architecture and the Built Environment)","Verbree, E. (mentor); Meijers, B.M. (graduation committee); Hoogenboom, J.J.J.G. (graduation committee); Delft University of Technology (degree granting institution)","2024","Global Navigation Satellite System is a spatial data acquisition technique, mostly used in navigation and positioning. One of the main components of this technique is the satellite visibility, which refers to the connection between the satellite and the ground receiver. It is known that the GNSS positioning systems are not as performing in urban areas due to the dense coverage of obstacles (buildings, trees, high terrain etc.). These obstacles can obstruct and reflect the lines of sight between the satellite and the ground receiver which can affect the quality of the performance of the GNSS service. The geometry configuration of the satellites above the receiver is another important aspect that has to be taken into consideration.
This research focuses on implementing a simulation similar to that of GNSS mission planning tools, but using point cloud data as the 3D representation of the surroundings of the receiver and using only the GPS constellation of satellites. Due to the large size of a point cloud sample, two visibility algorithms have been implemented to filter the necessary 3D data. The main output of the simulation are the dilution of precision values which give further information about the satellites' positions. The main purpose of this research is to understand the dilution of precision values, which are directly related to the geometry of the satellite configuration above the receiver. Understanding the behaviour and how the receiver's environment influences the DoP values can result in leading GNSS surveying missions with better results.
This output is then compared with the data acquired from a GNSS receiver in a real scenario. While the results are not favorable for the implemented simulation, it gives a better understanding of the surroundings of the receiver's location by using point cloud data than the already existing online GNSS tools.","Satellite; Constellation; GPS; GNSS; Land surveying; Point Cloud; Visibility; Analysis; Urban environment; LiDAR","en","master thesis","","","","","","","","","","","","Geomatics","","51.993889, 4.378264"
"uuid:e4870113-975f-4fa1-96dd-1e6d0f061e29","http://resolver.tudelft.nl/uuid:e4870113-975f-4fa1-96dd-1e6d0f061e29","Time-window based Truck Appointment System with Adaptive Slot management and Real-Time Truck Information: A case study for the loading operations in a Chemical Plant","Skoulas, Vasileios (TU Delft Civil Engineering & Geosciences)","Tavasszy, Lorant (graduation committee); van Duin, Ron (mentor); Duinkerken, M.B. (mentor); Vanga, R. (mentor); Delft University of Technology (degree granting institution)","2024","Long truck queues and congestion around terminals is a common sight, however they come with many negative externalities for all the stakeholders involved. Truck Appointment System (TAS) is the most commonly used system to face these problems, but it still has some drawbacks and limitations. Consequently, in this research an extension of the typical TAS is proposed in order to improve its performance. The main components of this system are the use of truck dependent time-windows, the utilization of real-time information and the adaptive trucks rescheduling model. The duration of the arrival time-windows is longer than the actual service times, allowing overlap between time-windows. Thus, the actual service sequence might be different from the reserved one. To determine the actual loading sequence an Optimization model is developed, which is run periodically while utilizing real-time truck information. A chemical plant is used as a case study in this research. The performance of the proposed TAS is assessed with the use of a Simulation model. The outcomes of this research suggest that the a less strict TAS can significantly improve the system’s performance, especially trucks’ waiting times. Also, the system’s resilience against disruptions and the plant’s environmental footprint are improved, while queues are reduced.","Truck Appointment System (TAS); Truck Arrival Management System; Time-window; ETA; Real-time Information; Rescheduling; Slot Management; Chemical plant; Optimization model; Mixed Integer Linear Programming (MILP); Simulation model","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:620f1e36-48bd-4f35-b543-ff52a4fa0d39","http://resolver.tudelft.nl/uuid:620f1e36-48bd-4f35-b543-ff52a4fa0d39","Salt Intrusion Modelling via the Particle-tracking Framework","Lin, Sicong (TU Delft Electrical Engineering, Mathematics and Computer Science)","Verlaan, M. (mentor); Vuik, Cornelis (graduation committee); Delft University of Technology (degree granting institution)","2024","The topic of this thesis project is to use the particle-tracking framework to study salt intrusion.
This project was proposed by Deltares and is a small part of the SALTISolutions project, that attempts to build a digital twin model of the Rhine-Meuse Delta. From a mathematical perspective, the particle-tracking framework is inspired by the theoretical connection between partial differential equations that describes substance transport and stochastic differential equations (SDEs). The idea is to use replace the continuous substance distribution in the fluid by many particles. After tracking the particles, the consequent substance distribution can be approximated by the particles' distribution at certain time instant. There are two major achievement of this project. Firstly, different implementation of non-penetrating and flux boundary conditions for the particle-tracking framework are tested and compared. The recursion algorithm is preferred for the previous and the ``Neumann-wise'' is recommended for the latter. Secondly, the convergence order of SDE numerical schemes and their influences on the simulation of the vertical transport physics are examined by studying the one-dimensional pure diffusion test case. We see that most simple Euler scheme contaminates the stratification completely in a theoretical setting even using a small timestep, indicating that it might be worthwhile to switch to high-order schemes whenever possible. However, even if one can implement other higher order numerical scheme correctly and efficiently, which is not easy, it might be equivalently important to have enough particles and good interpolation of velocity and diffusion field in the computation domain, because they may introduces error comparable to the diffusion error. Since salt transport is already resolved by the Delft3D-FM model, it is of nonsense to use the particle-tracking framework to study transport. The one-dimensional pure diffusion test case is mainly used for verification of the method. Actually, the particle-tracking framework should be used in combination of the diagnostics timescales and tracer methods. This often requires calculation of the statistics of the particle ensemble. It will be interesting to conduct such analysis on a realistic three-dimensional test case, and this could be the field of future research.","salinity intrusion; particle-tracking; SDE numerical methods","en","master thesis","","","","","","","","","","","","Applied Mathematics | COSSE (Computer Simulations for Science and Engineering)","",""
"uuid:913c04f8-4157-4842-a7fe-c5368ace4eae","http://resolver.tudelft.nl/uuid:913c04f8-4157-4842-a7fe-c5368ace4eae","Techno-Optimism and its Impact on Civil Servant Decision Making: A Case Study and Insights into AI Case Routing for Welfare Benefits Allocation","de Vries, Bo (TU Delft Technology, Policy and Management)","Dobbe, R.I.J. (mentor); van der Voort, H.G. (graduation committee); Heyning, Nicolaas (mentor); Delft University of Technology (degree granting institution)","2024","In our thesis, we conducted an in-depth exploration of the integration of Artificial Intelligence (AI) in public sector decision-making, focusing particularly on AI case routing for welfare benefits allocation. Initially, our research was guided by a techno-optimistic viewpoint, presuming that municipalities would inherently benefit from AI adoption. However, as our study progressed, we encountered the complexities and challenges inherent in the practical implementation of AI in public services.
We employed the Design Science Research methodology, utilizing a diverse array of methods including literature reviews, case studies, expert interviews, and system safety analysis. Our objective was to develop a method-type artifact to enhance decision-making in civil services, with a special emphasis on the application of AI in welfare benefits allocation. Our findings indicated that AI implementation in the public sector is highly context-dependent, requiring a tailored approach that addresses various technical, organizational, and cultural barriers.
Throughout our research, we identified critical factors such as the need for transparency, fairness, and accountability in AI systems. We recognized the importance of adopting a balanced perspective that considers both AI and non-AI solutions. Our study highlighted the potential benefits of AI in public services, such as increased efficiency and improved quality of decision-making. However, we also noted significant challenges in ensuring system safety and maintaining ethical standards.
Through expert interviews and a detailed system safety analysis, we emphasized the necessity of establishing clear rules for the responsible use of AI case routing and addressing the associated risks. Our research concluded that AI might not always be the most suitable approach, particularly if safety concerns and ethical considerations outweigh the potential benefits.
In summary, our thesis underscores the need for a critical and balanced approach to the integration of AI in public services. We advocate for a holistic strategy that involves collaboration among government, academia, industry, and civil society. This approach is vital to harness the potential benefits of AI while mitigating risks and ensuring the well-being of society.","Artificial Inteligence; Repetitive Civil Servant Decision Making; Implementation; Case Routing","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:8e012c02-b71b-42bd-93f7-1b76fb31aeea","http://resolver.tudelft.nl/uuid:8e012c02-b71b-42bd-93f7-1b76fb31aeea","A Workers' Enclave","Chen, Dongyan (TU Delft Architecture and the Built Environment)","Milani, S. (mentor); Schoonderbeek, M.G.H. (mentor); Jennen, P.H.M. (mentor); Delft University of Technology (degree granting institution)","2024","This project experiments in representing the spatial complexity of a research area and reintroduces it to an adjacent site in the language of architecture. A heavy industrial district for ship building and maintenance is centered on and taken as a field with its dense configuration of artifacts (cranes, workshops, cargoes) and invisible force activated by movement of these objects. For a group of people – workers who work and live inside everyday in positions of insecure employment, safety and long work hours, a collective place is proposed for leisure and cultural activities.","workers' club","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Borders and Territories","",""
"uuid:c8b7affd-c166-457a-8069-d06fc9649b53","http://resolver.tudelft.nl/uuid:c8b7affd-c166-457a-8069-d06fc9649b53","Reimagining Home: a Qualitative Research on Innovative Flex Housing Partnerships and Subsidies for Empowering Status Holder Integration","van der Horst, Lars (TU Delft Architecture and the Built Environment)","van Bortel, G.A. (mentor); Veldhuis, J.H. (mentor); Brijder, S. (Simon) (mentor); Delft University of Technology (degree granting institution)","2024","The Netherlands is dealing with a severe housing crisis due to factors like population growth and a shortage of affordable homes. This has led to soaring housing prices impacting all citizens. Particularly impacted by the consequences of ineffective policies for housing provision are status holders. Due to lack of homes made available for this target group the throughput of refugees with a temporary residency permit out of reception centres stagnates, increasing the pressure on these already overcrowded settlements.
Urgent action is needed, including comprehensive housing policies and increased investment in affordable housing. Therefore the government has introduced flexible housing as a promising solution. These are modular houses placed on temporary plots otherwise unsuitable for permanent housing.
However, studies show that in order to integrate into society, factors such as housing stability, access to social network and future perspective play an important role. This is seemingly difficult to reconcile with the temporary character of flex homes.
This study examines strategies for stakeholders to develop flex homes in a manner that enhances the capabilities of status holders to integrate. By identifying approaches and practices that can optimize partnerships between key stakeholders from both the social and spatial realm and ways to encourage these partnerships. This can be done with financial arrangements such as subsidies. Through a comprehensive literature review, interviews with experts and a case study of three flex housing projects, the partnerships, financial arrangements and capabilities for integration are investigated.
Findings show that early engagement of key stakeholders, interdisciplinary partnerships and financial arrangements that hold these interdisciplinary collaborations as a condition can have a positive impact on the contributing factors of integration for status holders. Primarily because this allows the social partners to exchange their knowledge on social integration at an early stage in the development process, influencing both the design as the long term social management approaches.
This study suggests that the integration capabilities of status holders should be considered at an early stage in the development process. Requiring a contribution from key stakeholders from both the social domain, as the spatial domain, and stimulating mechanisms from the government.
Keywords:
flex housing, integration, status holders, partnership models, financial arrangements
The research starts with an extensive literature review of existing research on biodiversity in the built environment, establishing a foundational framework for subsequent methods. Interviews with experts are conducted to delineate the identified problem, gaining valuable insights and knowledge from ecologists. This is followed by a focus group workshop with participants from various disciplines, in which a process is designed with biodiversity guidelines and considerations. While the expert interviews focused mainly on the role of the government, the workshop discussions emphasised the role of the project developer, highlighting an observable tension between these stakeholders that necessitates collaborative alignment within the multi-plot context.
Recognising the impact of scale, the research underscores the need for collaborative efforts across multiple plots to enhance urban biodiversity. Biodiversity thrives at broader spatial scales where interconnected networks of green areas can support larger populations and facilitate species movement. Emphasising the importance of stakeholder collaboration, the developed process and implementation framework offer valuable guidance for project developers and other stakeholders. Roles, responsibilities, and priorities of stakeholders vary per urban area development project and biodiversity ambitions, which makes biodiversity enhancement complex. Biodiversity implementation in urban area development projects requires early area analysis, consistent oversight of objectives, seamless integration of biodiversity goals into the overall vision, and continuous monitoring throughout the development process.","biodiversity; urban area development; stakeholder collaboration; sustainability; nature-based solutions; ecosystem services; project developer","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:b1731cd0-e118-4cbd-8200-1cf229030698","http://resolver.tudelft.nl/uuid:b1731cd0-e118-4cbd-8200-1cf229030698","Navigating Risk to Resilience: Examining the impact of water risks on the investment decision-making process in polder-area development projects in the Netherlands","Zantinge, Daniël (TU Delft Architecture and the Built Environment)","Geurts, E.H.M. (mentor); Taylor, Zac (graduation committee); Delft University of Technology (degree granting institution)","2024","In the coming years, the Netherlands is expected to face various climate change-related challenges. Until 2030, the Dutch government has set the goal for the development of nearly a million dwellings (De Jonge, 2022), whereby 600,000 dwellings are being carried out in areas prone to flooding (Deltares, Bosch Slabbers, & Sweco, 2021; Groenemeijer & van der Lelij, 2021). In literature, work has been done regarding the current use of adaptation pathways, policies and their impact on decision-makers and practitioners (Restemeyer, van den Brink, & Woltjer, 2017; van Alphen, Haasnoot, & Diermanse, 2022; Yousefpour & Hanewinkel, 2016). However, limited efforts have been made regarding their practicality in the real estate sector. This research seeks to address the fundamental question, “who pays what, when?”. At its core, this research revolves around the influence of climate risks on the investment decision-making process in polder-area developments in the Netherlands and examines the research question: “In which way do water risks impact the investment decision-making process in polder-area development projects in the Netherlands?”. In this qualitative study, the urban area development projects Westergouwe (Gouda) and Gnephoek (Alphen aan den Rijn) are compared and analyzed. With the use of explorative interviews, and semi-structured in-depth interviews, the research strived to answer the research question. Afterward, an expert panel is held to bridge the gap to standard practice. This research found that the integration of adaptive measures into the investment decision-making process is currently insufficient. There is a need for clear policy, clear distribution of responsibilities and prioritization of water safety over financial considerations in the investment decision-making process. By navigating this complexity, driven by collaborative efforts, a holistic approach becomes imperative to forge a path toward a more resilient built environment.","Climate adaptation; Climate risk; Water risk; Water management; Investment decision-making; Public-Private Partnerships; Polders; Low-laying development; Urban climate risk management; Urban Area Development; Real estate development","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:d4ad5520-3ca5-4ea6-87fa-a71bfaf5bc61","http://resolver.tudelft.nl/uuid:d4ad5520-3ca5-4ea6-87fa-a71bfaf5bc61","From Conflict to Value: Constructively Managing Conflicts to Enable Value Co-creation during the Front-end of Urban Redevelopment Projects","de Heus, Frank (TU Delft Architecture and the Built Environment)","Chan, P.W.C. (mentor); Ersoy, A. (graduation committee); Delft University of Technology (degree granting institution)","2024","This research explores the interdependent public-private relationship during the front-end of urban (re)development projects. During this process the municipality calls up on the developer's ability to drive change and innovation through the co-creation of value propositions. Inevitable conflicts emerge because of value pluralism and play a significant role in the co-creation of value propositions. However, in what ways real estate developers manage those emerging conflicts constructively and how this influences individual actor's value propositions is less known. Consequently, the following research question has been drawn up: ""How can real estate developers manage conflicts constructively to enable value co-creation during the front-end of urban redevelopment projects?"" In answering the research question this study applies a qualitative research method, using semi-structured interviews within a case study of an urban redevelopment program in the Netherlands. The findings display the dynamics of emerging conflicts during the co-creation of value propositions between developers and the municipality and the influence on individual actor value propositions. Understanding these dynamics, proactively steering on a good project environment to foster functional conflicts and utilise appropriate conflict handling styles enables developers to constructively manage conflicts during the front-end of urban (re)development projects.","value co-creation; value co-destruction; conflict management; emerging conflicts; value propositions; developers; municipality; urban redevelopment projects; front-end","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:07351967-5277-4489-b61a-7347b4e51197","http://resolver.tudelft.nl/uuid:07351967-5277-4489-b61a-7347b4e51197","Office of the Future: A Study on Office Reduction and Perceived Employee Productivity of Global Pharmaceutical companies in the Netherlands","Elders, Bente (TU Delft Architecture and the Built Environment)","Vande Putte, H.J.M. (mentor); van Bortel, G.A. (mentor); Delft University of Technology (degree granting institution)","2024","Restrictions from the covid pandemic are lifted. However, the office remains changed, the hybrid way of working seems to stick. Employees want flexibility and choose when to work from home and when they work in the office. The norm of a hybrid work week is working three days in the office and two days from home. Resulting in office space that is not used as much as before the pandemic. Therefore organizations are looking into reducing their office space (Syme, P., 2023).
When considering the reduction of office space, it is also important to consider an organization’s employees. In this research, the focus lies on the impact space reduction might have on the perceived productivity of the employees. The focus on productivity is due to the impact productivity has on the performance of an organization (Aziri, 2011). If office space is reduced and the office therefore has a higher occupancy level, what does this do to the employee productivity? According to research done by Center for People and Buildings (2023), a higher occupancy level in the working environment results in employees being less satisfied with the availability of workplaces. With a higher occupancy, employees are forced to have video meetings in the open workspace, while other employees/colleagues are doing concentration work in the same space at the same time (Center for People and Buildings, 2023). Looking at these uncertainties, the main research question therefore is: ‘How can global pharmaceutical companies reduce their office space in the Netherlands while still maintaining perceived employee productivity?’. The research focuses on global pharmaceutical companies that are reducing their office space. The focus lies on these companies, in order to define the research on a specific branch and due to the timeframe. In order to answer the research question, the research starts with a literature study. After the literature study, a series of case studies including interviews are done on global pharmaceutical companies. These companies have already experienced an office reduction and are planning on implementing a second reduction within the same project or with another project. The case studies provide insight into potential challenges concerning office reduction and maintaining employee productivity. After analyzing the case studies a cross case study analysis has been done. With the insights from the cross case analysis solutions have been found on how global pharmaceutical companies have tried to successfully reduce office space while keeping employee productivity. The research can help organizations with the decision-making on their office use.","Office reduction; Hybrid working environment; Perceived employee productivity; Office of the future; Global pharmaceutical company","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:7a86c434-ef73-4ea6-a3d6-4eb390b9e10b","http://resolver.tudelft.nl/uuid:7a86c434-ef73-4ea6-a3d6-4eb390b9e10b","Cargo Plus: The Cargo Terminal Design for Enhancing Working Conditions","CHEN, MENG (TU Delft Architecture and the Built Environment)","van Zalingen, J.M. (mentor); Eckardt, H.F. (mentor); Söylev, Y. (mentor); Delft University of Technology (degree granting institution)","2024","In today’s fast-paced and highly competitive global trade environment, cargo terminals have become crucial hubs for the transportation of goods. However, workers in these environments often face physically demanding tasks, such as heavy lifting, awkward body postures, harmful noise, and extreme temperatures. Besides, they also mentally face the mentally demanding working conditions, resulting from the time pressure, increasing complexity of logistics systems and the integration of advanced technologies. These factors have significant implications for employee health, well-being, and productivity, which in turn affect the overall efficiency of cargo operations.
The architectural design of cargo terminals has the potential to offset these demands and address the consequences by creating environments that actively support employee well-being. By exploring innovative design strategies that consider both functionally and mentally, architecture can play a crucial role in alleviating demanding working conditions,
promoting well-being, and boosting productivity within cargo terminals.
The project Architecture for the Displaced: One building representing the resettlement procedure in the arrival city of Berlin is about proposing a better building solution for both the institutions and the displaced. The project is a building bringing the resettlement procedure together, from arriving to going to court. The building is a pioneer in a more humanitarian approach towards getting refuge in Germany, by responding to the user's needs. The research question is: “How can a building treat displaced people in a societal inclusive way while maintaining institutional efficiency?”","Migration; Displaced People; Courthouse Architecture; Inclusive design; Berlin","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","Bodies and Building Berlin","52.50714864550195,13.430353205492667"
"uuid:f7623a82-2cd0-4037-bd4f-8226a10aa910","http://resolver.tudelft.nl/uuid:f7623a82-2cd0-4037-bd4f-8226a10aa910","New Cemetery: A museum of memories","XU, JIACHENG (TU Delft Architecture and the Built Environment)","van Zalingen, J.M. (mentor); Eckardt, H.F. (mentor); Söylev, Y. (mentor); Overschie, M.G.F. (graduation committee); Delft University of Technology (degree granting institution)","2024","What relationship can a cemetery have with the city?
Since the first garden cemetery was built in the early nineteenth century, this concept spread rapidly throughout Europe. Until now garden cemetery is still the common form of cemeteries in European cities. With the concept of garden cemetery, cemeteries exist in the urban fabric as a public space represented by nature and landscape attributes. The emergence of this relationship is closely related to the demand for burialbased burial forms. For a long time, this relationship has given the cemetery a natural and green identity, which is also the common perception of the cemetery among citizens.
Has this relationship changed?
In the context of the Complex Project, Building Body Berlin course, this research design will
focus specifically on Berlin, Germany. The existence of the German cemetery law, known as “Der Friedhofszwang“, makes cemetery burial mandatory in Germany. Along with this law of compulsory burials, the demand for cemeteries has a huge quantitative basis in Germany.
However, according to the research of cemetery development plan of Berlin in 2006, since the end of the 20th century, the cemeteries (mainly garden cemetery) in Berlin have roduced a large amount of vacancy. These idle cemeteries consume a lot of operating and maintenance costs. This phenomenon does not mean that the demand for cemeteries has declined. On the contrary, the demand for cemeteries in Berlin is still increasing. Moreover, with the aging of the population in Germany, the death rate and the number of deaths have risen, and Berliners’ demand for cemeteries will continue to increase in the future. The increase in demand for cemeteries does not appear to coincide with the reduction in cemetery size. It is this inconsistency that helps us see the problem for what it is. The reason for this lies in the transformation of the form of burials. As cremation has grown in popularity, people have increasingly turned to other forms of burial, such as burial of ashes and placement in columbariums. According to statistics, the proportion of cremation in Germany has reached more than 75%. Compared with the traditional form of burying coffins, the land area required for the new burial form represented by cremation is greatly reduced. Statistics show that compared with the average area of 12 square meters in traditional burial coffins, the average area of urns placed in columbariums is only 0.5 square meters. This huge change has also led to a continuous reduction in the core space actually used in the cemetery. Therefore, more and more cemeteries can no longer assume the role of urban public green space due to the reduction of the core use area.
Although this phenomenon of quantitative change has not accumulated to produce qualitative change, we can still see the urgent need for the transformation of the cemetery. So how can the cemetery provide a new value as an urban public space? This research design process will ask questions around this question and offer a possible solution.
This project highlights a significant knowledge gap in the effective integration of circular principles into the built environment at the neighbourhood scale and underlines the importance of social and environmental integration in research.
Previous research has identified significant vulnerability to climate risks and inadequate availability of nutritious, locally grown food, resulting in high levels of obesity and vulnerability to climate extremes in the selected post-war neighbourhood. In addition, the opportunity to separate organic waste from general waste is not fully realised, resulting in the loss of recyclable organic matter, which would have a great potential for energy transformation and introducing local agriculture. However, this waste separation needs to start in our daily lives. In contrast, food production takes place in isolation from our daily lives.
This raises the question: How can the transformation of Dutch post war neighbourhoods facilitate actions of our daily life towards a circular foodscape?
The main objective of this research project is to create a pattern language as a co-design method for the transition to a circular built environment in Dutch post-war neighbourhoods.
The creation of circular networks, such as mobility, sewage, heating infrastructure, and social networks that are interconnected, are very much needed to support the transition to a holistic circular system for everyday life in the respective neighbourhoods.
The project will use a design approach, conduct qualitative and quantitative research, and confirm the results with workshops and design development - in particular creating a pattern language. A systemic design approach will form the basis of this research and design process, as it embraces urban metabolism, co-creation and respects the diverse and complex nature of the project. The use of a pattern language as a research and design tool allows for the exchange of research and design through a co-creation approach and possible spatial implementations of a circular neighbourhood with circular actions in the everyday life of the inhabitants of Schalkwijk.
The primary outcome of this project is the design of a co-creation process, using the developed pattern language to show possible outcomes of a circular built environment. This includes bringing food production closer to our everyday lives and integrating circular systems within the local community. Urban planners have a facilitating role in presenting options for a circular future, starting processes that support the information and interest exchange of stakeholders, and providing participatory methods for shaping spatial circular strategies in post-war neighbourhoods.
The research highlights how outcomes can vary based on perspectives, interests, and needs during the co-creation process. While the study concentrates on the food system, it also recognises wider aspects of circularity within the built environment, such as water and energy supply. The unpredictable human element in the co-creation process affects workshop dynamics and results.
In short, this project aligns with the continual changes in sustainable development, participation, and circularity. It presents the pattern language as a useful tool for stakeholders working on circularity transitions, offering new opportunities for collaboration and resource efficiency at the neighbourhood scale. The findings contribute to filling existing research gaps by proposing a way to a sustainable, participatory, and circular urban development in Dutch post-war neighbourhoods.
Many InSAR studies use a batch estimation process requiring a robust algorithm to obtain reliable results. Here we propose a test recipe and introduce metrics to assess the robustness of the InSAR displacement estimates quantitatively, comparing the batch-estimated results of varying SAR acquisition inputs. Robustness characterizes the stability of displacement estimates in the face of disturbances and uncertainties, demonstrating resilience against changing conditions and input.
Our quantification of robustness involves three core metrics to assess InSAR displacement estimates.
Case studies conducted over the city center of Amsterdam and a coastal region at the North Sea reveal the useful insight provided by robustness testing in identifying ambiguities and fallacies in the applied algorithm. Notably, the main challenges arise from the estimation of atmospheric delay, which emerges as a sensitive step with ample room for enhancement. A robust atmospheric estimation appears very dependent on the use of a sufficiently large area of interest while the estimation is sensitive to first-order network changes.
Through the implementation of appropriate measures, an average metric improvement of a factor of four can be achieved, reducing the likelihood of a misinterpretation of the InSAR time series. This underscores the effectiveness of the proposed test recipe in improving existing InSAR software.","InSAR; displacements; monitoring; Atmospheric Correction; PSI","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:72aff18e-4bb7-40e6-b525-3999f679866a","http://resolver.tudelft.nl/uuid:72aff18e-4bb7-40e6-b525-3999f679866a","Standardisation in Healthcare Systems","van Veen, Aïcha (TU Delft Technology, Policy and Management; TU Delft Aerospace Engineering)","Grossmann, I. (mentor); Copeland, S.M. (graduation committee); van de Kaa, G. (graduation committee); Delft University of Technology (degree granting institution)","2024","The aim of this research is to gain an understanding of the balance between guideline standardisation and professional autonomy. This includes its impact on the safety and quality of healthcare. These are crucial aspects of providing good care as they can contribute to an overall healthcare system that is effective, reliable, and patient-centered. The reason for performing this research is the difficulty of finding a balance between guideline standardisation and professional autonomy. While guideline standardisation is crucial to ensure a structured approach to uniform care of high quality, professional autonomy is necessary to individualise this care for each patient. At times, the tension between these aspects arises as medical practitioners feel limited in their professional autonomy, but healthcare organisations require a structural set-up of healthcare systems to provide equal care.
By performing interviews with guideline developers, doctors, and patients, this tension and possible contributing factors were explored through a technical, human, and organisational perspective. The identification of a need for the inclusion of the psychosocial elements, research regarding sensitivity around professional autonomy, and structural changes is beneficial to get to a point where it is possible to identify a balance between guideline standardisation and professional autonomy and its impact on the safety and quality of care. While multiple points for further research are indicated in this study, these three factors contribute to improving the situation of both the tension itself and the discussions around it.
The total fuel cost savings for the small fleet are to 25% and 45% for the large fleet, which are similar on both days. On both days, outbound flights are most preferred direction to be towed due to the distribution of towing times. The savings per ETV are highest for a small fleet and decrease until all flights are towed. Furthermore, the load on the charging infrastructure at AMS for different fleet sizes shows what average
and peak power can be expected. It is shown that ETV utilisation and computation time can be improved significantly, by implementing costs on time and introducing utilisation and symmetry constraints. However, with the important limitation that these improvements are observed only for small planning horizons. Finally, a sensitivity analysis on charging power showed that increasing the charging rate has a positive impact on both fuel cost savings and the minimum number of chargers required. In conclusion, this study shows the potential impact of dispatch towing at AMS in terms of fuel savings, charging infrastructure and operational challenges.","Assignment Model; Balancing Constraints; Dispatch Towing; Electric Towing Vehicles; Mixed-Integer Linear Programming; Operations Research","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Air Transport and Operations","","52.312957045589016"
"uuid:3ef77acb-b38b-4fa5-9b1e-31813b00b739","http://resolver.tudelft.nl/uuid:3ef77acb-b38b-4fa5-9b1e-31813b00b739","Inferring the residential building type from 3DBAG","Poon, Chris (TU Delft Architecture and the Built Environment)","León Sánchez, C.A. (mentor); Agugiaro, G. (graduation committee); Ibrahimli, N. (graduation committee); Delft University of Technology (degree granting institution)","2024","Urban Energy Modeling (UEM) provides a comprehensive approach to urban planning, helping to create sustainable, resilient, and energy-efficient cities that meet the needs of current and future generations. The key inputs for UEM methodologies and tools are the geometry of the building stock and its thermophysical properties. In the Netherlands, the 3DBAG provides the building stock geometry, while the thermophysical properties can be approximated using energy consumption estimates specific to each residential building type from the IEE project TABULA. However, a challenge arises as open data on residential building types at a national level is currently not readily available, necessitating the development of a method to infer this information from other accessible data sources.
In response to similar successful studies, this thesis also focuses on utilising machine learning to address this challenge. Support Vector Machine (SVM) and Random Forest (RF) algorithms were tested and compared. These algorithms were trained using data on the residential building types obtained from the Rijssen-Holten energy testbed and EP-online, with the latter requiring preprocessing to obtain the relevant information. Additionally, 25 features derived from cadastral data and building geometry underwent a selection process to identify the essential features for accurate classification of building types.
Eight models were trained and applied across eight case studies, containing subsets of the Netherlands representative for the whole country. The combined results were analyzed to determine necessary features, required data, and the most suitable machine learning approach for this research.
The results revealed that features such as adjacency to other buildings, width, and volume in LoD2.2 correlated the most with Dutch residential building types. However, critical features like the number of storeys, the presence of an open porch, or galleries were not available as open data, even though they directly relate to the definition of certain residential building types.
This thesis presented successful models, demonstrating accuracies between 61.1% and 98.5%, and balanced accuracies ranging from 51.6% to 94.2%. Importantly, performance differences were observed in various case studies, particularly in distinguishing accuracy between multi-dwelling and single-dwelling houses. Despite the longer tuning and training time, the suitability and accuracy of the RF models generally outperformed the SVM models.
These findings highlights the capacity of machine learning to attain robust classification outcomes for the Dutch building stock when trained on representative datasets. Nevertheless, it is emphasized that the accuracy of results is contingent on data quality, and difficulties may arise in scenarios involving intricate buildings with multiple components and ambiguous classification rules.","machine learning; building type; classification","en","master thesis","","","","","","","","","","","","Geomatics","",""
"uuid:75c8c337-0224-446c-a2e8-41817cf12349","http://resolver.tudelft.nl/uuid:75c8c337-0224-446c-a2e8-41817cf12349","The Place of In-Efficiency: Or the Place of Representation in Neo-Liberal Milan","Aragno, Aris (TU Delft Architecture and the Built Environment)","Pimlott, Mark (mentor); Rosbottom, D.J. (mentor); Mulder, K.B. (mentor); Mulder, André (graduation committee); Delft University of Technology (degree granting institution)","2024","This research attempts to develop a space in the city that, outside of the dynamics of consumption, but not denying the dialogue with the city, seeks to intertwine with its overlaps, by defining the public space of dialogue and representation. On the morbidity of performance and expectation, we might say that the contemporary Utopian intent, this thesis, regards defining a potential place, and its interpretive structure, devoid of expectations
– an in-efficient place.","theory of Architecture; utopia; neo-liberalism; efficiency; typology; Milan; city; park; void; interior building cities; Independent Group","en","master thesis","","","","","","","","2024-02-29","","","","Architecture, Urbanism and Building Sciences | Interiors Buildings Cities","","45.474251, 9.196318"
"uuid:485371bf-9a86-4eef-92c3-125a8904672c","http://resolver.tudelft.nl/uuid:485371bf-9a86-4eef-92c3-125a8904672c","SplitSFC: A database solution for massive point cloud data management","Cai, Cynthia (TU Delft Architecture and the Built Environment)","Meijers, B.M. (mentor); van Oosterom, P.J.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","Point cloud data are gaining more importance in the Geomatics domain, with the development of modern sensing technologies like LiDAR and photogrammetry. The size of massive point clouds are growing, and the performance of traditional database solutions for its data management become insufficient. It remains a huge challenge for researchers to come up with a data management solution that handles the huge volumes of data, while providing standardized functionalities.
Space Filling Curve (SFC) has been explored as a good spaital access techniques for organizing point clouds. Existing SFC-based database solutions include PlainSFC, HistSFC, etc. However, they use a flat table to store point records and it is not compact for massive point clouds. In this thesis, a SFC-based database solution that manages point cloud in blocks is proposed. The purpose is to improve the performance of current point cloud database solutions, especially with storage space. This model organizes the point clouds based on Space Filling Curve, and innovatively splits each SFC key to a head and a tile. The points with the same SFC head are placed in the same block. SFC tails and other property dimensions are stored as arrays in other columns.
Compared with the pgPointCloud and Oracle SDO_PC, the intermediate SplitSFC prototype does not show significant advantage in storage and data retrieval efficiency so far. However, it is fair to believe that with the improvement of algorithms and implementations, it has the potential to be an approximate and efficient point cloud data management solution.","Point cloud; Space Filling Curve; Morton curve; spatial database; spatial data management","en","master thesis","","","","","","","","","","","","Geomatics","",""
"uuid:573a34dd-b90e-4d54-a636-f94e6d1f7f3e","http://resolver.tudelft.nl/uuid:573a34dd-b90e-4d54-a636-f94e6d1f7f3e","Redesigning a Smart Speculum for Low Resource Settings: The Impact of Contextual Factors on the Design of a Point of Care Cervical Cancer Screening Device","Bruggen, Femke (TU Delft Industrial Design Engineering)","Diehl, J.C. (mentor); Chmarra, M.K. (graduation committee); Delft University of Technology (degree granting institution)","2024","Cervical Cancer (CC) is a preventable disease and is easily treated when detected early. Yet it is the second most prevalent cancer related death in Cameroon, causing an estimated 1787 deaths each year (Woks et al, 2023). Cameroon has implemented no national strategy to prevent this disease and so screening practices that are in place are sporadic and scattered, causing a low national screening coverage of 6%, indicating a gap in the current healthcare landscape.
Apart from the issues regarding obtaining screening coverage, the screening method that is most commonly used in Cameroon due to the resource limited nature of the setting, is Visual Inspection with Acetic Acid (VIA). However, this method has significant limitations regarding accuracy and poses a barrier for women to undergo them due to its dependence on the use of a speculum. These limitations decrease the effectiveness of the screenings efforts that are made only further. Therefore the need for a comfortable, speculumless Point of Care (POC) CC screening device, which offers an increased accuracy, yet retains the accessibility that is associated with VIA, is identified.
In order to create such a device and contribute to an increased CC screening coverage in Cameroon, this thesis identifies and explores these gaps and in response creates Use Case Scenarios that both propose a solution for the current gap in the healthcare system and demonstrates the future context of use of this new device.
Additionally it seeks to adjust, complement, and tailor the set of Design Criteria established by the WHO for Point of Care (POC) Diagnostic tests, called ASSURED, to suit the requirements of a POC cervical cancer screening device (WHO, 2006; WHO, 2023) in order to guide the development of a new POC CC screening device that addresses the need of the end users in a local healthcare context.
Lastly it combines both these elements into a design tool that helps designers to understand how relevant contextual factors may impact the design of a CC screening device in different contexts of use. By making this an interactive experience, it tries to share complex contextual insights by making them comprehensive, yet retaining their complexity and minimizing the loss of depth during knowledge transfer.
The construction industry is responsible for the emitting carbon, nitrogen, and particulate matter, which is harmful to the environment and contributes to global warming. Municipalities in the Netherlands plan to reduce emissions in inner-city developments to zero before 2030, for which a transformation of the already complicated construction supply chain would be necessary. The unique characteristics of the construction supply chain; temporary coalition of organizations working on one-of-a-kind projects, complicate reduction of supply chain emissions. In other sectors like manufacturing focal firms take in a central position between the demand and supply system and can align the supply chain. In construction the developer translates demands from society, the public and customers into projects that are executed by core supply chain stakeholders. The main question to be answered in this thesis is: How can real estate developers organize a low-emission supply chain for high-rise construction in Dutch cities? A literature review on green construction supply chain management and construction emissions have given insight into the drivers, barriers, and roles of different stakeholders in low-emission design, -procurement, -logistics and -construction & manufacturing. Inner-city high-rise case studies and semistructured interviews with relevant stakeholders within these projects were conducted to draw lessons from practice. Developers can have a big influence on construction emissions through setting ambitions and translating these low-emission ambitions in the criteria for the procurement of services and materials for all supply chain stakeholders. In design, close collaboration with the architect and early involvement of the contractor and relevant expertise can help implement low-emission practices. Logistics and construction & manufacturing emissions can be influenced through contractual agreements with contractors, subcontractors, and suppliers, but should not be a direct responsibility of the developer and ask for active involvement of the government.","Low-emission Construction,; Construction & manufacturing,; Procurement; Green Supply Chain Management; Multiple case-study; Real estate Developer; Inner-city high-rise Construction","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:39a7b856-088d-4a6e-a628-a70d9b3ba237","http://resolver.tudelft.nl/uuid:39a7b856-088d-4a6e-a628-a70d9b3ba237","Investigation into the resonance behaviour of the internal water column of an open-ended monopile","Mulder, Ernst (TU Delft Mechanical, Maritime and Materials Engineering)","Wellens, P.R. (mentor); Kalker, Edwin (mentor); Font, B. (graduation committee); Fiscaletti, D. (graduation committee); Fidalgo Domingos, D.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","The offshore wind industry is increasingly constructing wind turbines farther from the coast, in deeper water, and under more extreme conditions. This requires larger (monopile) foundations and necessitates new installation methods. An important factor affecting workability is the dynamic behavior of the monopile during installation.
The objective of this thesis is to develop a method for determining the hydrodynamic loads caused by internal sloshing in an open-ended monopile (MP) as it transitions from a horizontal to a vertical position in the splash zone.
First, the resonance frequencies of the internal water column are predicted with analytical approximations based on linear theory. Distinction is made between piston mode and sloshing. Two numerical methods, linear potential flow (LPF), and computational fluid dynamics (CFD) are used to verify the resonance frequencies. Since the CFD analysis is done in 2D, a 2D representation of the open-ended monopile is considered. Due to the presence of viscous effects in CFD, the resonance observed with CFD consistently occurs at a lower frequency than for the analytical and LPF methods. Also it is found that an decrease in inclination angle of the monopile with respect to the horizontal, while maintaining the same submerged length, results in lower resonance frequency for both piston mode and sloshing in both LPF and CFD.
To assess the accuracy of LPF in describing the motion of the internal water column, it is compared to the CFD model. Input excitation in the CFD model is low enough to avoid non-linear sloshing modes and other non-linear behaviour of the free-surface. The ComFLOW 2D CFD model has been validated against various works from the literature for the accurate representation of gap resonance frequencies.
For both the piston mode and sloshing resonance, discrepancies between the two numerical methods are found, which can be attributed to viscous effects. At resonance viscous effects are nonnegligible, therefore the LPF method over-predicts the severity of the piston mode and sloshing. The influence of both the submergence of the monopile and its inclination angle with respect to the horizontal is considered.
The hydrodynamic coefficients for added mass and damping are found with forced oscillation for both upright and inclined geometries. While good agreement is found between the LPF and CFD results away from resonance, the CFD results in the vicinity of the resonance frequency are used to tune the LPF model, by way of additional linear damping, to achieve more accurate results.
It can be concluded from the present work that the resonance of the internal water column near the first sloshing mode significantly affects the overall hydrodynamic force and must be taken into account. At the peak hydrodynamic force observed during the first sloshing mode, the sloshing induces forces 5.59 times higher (submergence of 5 meters), 3.62 times higher (submergence of 10 meters), and 2.33 times higher (submergence of 15 meters) compared to cases where sloshing is not considered.
Looking forward, it is strongly advised to conduct forced oscillation tests with larger amplitudes, as this explores the effect of non-linear chaotic sloshing. Additionally, expanding the CFD analyses to 3D, where more non-linear sloshing effects are expected, such as swirling, is recommended. Furthermore, given the differences in results between LPF and CFD, it is valuable to validate the findings through model experiments.
The Dutch drinking water companies face three major challenges regarding strategic investment decisions. First, the current sourcing and production capacity must be expanded to meet future drinking water demand. Second, there is a great demand for End-of-Life replacement of pipes in the drinking water infrastructure. Third, an investment challenge of a lesser financial magnitude but with an expected great impact on business operations is related to gaining operational control over the drinking water distribution network by integrating state-of-the-art sensor technology.
The outcomes of the internal decision-making processes of the drinking water utilities regarding these three strategic challenges will affect the stakeholders of the drinking water utilities. In addition, it offers possibilities for alignment with the goals of the other stakeholders. The main problem that this research seeks to address is a lack of engagement with drinking water utilities' stakeholders in the decision-making processes. A way to engage with stakeholders is by using Participatory Modelling, a technique that is not commonly applied by drinking water utilities.
These possibilities to engage stakeholders in the decision-making process are further backed by the development of new resources that have become available in recent years. These resources are new modelling techniques that have been applied in the field of drinking water research, in recent years. And, a novel perspective on multi-modelling e.g. the Multi-Model Ecology (MME) with Multi-Model Interface (MMI). In the current practice of research for Water Resource Management and other research for drinking water utilities, an MME and MMI (MME+I) have not yet materialised. This study aims to determine if an MME+I can benefit research for drinking water utilities and facilitate Participatory Modelling.
The Participatory Systems Design methodology (PSD methodology) is applied to generate a design for the conceptual model of the MME+I and the logical architecture for the MMI. A Proof of Concept (PoC) use case of model-coupling was applied. Here, an ABM model for Water Demand generates water demand patterns for an EPANET hydraulic model. This is a novel approach in hydraulic modelling for Dutch drinking water utility Oasen, since it introduces agents' behaviour from the ABM model to the modelling of hydraulic networks. It demonstrated that the outcomes of an ABM model affect the performance of the EPANET hydraulic model. In addition, It provided insight into how changes in water demand from scenario studies can affect strategic investment decisions for drinking water utilities.
Urban farming turned out to be the optimal industry to combine with dwelling. A physical connection might be optimal for the consumer binding, however to ensure the ideal production conditions the production is often limited to a visual connection. With this in mind, a new building typology was created that places the industry in the core of the building. Through this typology the residents and industry are connected within the building on all levels, as well as providing several sustainability benefits for the building.
The organizing and maintenance of communal activities has less to do with the architectural design and more about a motivated group of people that are willing to organize it. Nevertheless, communal farming presents a place where social interaction can flourish. As an activity the farming is consistent and low organizational, wherein other activities are harder to maintain. Consistency has shown to be the most important factor to make sure these activities are joined, while the kind of activity can be flexible. This led to the creation of a circulation concept, that makes space for the communal activities and support social interaction. A Helix circulation has been created to break the disconnect between different floors and create a ‘mini-city’ environment, similar to the public streets of the city. In addition, several other concepts has been developed, that can be used to support the creation of a community and promote social interaction for people that are socially isolated.
such as passive engagement, active engagement, relaxation and discovery. By methods of observational analysis, results are collected on the different ways in which people experience comfort while walking, standing and sitting at shopping centers. These show a strong relationship with the objects, functions, building design elements and natural design elements with the space.","Heritage & architecture; shopping centre; Comfort","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","The Lijnbaan, Rotterdam",""
"uuid:ad545858-5b32-4f20-ad15-c44595d186b8","http://resolver.tudelft.nl/uuid:ad545858-5b32-4f20-ad15-c44595d186b8","First-time buyers on the Dutch housing market – the starters dilemma: Tackling the starters dilemma – Developers perspective. What are the desired housing preferences of first-time buyers and what trade-offs do they make during the decision-making process to buy an owner-occupied dwelling","Veerhoek, Evy (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Boumeester, H.J.F.M. (mentor); Hoekstra, J.S.C.M. (mentor); Delft University of Technology (degree granting institution)","2024","class=""MsoNormal"" style=""margin: 0cm 0cm 8pt; font-size: 11pt; font-family: Calibri, sans-serif; text-align: justify;"">""First-time buyer has a chance to buy only 3 percent of owner-occupied dwellings in the Netherlands” (NOS, 2023).
This quote reflects the harsh reality of single first-time buyers in the Dutch housing market. The substantial surge in housing prices has exacerbated challenges for first-time buyers since 2015 (Groot, 2022). The housing supply falls short in comparison to the demand in the Netherlands. Apart from the existing housing shortage, extended waiting periods in the social rental sector, exorbitant house prices, and the recent upswing in mortgage interest rates contribute to the scarcity of suitable options (Boelhouwer & Van Der Heijden, 2022). It appears that, mainly around the provinces comprising Randstad, the housing market is tight (Calcasa, 2023). The share of relocations from the rental market to the owner-occupied dwelling market has declined nationwide in recent years, while demand for owner-occupied dwellings has increased. Despite the government's possible attempts to address this housing shortage, for example, by building around 350,000 affordable owner-occupied or rental dwellings in the middle segment before 2030, availability and affordability remain a stumbling block for first-time buyers. By better targeting the construction of suitable ""starter"" dwellings, this problem can be addressed for the target group. Therefore, it is essential to consider the balance between the financial capabilities of the first-time buyers and the minimum desired living characteristics and living environment. To find the minimum desired characteristics of first-time buyers, it is important to look at their substitutional behaviour and the possible concessions they are willing to make, to meet the current housing prices.
Therefore, the aim of this research is to identify the preferences and considerations of first-time buyers in the Randstad region. It started with a literature review on the different theories behind the whole moving process, in order to then start the empirical research.
The empirical research initiated with a research on the Dutch housing market with a deepening focus on the Randstad region. Then to research how the financial position of first-time buyers is affected by government regulations and other factors. After gaining an understanding through research into the supply for first-time buyers and their financial capabilities, the housing preferences and considerations of this target group were mapped out utilizing a survey conducted among 326 respondents. Following MAUT's methodology, these respondents rated possible topics (attributes) and characteristics of these topics (attribute levels) to gain insight into their preferences. This quantitative research showed that respondents mostly preferred a corner dwelling with a garden in a suburban area.
Based on these findings, it can be concluded that first-time buyers highly value having a garden and a supermarket in the immediate vicinity, but also that the greatest preference, is not always the most realistic preference in view of financial capabilities. ","Owner-occupied housing market; First-time buyers; Housing preferences; Housing shortage; Multi Attribute Utility Theory (MAUT)","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:4d09de06-817e-42bf-8d5a-f36db2d773fb","http://resolver.tudelft.nl/uuid:4d09de06-817e-42bf-8d5a-f36db2d773fb","Urban Design Interventions for Creative Nightscapes in Rotterdam","Nguyen, Kimberley (TU Delft Architecture and the Built Environment)","Muñoz Sanz, V. (mentor); Goncalves, J. E. (graduation committee); Delft University of Technology (degree granting institution)","2024","Nocturnal cultural spaces in Rotterdam are under pressure of gentrification. The decline in night club spaces, also results in a decline in cultural innovation and experimentation. In current redevelopment plans for creative clusters such as Schieblock, show the replacement of the creative industry by homogeneous residential neighbourhoods or offices, with no cultural value. There is no long term vision for the creative industry, as they are stuck in a cycle of displacement and do not get the acknowledgement for adding value to the neighbourhoods of the future. By involving the creative industry and nightlife in urban design through a placemaking workshop and expert panel talks, the goal of this research is to bridge the knowledge gap between Dutch planning practices","nocturnal urbanism; nightscapes; nightlife; creative industry; action research; bottom up approach","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","51.925514, 4.475580"
"uuid:728a86ea-82e2-448b-b929-c8aa0490d6c4","http://resolver.tudelft.nl/uuid:728a86ea-82e2-448b-b929-c8aa0490d6c4","Exploring the effects of increased rent regulation and and taxation on the investment behaviour of private landlords in the Dutch housing market and the position of private tenants","Duurland, Jona (TU Delft Architecture and the Built Environment)","Boelhouwer, P.J. (mentor); Hoekstra, J.S.C.M. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Netherlands is facing a housing crisis, marked by shortages and escalated prices. The Affordable Rent Bill aims to further regulate the private rental segment and improve the position of middle-income groups depend- ent on this segment. However, increased rent regula- tions and the recently introduced taxation measure Tax Plan 2023 decrease profitability for private landlords, sparking concerns about potential divestment from this segment, reducing availability and possibly worsening the position of private tenants and middle income groups. This study explores the effect of the Affordable Rent Bill and Tax Plan 2023 on the investment beha- viour of private landlords and the position of private ten- ants. Utilising inductive logic and a mixed-methods se- quential explanatory design, data from Woononderzoek 2021, a survey conducted among private landlords, and the consultation on the Affordable Rent Bill are ana- lysed. Its findings suggest that the accumulation of taxa- tion and rent regulation measures prompt private land- lords to divest a great number of dwellings, likely result- ing in a decrease in the availability of mid-market rental housing and a negative effect on the position of middle incomes and others dependent on this segment. The study underscores the need for a careful reevaluation of the regulatory framework to achieve the intended posit- ive effect on affordability and availability in the mid-mar- ket rental segment.","private landlord; rent regulation; taxation; mid-market rental segment; woningwaarderingsstelsel; private rent","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:9a0d757b-7852-4b35-b32a-0beb2d20a6e2","http://resolver.tudelft.nl/uuid:9a0d757b-7852-4b35-b32a-0beb2d20a6e2","Schalkwijk: a revitalised neighbourhood: a re-design of a seventies shopping mall","Rosenberg, Sofie (TU Delft Architecture and the Built Environment)","Meijers, W.L.E.C. (mentor); Tomesen, P.L. (mentor); Spoormans, L.G.K. (mentor); Delft University of Technology (degree granting institution)","2024","In recent years, shopping malls are facing an increasing amount of vacancy problems, due to the rise in online shopping. The research within this project looked into the visitors’ perspective concerning the functional, architectural, identifiable and social values of three shopping malls in the Netherlands. The outcome of the research shows that the visitors value the functional aspects of the mall most often, with everyday values such as ‘the grocery stores’, ‘the fact that the mall is nearby’ or that there are ‘a lot of shops’. From the outcome of the research, it could be concluded that the emphasis at the mall is on the necessary activities.
To create a place where a broader spectrum of activities will take place, the re-design of the Mall of Schalkwijk (Haarlem) focuses on a mix of functions with the addition of different dwelling types, offices, shops and green spaces. The connecting element in the project is the passage, which is repurposed from a closed traffic space to an open place to stay. The passage connects the shops and restaurants with a new square at the location of the old expedition space of the shops. An important factor in the design is the implementation of green to reduce the UHI effect and to increase human comfort at the site. Important interventions in the re-design are a boulevard with a green colonnade, façade gardens, green roofs and the quadrupling of the amount of trees on the site. To conclude, this re-design is an attempt to value what is already there and improve, making it ready for the future.
Our algorithm leverages semantic information for extrinsic calibration, striking a balance between network complexity and robustness. Future enhancements may include using machine learning to convert sparse matrices to dense formats for improved optimization efficiency.","Autonomous driving; Sensor fusion; Extrinsic calibration; Camera-LiDAR system; Edge registration","en","master thesis","","","","","","","","","","","","Electrical Engineering | Circuits and Systems","",""
"uuid:fb42614b-91ca-4347-bf3b-4562ba661e7a","http://resolver.tudelft.nl/uuid:fb42614b-91ca-4347-bf3b-4562ba661e7a","Solving Combinatorial Space-Routing Problems Using Mixed-Integer Linear Problem Solvers","Slimmens, Jasper (TU Delft Aerospace Engineering)","Dirkx, D. (mentor); Cervone, A. (graduation committee); Cowan, K.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","With the development of space research into novel areas, new complex problems arise. The interest in solving space routing problems considering large numbers of targets has recently grown. This paper proposes a novel method to solve the optimal trajectory in such combinatorial space routing problems. This paper focuses on a global optimisation algorithm implemented to solve the problem posed in the 4th Global Trajectory Optimisation Com- petition (GTOC4). The solution is a trajectory of multiple legs, where each leg links two targets and has a specific flight time. To enable the use of the powerful mixed-integer linear problem solver software, Solving Constraint Integer Programs (SCIP), the routing problem concerned with visiting as many target bodies with a predetermined fuel and time budget is split into linear sub-problems. The Fixed Budget sub-problem selects a subset of the given set of targets. The Full Tour sub-problem orders the targets in the subset, and the Fixed Tour sub-problem optimises the flight time for every leg of the given trajectory to find the solution with the lowest total fuel consumption. Each of these sub-problems is formulated in a linear form and is solved using SCIP. The global optimisation algorithm evolves a population where every individual exists of a set of initial guesses for the time of flight values. Analysis shows that initialising this population with a mix of randomly generated individuals and individuals containing a constant value for all entries leads to the fastest convergence towards the optimal solution. In a population of 20, seeding ten individuals is found to be optimal. It is also found that the algorithm performance can be further increased by evolving individuals with infeasible solutions instead of iterating them until a feasible solution is found and eliminating the Full Tour sub-problem. These simplifications allow for an increase in the cost budget multiplier, which leads to finding better objective values without further increasing computational time. The best-performing setup, which uses a cost budget multiplier of 10, can find the optimal solution to the test problem in 100% of the runs, on average in 9 iterations, with a computation time of 5.82 seconds per evaluation. The results show that the global optimisation algorithm produces results that closely match known results for GTOC4 consistently and accurately.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:848ede19-3950-4bf7-a4fa-e702b24d4a0c","http://resolver.tudelft.nl/uuid:848ede19-3950-4bf7-a4fa-e702b24d4a0c","Berlin's Kitchenlab","Rademakers, Pelle (TU Delft Architecture and the Built Environment)","van Zalingen, J.M. (mentor); Eckardt, H.F. (graduation committee); Söylev, Y. (graduation committee); Chen, Y. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Berlin’s Kitchenlab bridges the gap between highly specialised culinary education and the community. As a school that acts like a social catalyst for its surroundings. The building is located in Otto Park in Alt Moabit, an upcoming area, surrounded by food venues at the end of a public axis. The building consists of a central street with a public program surrounded by other specialised programs, resulting in a building that is easily accessible by the public while still tailored towards the program’s specific needs, like the distribution of food and support functions. All functions are situated on the façade making the building a frame that displays all contents. This makes the culinary university move past a traditional cooking school and become valuable for its immediate and broader surroundings.","University; Berlin; Complex Projects; Culinary; Bodies; Building","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","","52.525662910743776, 13.336444703479138"
"uuid:764932ec-de84-42e0-b633-7da7e1390925","http://resolver.tudelft.nl/uuid:764932ec-de84-42e0-b633-7da7e1390925","A reliable modulation scheme for body coupled communication with galvanic coupling","Haarman, Mart (TU Delft Electrical Engineering, Mathematics and Computer Science)","Venkatesha Prasad, Ranga Rao (mentor); Narayana, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis explores the integration of (Frequency Shift) Chirp Spread Spectrum Modulation (FSCSSM) in Body Coupled Communication (BCC) using the Galvanic Coupling (GC) method. The goal is to provide a method of private communication in a noisy channel for devices in and around the human body. Various parameters, including frequency, electrode dimensions, electrode inter-distances, and noise levels, are evaluated. Results demonstrate that FSCSSM allows reliable communication in highly noisy channels, achieving a data rate of 9.6 kbps at a Signal-to-Noise Ratio (SNR) of -9dB. The impact of body composition is highlighted, showing its significance in single-body communication. The communication in single-body is extended by evaluating body-to-body communication, enabled by touch, as well. This research provides valuable insights for designing robust BCC-FSCSSM systems.","","en","master thesis","","","","","","","","2026-01-19","","","","Electrical Engineering | Embedded Systems","",""
"uuid:06f70a6f-38a6-48c0-a04e-023d7a9e0b15","http://resolver.tudelft.nl/uuid:06f70a6f-38a6-48c0-a04e-023d7a9e0b15","COLLECTIVE INTIMACY: Revive the collective housing as a utopian oasis","Wu, Xinyue (TU Delft Architecture and the Built Environment)","Rosbottom, D.J. (mentor); Mulder, K.B. (mentor); Pimlott, Mark (mentor); Delft University of Technology (degree granting institution)","2024","In case you feel confused, this is an architecture project based on research which in total took one year. The process was never linear, but the narrative has to be.
For the first six months I studied the essential values of collective housing in post war period, how they prompted to solve housing crisis and how they embraced the collectivism in Europe and China. It turned out that most of them did not maintain the original function as a collective housing for working class. However, the concept of collective living continued to influence urban life. In response, the design approach for renovating the 1952 Workers' New Village in Shenyang, China aimed to demonstrate the potential for reviving this old form for communal living. Minimal intervention and adjustments to thresholds resulted in the creation of a series of spaces ranging from private to public, catering to the demands of the free market and post-urbanization.","Socialist housing; Collective living; Heritage Preservation; Shenyang Workers' Village; minimum intervention; layers of intimacy","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Interiors Buildings Cities","","41.799999, 123.400002"
"uuid:d0447c20-3ae6-46b2-8b85-9b6602c32a47","http://resolver.tudelft.nl/uuid:d0447c20-3ae6-46b2-8b85-9b6602c32a47","The Power of Flow-Controlled Mechanical Ventilation: Advancing Lung-Protective Ventilation and Respiratory Monitoring in the Intensive Care Unit","Francovich, Juliette (TU Delft Mechanical, Maritime and Materials Engineering)","Jonkman, A.H. (mentor); Endeman, Henrik (graduation committee); Delft University of Technology (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution); Universiteit Leiden (degree granting institution)","2024","Many patients in the intensive care unit (ICU) depend on mechanical ventilation due to conditions such as severe lung disease, traumatic brain injury, or postoperative coma. While mechanical ventilation is a potentially life-saving intervention, it also has harmful side effects. Especially in patients with lung disease, such as the acute respiratory distress syndrome (ARDS), the lungs can have significantly different physiological and inflammatory characteristics, which differ across different lung regions. Inappropriate ventilator settings can result in cyclic opening and closing of collapsed alveoli and/or pulmonary overdistension, which, in turn, promotes secondary lung injury and inflammation, also referred to as ventilator-induced lung injury (VILI). Therefore, it is important to be able to adjust the ventilator settings to specific patient physiology and needs. Lung-protective ventilation strategies aim to mitigate VILI and involve small tidal volumes, low driving pressures, and respiratory rates resulting in low mechanical power, and adequate end-expiratory pressure while maintaining effective gas exchange.
The primary focus of this thesis involves two physiological studies comparing the effects of a new flow-controlled ventilation (FCV) mode with pressure-controlled ventilation (PCV) in ICU patients. FCV, characterized by constant flow during both inspiration and expiration, holds promise for its potential lung-protective effects. The effects of FCV on lung physiology are assessed by using several advanced respiratory monitoring techniques, such as electrical impedance tomography and esophageal pressure measurements. The first study evaluates the effect of FCV versus conventional PCV on lung physiology in ICU patients who required postoperative mechanical ventilation following cardiothoracic surgery, a group characterized by relatively 'healthy' lungs. The second study is an ongoing study in ICU patients requiring mechanical ventilation for respiratory failure due to moderate to severe ARDS, for which preliminary results are presented.","Flow-controlled ventilation; Intensive Care Unit; Electrical impedance tomography","en","master thesis","","","","","","","","2025-12-20","","","","Technical Medicine | Sensing and Stimulation","TM30004; 35 ECTS",""
"uuid:54e862d6-a50a-4e9f-ab0b-c429657e9802","http://resolver.tudelft.nl/uuid:54e862d6-a50a-4e9f-ab0b-c429657e9802","Fault Tolerant Control Barrier Functions","van Hilten, Quinten (TU Delft Aerospace Engineering)","de Visser, C.C. (mentor); Delft University of Technology (degree granting institution)","2024","In this article a novel Control Barrier Function (CBF) named the fault tolerant Control Barrier Function (ftCBF) is introduced. The ftCBF is able to keep a vehicle within a predefined safe set with changing control bounds and changing system dynamics. The ftCBF is shown to be feasible in fault tolerant control applications, as opposed to existing CBF methods. This novel constraint is tested on a double integrator system, and on a non-linear Dubin’s Car system with changing system dynamics and changing control bounds. In the simulations it is shown that the ftCBF is able to keep the vehicle in the safe set with failure events occurring at any place in the timeline. The ftCBF contains design parameters that allow a trade-off between safety and performance.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:599af64f-75b7-47d6-900a-93f0e3589792","http://resolver.tudelft.nl/uuid:599af64f-75b7-47d6-900a-93f0e3589792","Artifact-Free Neurostimulator with Arbitrary Waveform Generation for High-Channel, High-Density Bidirectional Neural Interfaces","Chen, Hsukang (TU Delft Electrical Engineering, Mathematics and Computer Science)","Muratore, D.G. (mentor); Abdelgaliel, B.H.B.M. (mentor); Pertijs, M.A.P. (graduation committee); Serdijn, W.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","To achieve greater specificity in neurostimulation, bidirectional neural interfaces are required to verify the recorded neural response after stimulation. The specific neural interface targeted in this thesis is the epiretinal implant. Due to the heterogeneity of the retinal ganglion cells (RGCs), high-fidelity vision is only possible when all the types of RGCs near the neurostimulator are mapped. This necessitates the bidirectionality of the implant and poses significant difficulties, as large stimulation artifacts obscure the small neural response that needs to be recorded. Furthermore, in order to cover a large area of the retina, a channel count in the order of 104 will be required. Scaling existing neurostimulators would lead to chips that are >30mm2, which is too large.
The aim of this thesis is therefore to design a neurostimulator for the epiretinal implant that is capable of implementing artifact-reducing algorithms, and is smaller than the current state-of-the-art. The proposed system makes use of a mismatch-based digital-to-analog converter (DAC), and has been optimized for an output range of 0-6 μA at an effective resolution of 8-bits. Furthermore, in order to decrease the amount of stimulation units required, waveform interleaving has been proposed, where the anodic and cathodic stimulator are separated. A voltage compliance monitor is also designed to ensure proper stimulation output. The designed system has been fabricated and occupies 0.0003mm2 for two channels. Scaling this directly to 104 channels would result in an area of 1.645mm2. This area can be reduced even further via electrode multiplexing, which the designed system readily allows for. An output availability (i.e. how many input codes are possible after calibrating) of 99.2% and 97.3% is reported for the anodic and cathodic stimulator at an 8-bit resolution over the full output range.","DAC; Neurostimulator; Arbitrary waveform generator","en","master thesis","","","","","","","","2026-01-17","","","","Electrical Engineering | Microelectronics","",""
"uuid:a0885ea5-3fa5-4fa8-a350-6f2c04f6c65c","http://resolver.tudelft.nl/uuid:a0885ea5-3fa5-4fa8-a350-6f2c04f6c65c","Model-based motion optimization for quadruped robots with an actuated articulated torso","Kockelkoren, Michiel (TU Delft Mechanical, Maritime and Materials Engineering)","Shi, S. (mentor); Mazo, M. (mentor); Della Santina, Cosimo (graduation committee); Zhang, Luyao (graduation committee); Delft University of Technology (degree granting institution)","2024","In recent years, the deployment of ground-based mobile robots has gained more and more interest in various domains. In contrast to other types of mobile robots, legged robots can traverse irregular terrains, climb stairs, and step over obstacles. However, these unique properties intensify the energy demand and require highly advanced perception methods, actuator designs, and motion control algorithms. The most significant challenges in legged robotics lie in robustness, energy efficiency, and agility.
In recent years, the integration of an articulated torso or active spine, inspired by the body motion of high-performance mammals like the cheetah, has shown promising results. Various studies observed higher maximum velocities and lower energy consumption compared to a rigid torso. However, in these studies, the compliant systems were typically controlled using basic control strategies. In recent years, the development of highly dynamic model-based motion optimization strategies has greatly enhanced the overall performance of various legged robots. Therefore, a model-based motion optimization scheme is developed specifically for articulated quadruped robots. This scheme fully exploits the additional degrees of freedom of the torso to enhance the dynamic performance of the legged robot further.","Legged Robots; Quadruped; spine; Trajectory Optimisation; Motion planning; Motion and Path Planning; Dynamic modeling; centroid-based routing; model-based optimization; Model-based planning; Planning algorithms; Actuated spine; Articulated torso; Legged locomotion","en","master thesis","","","","","","https://gitlab.com/kockelkorenmichiel/compliant_spot.git Gitlab repository https://www.youtube.com/playlist?list=PLmZ4ovuqQhMDMwtWewSW37cCfZYxINFxL Unspecified Videos","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:35fab3d8-4a9b-4a0f-98d4-b0ad8dbf1385","http://resolver.tudelft.nl/uuid:35fab3d8-4a9b-4a0f-98d4-b0ad8dbf1385","Between a Day and a Lifetime: Integration of short-stay housing and the sharing economy in the post-war neighborhood of Groot-IJsselmonde, Rotterdam","Hietbrink, Cedric (TU Delft Architecture and the Built Environment)","Mooij, H.A.F. (mentor); Eckardt, H.F. (mentor); Guis, R.S. (mentor); Cuperus, Y.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Urban densification is essential to keep the green spaces surrounding Dutch cities, especially in the Randstad. The current Dutch housing stock, of which a significant portion was built during the 1960's and 1970's, lacks a flexible layer in which people in urgent need of housing can find (temporary) affordable housing. Short-stay housing, which encompasses people living somewhere for a day, up to a couple of years, could provide this flexible layer. Target groups for short-stay housing fare well by social interaction, stimulating integration into Dutch society and opening up new possibilities in their life. This social interaction can be partially provided by introducing the sharing economy into an existing neighborhood, providing sustainable options regarding ownership or mobility.
The project 'Between a Day and a Lifetime' therefore proposes an urban densification strategy in which a short-stay housing concept, based on principles of co-housing and the sharing economy, creates densification in a way that it enriches the lives of the current ánd future inhabitants.","Short-stay housing; Co-housing; Sharing economy; Urban densification; Social interaction","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Advanced Housing Design","","51.885404, 4.558363"
"uuid:7defbd1e-dcbd-421e-aba5-2a58009a1329","http://resolver.tudelft.nl/uuid:7defbd1e-dcbd-421e-aba5-2a58009a1329","Towards a transition territory: Planning and design strategies to improve social and ecological conditions in the peri-urban area of Shanghai","Xu, Shiming (TU Delft Architecture and the Built Environment)","Furlan, C. (mentor); Qu, L. (graduation committee); Nottrot, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Shanghai has experienced rapid urbanization over the past 30 years, with a large number of rural areas being transformed into semi-urbanized areas with mixed functions. The main drivers were top-down state-led development, market forces, and bottom-up rural industrialization. The scale and speed of this process have far exceeded expectations, leading to a series of socio-ecological problems such as population loss, industrial decline, and environmental pollution. Based on the analysis, this dissertation identifies two development directions: industrial restructuring and ecological protection, to cope with the uncertainty of population growth, a scenario-building approach is used to explore the optimal strategies under different circumstances. Design strategies are extracted through scenario evaluation and applied to strategic planning.","peri-urban areas; scenario building; urban design; Shanghai","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","",""
"uuid:ca28ecd8-2137-4dc7-9786-d3849d3b55e0","http://resolver.tudelft.nl/uuid:ca28ecd8-2137-4dc7-9786-d3849d3b55e0","Planning for justice: A value-based framework to help spatial planners develop just housing strategies in Dutch regions","Koch, Matthijs (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Viseu Cardoso, Rodrigo (mentor); Kleinhans, R.J. (graduation committee); van der Putt, P.S. (graduation committee); Delft University of Technology (degree granting institution)","2024","The current Dutch housing domain is characterised by injustices on multiple scales, such as a shortage of housing, disparities in well-being across regions, and unaffordable housing. This situation can partially be attributed to spatial planning practices focused on promoting growth-oriented economic thinking. These contemporary planning practices can be argued to be socially and spatially selective and to have a short time horizon. While some injustices remain unresolved, others are exacerbated by contemporary planning practices. The aim of this research has been to develop a justice framework which helps spatial planners address, instead of perpetuate injustices. This is approached through the question: To what extent can a framework based on justice values help spatial planners design for justice in the housing domain in Dutch regions?
This has led to the development of a justice framework constructed from three core justice values: Sufficiency, Limitation and Opportunity. These are made more concrete to the housing domain through two applied values each: (I) Availability and (II) Acceptability for the quantitative and qualitative aspects of Sufficiency; (III) Sustainability and (IV) Moderation dealing with intergenerational justice and intragenerational justice for Limitation; and (V) Influence and (VI) Diversity related to opportunities to assert influence on the living environment and to choose a living environment. These applied values are further specified using housing dimensions and strategy directions in two consecutive rings.
The first advantage for spatial planners is that the justice framework summarises and combines several abstract justice theories into digestible values relevant to the Dutch context. To test and demonstrate the justice framework it has been applied to the case study area of the Regio Stedendriehoek, which enabled answering the research question. First the justice framework helps to structure regional spatial analysis and policy analysis on a wide range of justice values, which can bring to light different types of injustices. Second, based on the analyses, clusters can be made of areas with similar problems regarding the applied values, which helps determine which type of strategies require priority in different areas. Then, based on these priorities, strategies can be developed using the outer ring of the justice framework. The justice framework helps to structure strategy development per applied value, but the justice framework can also be used as a tool to assess whether strategies can contribute to solving injustices for different applied values. In this way fruitful strategies can be prioritised and interventions that cause conflicts between different justice values can be avoided or mitigated.","Spatial justice; Strategic framework; Spatial planning; Stedendriehoek; The Netherlands; Housing justice; Well-being","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Cities","","52.270202, 6.170599"
"uuid:e1fdfc2b-c0e3-4c02-bb78-cdbf0cc10c1a","http://resolver.tudelft.nl/uuid:e1fdfc2b-c0e3-4c02-bb78-cdbf0cc10c1a","Exploring the potential of explorative point clouds in floodplain maintenance","Sterkman, Pam (TU Delft Architecture and the Built Environment)","Verbree, E. (mentor); Meijers, B.M. (graduation committee); Lindenbergh, R.C. (graduation committee); Pleizier, I. (graduation committee); Delft University of Technology (degree granting institution)","2024","Water management is an integral part of Dutch history, driven by the continuous need to reduce flood risk. Because a large area of the country is located below Normaal Amsterdams Peil (NAP), there is an ongoing challenge to safely discharge all the water to the sea. Therefore, flood safety policy has become crucial to protect the Netherlands from natural hazards. An essential part of this strategy involves the Waardegedreven Onderhoudscontract Uiterwaarden (WOCU) Rijntakken project, which is responsible for managing the floodplains adjacent to the Rijntakken within the Netherlands.
The current lack of efficiency and effectiveness regarding change inspection in the large and sometimes inaccessible areas of the floodplain requires the use of remote sensing change detection to move toward a data-driven maintenance process, in particular, by using point cloud data. This is nowadays a widely used data source in a variety of fields to capture elevations and in this way extract valuable information from terrains. Despite its usage in a variety of applications, the data is often underused since the data is frequently processed directly to other data formats. This research therefore aims to reveal the potential of explorative point clouds in floodplain maintenance.
Light Detection and Ranging (LiDAR)- and multispectral data were acquired at two moments, one before and one after the summer, with a time interval of 45 days. Subsequently, these acquired datasets evolved into an explorative point cloud by adding attributes, including vegetation health, also known as Normalized Difference Vegetation Index (NDVI), and the distance between these two point clouds, the cloud-to-cloud distance. This explorative point cloud with the integrated additional information was visualised to several disciplines involved in the WOCU project. This was done in Three Dimensional (3D) by using Virtual Reality (VR). This collaborative approach revealed the potential use cases of the Red, Green, Blue (RGB), cloud-to-cloud distance, and NDVI point clouds highlighting the potential of explorative point clouds.
Potential use cases that were found are; highly detailed area modeling, vegetation overgrowth monitoring, bank erosion detection, flora status assessment, monitoring of vegetation types, digital inspection of remote sites, participation medium, and identification of atrophied ground patches. Attributes added to point clouds enhanced insights. Especially the RGB point cloud sparked excitement due to its realistic appearance. The Cloud-to-Cloud Distance (C2CD) attribute showed potential, especially for erosion detection. However, due to the short timeframe between measurements, it could not be detected. The NDVI attribute was perceived as less interesting.
The use of explorative point clouds, generated from raw LiDAR point cloud data, offers potential uses and insights for floodplain maintenance. The interdisciplinary value of explorative point clouds was clearly visible. This thesis emphasizes that underused raw LiDAR data, by making it explorative, can act as a valuable resource.","Explorative point cloud; UAV; LiDAR; VR; Floodplain maintenance; NDVI; Change detection","en","master thesis","","","","","","","","","","","","Geomatics","","51.9670881019059, 4.944320457661195"
"uuid:32f2b6ed-cc04-4e7e-ad14-2d7e5fb2dc83","http://resolver.tudelft.nl/uuid:32f2b6ed-cc04-4e7e-ad14-2d7e5fb2dc83","The implementation of adaptability as a tool for future proof buildings in the Netherlands: An action plan for clients to influence the implementation of adaptability in development projects","van der Weijden, Esra (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Remøy, H.T. (mentor); de Jong, P. (graduation committee); Delft University of Technology (degree granting institution)","2024","We have to change. We have to take a second look at our daily patterns. The construction sector is responsible for 36% of the world’s final energy use and 39% of energy and process-related carbon dioxide emission (IEA, 2019). 30% of this emission is due to building construction. Therefore, it is important to start to limit the carbon emission from construction processes. An efficient and sustainable way to limit the emissions is to develop buildings that are adaptable to any function or user, with the least effort and minor transformations to the building. Additionally, according to Manewa et al. (2016) buildings are continuously confronted with internal and external environmental changes to which they must respond. Those changes happen unpredictably and with many uncertainties. Buildings that are not able to react to those changes will be prematurely obsoleted, require extensive transformations, or need to be demolished, neither of which will result in a built environment that is sustainable (Manewa et al., 2016). Therefore, by not being able to adapt to the changing society and future demands, we are not building to last.
Even though several strategies have been developed and research has been conducted on the concept of adaptability, the transition from knowledge to implementation seems out of reach. Those studies mainly focus on the implementation of adaptability on the building level and not on the building as a “life cycle process”. Only a number of books and studies also examine the cooperation of the various parties in developing the proposed strategies. From this problem statement, the following research question is formulated: “What are the criteria for developing an adaptable building, and how can clients influence the implementation of these criteria in development projects?”. To answer this question, a literature review is conducted from which a list of adaptability criteria is developed. Additionally, the criteria are compared to existing Dutch cases through a case study with a cross-case analysis, and the roles and influences of parties involved in the development of adaptable buildings are established through interviews. The findings from the empirical research are used to develop an action plan which is validated by an expert panel. The action plan can be used by clients or other stakeholders as a guideline for developing adaptable buildings in the Netherlands. It can also be used to motivate and inspire other stakeholders or to start the discussion about the concept, and develop a more sustainable built environment.","adaptability; future proof; adaptability criteria; action plan; stakeholders; role; influence","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:c8593a67-4657-45ea-aa5a-dd694c88b92c","http://resolver.tudelft.nl/uuid:c8593a67-4657-45ea-aa5a-dd694c88b92c","Collaboration during the energy transition in urban development: An exploratory research of the barriers and enablers for collaboration with an energy network operator in a cross-sector partnership in new urban area development projects","Papenhuijzen, Daan (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Hobma, Fred (mentor); van den Bragt, P. (graduation committee); Delft University of Technology (degree granting institution)","2024","The importance of climate change is getting increasingly more attention from all stakeholders in urban development. This results in the energy network operator being increasingly involved in the partnerships needed to realize new urban area development projects. Current projects have been experiencing delays due to the electricity grid not being able to comply with the new increased energy needs. The energy network operator is not used to intensively collaborate in urban development projects. This results in collaboration barriers, resulting in hold-up problems in urban development projects. The ‘boundary spanners’ (the people operating at the boundaries of their organization) experience these collaboration barriers with other stakeholders. With the use of interviews and questionnaires, the experienced collaboration barriers will be identified with the use of the Delphi method. This will enable the prioritization of time and resources. Combined with practical recommendations, the problem of energy network congestion can hopefully be tackled.","energy transition; energy network congestion; urban developments; energy network operator; cross-sector partnerships; boundary spanning; boundary spanner; stakeholder collaboration","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:38c48022-20b5-468f-b026-5d892f569ba2","http://resolver.tudelft.nl/uuid:38c48022-20b5-468f-b026-5d892f569ba2","Degrees of Encounter: Densification Strategies to Alleviate Urban Loneliness in Post-War Neighbourhoods","Hendi, Alaa (TU Delft Architecture and the Built Environment)","Mooij, H.A.F. (mentor); Guis, R.S. (mentor); Eckardt, H.F. (mentor); Delft University of Technology (degree granting institution)","2024","The growing concern about a mental health crisis and a looming threat of the loneliness epidemic worldwide that was further escalated by the COVID-19 pandemic forced people into isolation and created a new form of ‘work from home’ lifestyle. Nonetheless, the loneliness epidemic can be traced back to the 19th-century design principles that designed our current cities with reference to industrial tools such as the car instead of the ‘human scale’. Many of these modernist ideals had negative implications on the mental health of the residents in post-war districts. Moreover, with the need to build more homes, the current housing market values densification strategies that are primarily for profit and not for the needs of the people, which continues the pattern of ‘living together apart’. Consequently, there is an urgency to tackle isolation among the inhabitants of Groot-IJsselmonde, specifically Thamerdijk and post-war neighbourhoods being primarily targeted for future densification schemes. Interventions are therefore required to encourage degrees of social encounter. A research-based approach explored within five different lenses, sociological, historical, urban, building and dwelling scale is translated into a design project that could potentially allow for more positive densification for both current and future residents.","Loneliness; Densification; Housing Crisis; Post-war Architecture; Urban Loneliness; Psychology; Transformation; Co-Housing; Social Housing; Modernism","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Advanced Housing Design","","51.8800169, 4.5490452"
"uuid:82b8a85d-fd03-45a7-a216-771bf2307df9","http://resolver.tudelft.nl/uuid:82b8a85d-fd03-45a7-a216-771bf2307df9","The Remembered City: towards reclaiming a collective ground within Vienna’s inner city","Michl, Maximilian (TU Delft Architecture and the Built Environment)","Rosbottom, D.J. (mentor); Pimlott, Mark (mentor); Mulder, K.B. (graduation committee); Delft University of Technology (degree granting institution)","2024","Based in Vienna, Austria, the project deals with the possibilities a new type of public interior has for a gradually flattened historic city centre, concentrating on the role of derelict spaces such as abandoned shop spaces, aggressively demolished building plots or undervalued topographies in this instance an underground car parking have once integrated back into the grain of the city.
The project proposes a new type of urban institution, a network of rooms, reinterpreting and adapting aspects from typologies that local residents would be familiar with such as the ‘Wiener Kaffeehaus’, the ‘Durchhaus’ or the ‘Bildungsgrätzl’ to create a sequence of spaces and rooms that carry a sense of familiarity and intimacy for the users. Situated in the Ruprechtsviertel, one of Vienna’s most historic but underappreciated neighbourhoods, the project questions the means through which architecture can help appropriate a new public interior and reclaim spaces that are currently underutilised. Through this, it hopes to invite local communities and new publics to reclaim a sense of the qualities the city centre had for communal life all these years and continue its legacy through it.
The comparative literature research identifies two pertinent tools, DuMo and BPSC, both addressing standardization of sustainability and heritage values with distinct methodologies. The analysis of these tools' applicability in practice leads to the second phase of the research, aiming to determine if the core indicators of these tools can facilitate in the decision-making process of church transformation design.
While assessment tools primarily seek to standardize values, this paper explores their potential applications standardization of values can have in the design approach. Although not initially created for the purpose of design, the standardization achieved through these tools can enhance comprehension of various considerations in different stages of the design process. In conclusion, this research contributes to the existing framework on the standardization of heritage and sustainability values, specifically within the context of church transformations in the Netherlands.
This research focuses on understanding how a move for young elderly can be better facilitated to contribute to decreasing the housing crisis. The research explores the desired dwelling characteristics of this demographic and the factors influencing their decision to move. The study adopts a mixed-methods approach, combining quantitative data analysis with qualitative interview insights. The quantitative data makes use of an existing dataset from Woononderzoek Nederland 2021. The interview data is collected by interviewing young elderly.
The findings reveal that the young elderly, primarily residing in owner-occupied dwellings, have diverse characteristics and preferences. While financial stability and higher education position them in the owner-occupied market, their desired dwelling characteristics vary based on age, income, and household composition. A preference for smaller dwellings, particularly apartments, is identified, indicating the potential for increased housing flow if suitable options are available. Factors influencing the decision to move categorised into willingness, ability, and awareness, are explored comprehensively. Health considerations, dwelling type and size, living environment, and social connections emerge as pivotal elements in this decision-making process. The research delves into why and how these factors influence the decision to move, providing valuable insights into the nuanced aspects of young elderly’s decision-making. The research translates these insights into actionable strategies, proposing facilitation methods, including creating push and pull factors, increasing the supply of suitable housing, and raising awareness of available options. The involvement of disinterested organisations, such as Vereniging Eigen Huis, is identified as a potential facilitator, representing the interests of the young elderly in collaboration with policymakers and developers.
In conclusion, this thesis offers a comprehensive understanding of the housing needs and desires of the young elderly in the Netherlands and provides practical facilitation strategies. The findings contribute to a more nuanced and inclusive approach to housing policy, aiming to alleviate the housing crisis through housing flow. Continuous research and adaptive policy-making are emphasised for a sustainable and inclusive housing future. Recommended for further research is to generalise the results using a survey. This research only conducted nine interviews, making generalisability hard. Approaching experts in that field to get their professional views on the subject is also advisable.
Keywords| Young elderly, housing flow, willingness to move, moving behaviour, facilitating a move","Young elderly; housing flow; willingness to move; moving behaviour; facilitating a move","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:861cc976-9e56-488b-bde2-7e26b511fe7a","http://resolver.tudelft.nl/uuid:861cc976-9e56-488b-bde2-7e26b511fe7a","What A Waste: Redefining the Private-, Collective-, and Public Space Towards a Circular System for Food and Consumer Goods in the Domestic Domain","Tulp, Emma (TU Delft Architecture and the Built Environment)","van den Burg, L.P.J. (mentor); Goncalves, J. E. (graduation committee); Delft University of Technology (degree granting institution)","2024","The scarcity of resources is increasing, resulting in the transformation of entire regions. People take a lot of the resources on Earth for granted. This calls for a transition from the current ‘throw-away’-economy to a ‘circular’ economy. The constant circulation of materials and products in this type of system preserves the value of materials. Academics and practitioners are addressing this transition, but they frequently ignore its social and spatial aspects. A socio-spatial framework for reorganizing a district towards a circular system is proposed in this thesis. The solution presented is fundamentally utopian, aiming to challenge established ideas about the current system. In this thesis, the public space’s redesign serves as an entry point to the private and communal spaces.
The design location for this thesis is the Indische Buurt. This district is located in east of Amsterdam. With a large proportion of social housing, the area’s primary use is residential. Additionally, there are a few shopping streets nearby. The approach used in this thesis was context-specific. The three main approaches used were literature reviews, field research, and ‘research by design’.
According to the analysis, residents are crucial in deciding whether and how to dispose of things. But at the moment, the area doesn’t have many resources supporting circular behavior. The issue of waste disposal in public areas is one of the effects. In addition, the district has a high proportion of minima-households, little greenery, and roadways that are mostly occupied by parked cars. The primary observation about governance analysis is the lack of emphasis on the spatial implications necessary for the shift to a circular system.
The district is reorganized using a spatial framework that was constructed using the R-ladder. The R-ladder is a circularity model made up of ten R-strategies for reducing the amount of materials and resources used in product chains. The higher up the strategy is on the ladder, the more value is retained. Following that, a spatial design for a square and its surrounding streets is proposed. The visibility of circularity is prioritized in this design to enhance public awareness. Furthermore, the design aims to maximize the value retention of materials or products by selecting the solution that best retains value. In addition to the design, recommendations will be made to the governance of the Netherlands and Amsterdam. This will improve the existing circular strategy and policy.
This thesis introduces a novel reusable node and beam system for use in the automatic assembly of freeform architecture. By optimising input shapes, applying computational placement of the elements and generating instructions for robotic systems, the building sector can not only improve its productivity and reduce its emissions, it can furthermore revolutionise the stylistic nature of architecture and facilitate the fluid adaptation of new forms and functions.","Freeform architectural surfaces; Robotic Assembly; reuse of building components; automation in built environment; Design for disassembly; Design for Manufacturing; ROS 2; Grasshopper; Robotic automation of construction","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","","52.005806,4.370222"
"uuid:37923640-7085-4214-a9d2-cfd352656fd3","http://resolver.tudelft.nl/uuid:37923640-7085-4214-a9d2-cfd352656fd3","The Natural In-Between Place for Stress Relief through Biophilic Design","Rietveld, Masja (TU Delft Architecture and the Built Environment)","Smit, M.J. (mentor); Tillie, Nico (graduation committee); van der Zaag, E.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","We humans evolved in nature, but over the years we moved from being a part of nature to being apart from nature. This causes our mental health, with stress as the most important aspect, to suffer from our modern life in the city. A significant challenge we face is finding ways to integrate nature into our build environment for stress relief. A solution can be found in biophilic design which draws on our biologically ingrained tendency to interact with and experience nature. The problem, however, is that there are already many studies demonstrating the mental health qualities of biophilic designs, but there is not yet a translation for application for the architect. This translation was made within this project by creating a design guide as thesis, concluding with a tool for architects. Then, the TNW building on the TU Delft Campus was used as a prototype, since 97% of students at TU Delft experience stress and this building will become vacant.
To provide stress relief, the renovation design shows a new way of living by investigating the 'natural in-between place', from the user's perception. The natural in-between space is created by first opening the building for natural light and fresh air. Then the landscape flows in and the structure is revalued. This creates a new renovation approach. This renovation approach focuses on showing natural processes for multiple senses, which is the conclusion of the stress relief tool. The natural processes arise from integral interventions of building requirements and an ecologically future-proof landscape. An example is the water system. Rainwater is collected on the retention roof. This water passes through a small waterfall in the natural in-between place to storage. After use, it flows through a helophyte filter. Then it goes to the campus water grid and the water can be reused. In this process, the Mekelpark acts as a seasonal buffer. These natural integral interventions respond to the user perception, for example by providing fresh breaks, more leisure time in quality nature and awareness of natural processes.
The natural in-between place forms the connection between an ecological landscape and student activities such as living, studying, sports and culture. Besides the main ambition theme perception, the following ambition themes are also part of the design: sustainability through awareness of natural processes, climate design, healthy natural and local materials.
In order to sustainably meet this demands and guarantee access to electricity and water for all, new technologies and careful energy planning can play an important role.
In this context, floating solar power is a relatively new technology with promising advantages, such as the synergies between solar and hydropower resources, the exploitation of already existing infrastructures, and the reduction of evaporation rates and land use. These become even more relevant if seen in the context of the Eastern Nile Basin countries, where the need for efficient energy sources and solutions to the water scarcity issues are vital.
In this work, the role of floating solar power in the sustainable fulfillment of the increasing energy demand of the region is explored. The novelty of this study consist in the introduction of floating solar power in a long term regional energy system cost-optimization model (OSeMOSYS-TEMBA) at a single plant resolution. To do so, the single hydropower plants are also explicitly modelled, allowing both the spatial disaggregation of floating solar power plants and the connectivity between the countries via the Nile river. The regional approach is further enhanced by the presence of electricity trade links between countries, which connect the energy systems of the single countries directly.
Finally, the role of floating solar power on the energy system's footprints is evaluated in terms of CO2 emissions, land use and water savings. To this extent, a new methodology for land use accounting and pricing is proposed, and findings from previous studies are brought together to assess the evaporation reduction rates caused by the floating solar power plants.
This extended modelling framework is then used to analyse different scenarios, exploring hydrological regimes under different climate change projections and policy decisions such as the introduction of taxes for carbon emissions and land use change.
The results show that floating solar photovoltaics are a cost-optimal technology since early stages in the modelling horizon, and their full assumed potential is developed under every scenario. Their role in satisfying the energy demand of the whole region reaches 3\% of the generation mix in the reference scenario, but it increases to 4.3\% with the introduction of taxes on carbon emissions and land use. Moreover, the introduction of such policies cause an anticipation of floating solar power's capacity expansion. On the other hand, the tested climate change projections do not affect the results relevantly.
The sensitivity analyses, however, prove that the obtained results are very sensitive to the assumptions behind capacity constraints and costs of these technologies, which need more dedicated research.
As far as the energy system's footprints are concerned, the results show that the implementation of floating solar power can help reduce the total emissions and land use slightly, and cause evaporation reduction rates up to 376 million m\textsuperscript{3}/y (approximately 2\% of the total evaporation from hydropower reservoirs).
The optimal locations to invest in this technology are identified to be the largest hydropower plants in the system (Lake Nasser, the Grand Ethiopian Renaissance Dam and Merowe reservoir), but the reason of this choice relies in the very large size of these plants, which emerge for highest
FPV capacity deployment and water evaporation savings at the large scales considered.
Future research is still needed to reduce the uncertainty behind the key parameters (costs, capacity constraints), improve the representation of hydropower production, improve the evaporation assessments and investigate the effects of implementing floating solar power at smaller spatial and temporal scales.","Energy planning; Floating solar power; Hydropower","en","master thesis","","","","","","","","2025-01-26","","","","Water Resources Engineering","",""
"uuid:69ffd9a5-7b07-4197-b295-f2e37620de30","http://resolver.tudelft.nl/uuid:69ffd9a5-7b07-4197-b295-f2e37620de30","An analysis of the transformation potential of office buildings in The Hague","Espinal, Liz (TU Delft Architecture and the Built Environment)","Remøy, H.T. (mentor); de Jong, P. (graduation committee); Pronk, Sjoerd (graduation committee); Delft University of Technology (degree granting institution)","2024","Sufficient housing supply is one of the most significant issues in the Dutch housing market. The Dutch government aims to realise 15.000 dwellings a year by transforming vacant commercial real estate. However, a discrepancy can be noted between the number of buildings that developers have been willing to transform in the past decade and what the Dutch government deems feasible regarding the transformation of commercial real estate going into the future - a transformation dip. Next to that, office buildings with an energy label worse than C are not allowed to be used as office buildings anymore in 2023 unless they are renovated. This forms an interesting starting point as building owners are required to reassess the potential of the building and choose between different strategies, such as transformation, to prolong the lifespan. Thus, the goal of this thesis is to explore possibilities for bridging the gap between the number of buildings transformed annually on a national scale and the public transformation goals by applying a tool-the Conversion Meter- which aims to locate potential buildings in a city and asses their conversion potential. The Dutch city of The Hague is chosen as a case to apply this method, and the office stock with an energy label worse than C is chosen for the population. The following research question is answered during this thesis: To what extent can the number of office-to-housing conversions in the Netherlands be increased to meet the public goal of realising 15.000 dwellings through transformation a year? Buildings were selected out of an online real estate database using a set of preselected filters- office building in The Hague, with a minimum size of 3000m², not a listed monument and an energy label worse than C. 26 buildings were analysed, and it was determined that approximately 43% of the buildings analysed have a transformation potential based on the results of the Conversion Meter (9 out of 21 buildings-5 buildings discounted) and approximately 29% was deemed to have transformation potential based on the results of the Conversion Meter and the discussions with the expert panel (6 out of 21 buildings). In The Hague, more buildings could be found if smaller buildings are assessed too, as most of the buildings predicted to become economically obsolete (buildings energy label G) are smaller than 500m². Next to that, the normative approach of the Conversion Meter now discriminates against buildings in business parks due to a lack of facilities and location. However, as cities expand, some business parks have become engulfed by the city. The Binckhorst in The Hague is an example of a business park that will be transformed into a mixed-use area where buildings are found. Areas like these in cities provide great opportunities for adding more dwellings through transformation.","Adaptive reuse; The Hague; Transformation potential; Office buildings; Energetic performance","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:50e2609e-644d-4241-b3f2-a17f204d2c06","http://resolver.tudelft.nl/uuid:50e2609e-644d-4241-b3f2-a17f204d2c06","Spatial Height Prediction of ICESat-2 Data using Random Forest Regression","Kan, Leo (TU Delft Architecture and the Built Environment)","Ledoux, H. (mentor); Pronk, Maarten (graduation committee); Rafiee, Azarakhsh (graduation committee); de Wolff, H.W. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Earth's surface is a complex landscape that is essential for a wide range of applications, from urban planning to environmental monitoring. Digital models of the Earth's surface are generated through mathematical calculations using elevation data collected from various sources and the Digital Terrain Model (DTM) which captures the bare earth's surface topography in 2.5D. The creation of DTM is an approximation of terrain in unsample locations, by using x-y coordinates and one z value. Traditionally, terrain interpolation uses deterministic or geo-statistical methods to calculate elevation. This research would use random forest regression as an alternative method and to compare the results against traditional interpolation. Comparing different locations against traditional interpolation yields similar results overall. Feature importance, within the the points that are closest to the sampled ICESat-2 data point are more significant than other features used in Random Forest model. The correlation between these datasets and the spatial relationship established would impact on the results of the elevation. The improvement overall of using traditional interpolation compared to random forest regression is limited depending on the location and using model trained with local datasets. For model trained on other geographical locations, which shows similar differences.","ICESat-2; Interpolation; Digital Terrain Model; Random Forest; Topography","en","master thesis","","","","","","","","","","","","Geomatics","",""
"uuid:f6158c21-a06a-4cc2-9c32-587cd91f04f6","http://resolver.tudelft.nl/uuid:f6158c21-a06a-4cc2-9c32-587cd91f04f6","Envisioning the future of study places: Lessons learned from the general educational buildings at TU Delft","Tangelder, Laura (TU Delft Architecture and the Built Environment)","den Heijer, A.C. (mentor); Gramsbergen, E.H. (graduation committee); Delft University of Technology (degree granting institution)","2024","This thesis examines how TU Delft could adapt its study places in generic educational buildings to meet current and future demands. This research is done through a literature review and an examination of three
representative cases at TU Delft and in-depth, semi-structured interviews.
Despite the expectations of a pandemic-induced shift to online learning, this research emphasises the continued significance of a high-quality on-campus environment. Findings reveal a surplus of study places, urging qualitative enhancements, especially in aspects like community feeling.
Future prospects suggest a move towards interdisciplinary learning, requiring a diverse mix of study places. Recommendations encompass effective scheduling and smart campus tools, redefining quality parameters for study places, and creating adaptive learning environments. The findings advocate for a balanced approach, accommodating both quiet, focused study places and dynamic, socially engaging meeting places.
In conclusion, TU Delft is advised to holistically adapt study places, integrating quantitative and qualitative insights, as detailed in the lessons learned from the general educational buildings.
“PLAN B: Future waterscapes” is a utopian vision, building upon the agenda of LOLA LANDSCAPE ARCHITECTS, where we expand the city beyond the dikes. A central tower is introduced, intended for use as both a water reservoir and for residential purposes, inspired by the Indus civilization. Thanks to the flexible and sustainable structure of this building, it can expand along the dike, adding a new urban fabric to the historical city of Delft.
The research process involved thorough analysis of interview results which led to dilemmas which offered a base to the ideation process employed within this project. Through ideation and iteration, a creative solution could be generated that holds promise for fostering human flourishing. In lines with the principles of Positive Design, this condition is key for enhancing subjective well-being and offers an insight on the potential of design to contributing to this within the domain of gardening.
Prior to the field research, extensive desk research and a literature review was conducted, where the existing sources between well-being and gardening were explored. Additionally, the role of gardening tools within the aspect of how they contribute to well-being was explored, given the collaborative nature of this project with the company Talen Tools, renowned for its high quality gardening tool craftsmanship.
As a final result, this project offers a new perspective on how designers can harness Dilemma-Driven Design to actively contribute to Positive Design, embodied through the design of the “PocketHelp”, a device that aims to overcome the dilemma of desiring help from fellow gardeners without seeming helpless, which is a dilemma commonly faced by community gardeners within the gardening complex Delftse Hout. The project offers insights into various design strategies which can be utilized to the enhancement of subjective well-being, in this case particularly within the context of communal gardening.","dilemmas; well-being; design; Gardening; tools","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:6a3ae5a1-abb9-4d5c-91de-859f7e32c5e2","http://resolver.tudelft.nl/uuid:6a3ae5a1-abb9-4d5c-91de-859f7e32c5e2","How to maintain or enhance a sense of community in post-war neighborhoods, which are going through regeneration process","Gerstenkorn, Ella (TU Delft Architecture and the Built Environment)","Mooij, H.A.F. (mentor); Eckardt, H.F. (graduation committee); Guis, R.S. (mentor); Delft University of Technology (degree granting institution)","2024","In this research, I strived to explore solutions to the question:
How to maintain or enhance a sense of community in post-war neighborhoods undergoing regeneration processes
The outcome of this research provides a guideline for architectural planning, in creating an environment that reflects the importance of a sense of community in the built environment. The outcome can be viewed as a manual for analyzing and retrofitting neighborhoods, focusing on the shared spaces and maintaining or enhancing a sense of community. By focusing on a specific site, and examining its characteristics, I formed a guideline on how to treat specific issues with architectural interventions. I examined theories by various researchers (such as Gehl, Whyte, David Sim, and Bernard Tschumi) as well as architectural drawings of master plans that focus on shared spaces as an integral part of the vision.
To the plans of the municipality the sex workers out of the area have reacted with the message: “don’t save us, save our windows”, a note that is revealing how the area is dealing with a material and social problem at once. This message is also very relatable to this research, since I will be approaching it through the window. The architectural element of the window has an high symbolic value and reveals a lot about societal norms and values. Therefore, to explain a wide range of developments that took place over the last two centuries, this research begins with examanining historical photographs that display the windows of sex workers and are carefully analyzed through hand drawings. These studies will be supported by theoretical resources which elaborate on prevailing societal norms and values that concerned sexuality.
What this research will illustrate is how sex work is often concerned with moral questions, like the issue of (female) body determination. As a result, sex work became a familiar topic within histocial feminist debates, having either a liberative or oppressive effect on the profession. Since the goal for this research is to imagine the future of sex work spaces in the Wallen area, it will navigate through these debates in order to take a position in the contemporary feminist debate myself. Hoping to find a appropriate design approach which will challenge the strategy of the municipality.","Sex Work; the Wallen; Feminism; Window; Visibility","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","",""
"uuid:ca6976fe-c1a0-4aa2-89b2-662ba4212e6e","http://resolver.tudelft.nl/uuid:ca6976fe-c1a0-4aa2-89b2-662ba4212e6e","Designing a semi-automated approach to find potential evidence of corporate greenwashing","Bindi, Ludovica (TU Delft Technology, Policy and Management)","Goyal, N. (mentor); Ghorbani, Amineh (graduation committee); Zhang, J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Greenwashing can be defined as the mismatch between companies’ positive green communications and their activities that are counterproductive to the fight against climate change. Greenwashing companies can undermine the success of climate policies by hiding their climate footprints and prevent policymakers from realizing the need for further regulations. Thus, the identification of greenwashing should be done timely: this research sets out to find a semi-automated approach that can find evidence of greenwashing. The study of greenwashing is limited to the mismatch between companies’ communications on official websites and their lobbying activities in the European Union. Some steps of the design research approach were used namely the design development, demonstration, and evaluation (via a small-case evaluation) phases. The created approach measures companies’ communication levels of commitment to climate change using a GPT-based sentence classifier and then compares it to their levels of lobbying on climate change using keyword-based filtering on the EU Transparency Register, the official lobbying source for the EU. The proposed method is semi-automated at this stage and can inform a greenwashing appraisal, but it has issues with the accuracy of its analysis. This research partially fills the gaps in the greenwashing literature and advances the research in the use of GPT for classification purposes and in the field of climate lobbying in the EU. This research can teach the implementation of new EU regulations that aim at banning greenwashing: the more detailed the definitions of greenwashing that are used, the easier to find greenwashing automatically, and, therefore, the faster the enforcement of the ban can be.","greenwashing; Design research; automation; corporate communication; lobbying; corporations; deductive coding; EU Transparency Register","en","master thesis","","","","","","https://github.com/LudovicaBindi/collecting_greenwashing_evidence.git GitHub repository that contains the data collected, used, and produced for this thesis.","","","","","","Engineering and Policy Analysis","",""
"uuid:385a63dd-fe8f-4091-9cbf-2984a02bdb85","http://resolver.tudelft.nl/uuid:385a63dd-fe8f-4091-9cbf-2984a02bdb85","IAmHOME: Transforming an existing house into a smart house to provide for the well-being of its residents","Balakina, Lena (TU Delft Architecture and the Built Environment)","Așut, Serdar (mentor); Khademi, S. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis investigates the transition of traditional houses into Smart Homes, emphasizing the improvement of residents’ well-being, particularly for those aged 50 to 60. It is set against the rapid growth of Smart Home Devices enabled by the Internet of Things, facilitating easy control and monitoring of home features. There has been a significant increase in the market, with global shipments of such devices rising by 12% in 2021, reflecting a trend towards more connected and automated homes.
Smart Homes are becoming increasingly popular with people spending more time at home and the rise in digitalization. These homes represent technology and address the needs of an aging population, energy efficiency, and personal well-being enhancement. Yet, this shift to digital comes with challenges, including reliance on the internet and potential cybersecurity risks. The dissertation critically reviews these issues and looks at possibilities applied in the Built Environment.
Approaching the integration of Artificial Intelligence in the Built Environment, the study delves into the evolution from Smart Homes to Intelligent Homes. In this transition, AI plays a crucial role in creating environments that are adaptive and capable of making predictions. This shift towards more advanced technology raises important issues regarding privacy, ethical implications, and finding the right balance between convenience and security.
The research is driven by a detailed problem statement emphasizing the urgent need for innovative housing solutions in light of an aging society and healthcare system pressures. The case study developed in this thesis is in the Netherlands, where projections suggest that by 2035, over 24% of the population will be 65 and above. The study aims to transform an existing house into a Smart Home, focusing on improving indoor climate and resident well-being. Recognizing the subjective nature of health and well-being, it notes that the advantages of a Smart Home can differ among individuals. The study is significant for its potential contribution to the development of assistive technologies and services, ultimately seeking to improve life quality and support aging in place for future generations. With a combination of interdisciplinary fields such as Architecture and the Built Environment, design, and Artificial Intelligence, this thesis explored the possibilities for the future by taking a computational approach, including focusing on the indoor environment, comfort, and other things related to the technical aspect of the building.
According to Galema & Van Hoogstraten (2005), the shopping centres built in 1940-1965 according to contemporary and recent literature are often hardly recognisable, due to the speed at which commercial attitudes change. They further state that retail is forced to keep up with the times, and retail buildings and centres change regularly. Concluding that the building type evades traditional monument conservation.
This is despite forming an essential part of neighbourhoods for their socioeconomic value (Robertson & Fennell, 2007; Kooijman, 1999; Feinberg et al., 1989).
Therefore, the research focuses on determining who the insider stakeholders are and how they value the spatial attributes of a changed 20th-century shopping mall. One of the key strategies for the survival of a 20th-century mall is to reinvent its meaning in contemporary society.
The design focused on how to incorporate these values combined with contemporary design theories such as Gehl, and Sim, among others.","Heritage & architecture; Shopping mall; stakeholders; insiders; Interview","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","","52.03711489835971, 4.3151787087021765"
"uuid:145406d8-353f-4091-866e-fad69c771c8c","http://resolver.tudelft.nl/uuid:145406d8-353f-4091-866e-fad69c771c8c","Redefining Schooling: Co-crafting a Community Waterscape for the Bajau Laut","Sarra, Christina (TU Delft Architecture and the Built Environment)","Chan, P.W.C. (mentor); van de Pas, R.R.J. (mentor); van de Voort, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","The Bajau Laut or Sama Dilaut people are an ethnic group of sea nomads who used to roam between the Filipino, Malaysian, and Indonesian maritime zones. The Bajau are known to be amazing freedivers, being able to dive in depths of up to 30 meters and having the ability to hold their breath for more than 5 minutes, making them a group of people that biologically adapted into an amphibious lifestyle.
In 1877, most present-day Sabah, Malaysia, was surrendered to the newly constituted British North Borneo Chartered Company. The reforms constituted by the Company gradually forced them to self-build the first pile house setlements in 1955 and abandon nomadism for a sedentary lifestyle along the shore in 1979. Due to their nomadic lifestyle in the past, none of the three SE Asian countries have recognized the community as indigenous people, making them stateless and hence depriving them of the right to civil registry, subsidized healthcare and education with the exception of Alternative Learning centers that are run by NGOs and volunteering teachers. However, what is the position of Alternative Learning Centers regarding indigenous educaon and the importance of school as an establishment?
Alternative learning centers offer basic literacy classes such as Malay language and Mathematics. Still, volunteering, uncertified teachers have the freedom to improvise by introducing classes related to their major or the children’s wishes. However, only a small part of the curriculum has anything to do with the community’s traditional ecological knowledge or identity.
How do we define literacy nowadays? What deems a person illiterate? This project aims to redefine schooling by introducing a culturally responsive curriculum in a community waterscape that acts as a mediator between the community's built environment and the surrounding marine landscape, prioritizing knowledge stemming from both environments.","SE Asia; sea level; Bamboo; Indigenous community; Traditional ecologcal knowledge; Nomadic; local building culture; Local materials; Low Tech; School; School architecture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","","4.4908499313248935, 118.60211674822584"
"uuid:bd56e08b-5445-4e7c-9bde-044ec81c68be","http://resolver.tudelft.nl/uuid:bd56e08b-5445-4e7c-9bde-044ec81c68be","Towards Zero Carbon: A Comprehensive Evaluation of Conventional Renovation Strategies for Terraced Houses, Using Life Cycle Analysis (LCA) and Life Cycle Costing (LCC) to Enhance Decision-Making Support – accompanied by the design of a tool: Support for short term and long term decision-making in renovation","Sewnath, Madevi (TU Delft Architecture and the Built Environment)","Konstantinou, T. (mentor); Broersma, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","To reduce global warming the emission of greenhouse gases (GHG) must be net zero in 2050, Paris agreement. In the Netherlands the building sector is responsible for 38% of total GHG emissions, 27% being operational carbon (related to energy use) and 11% embodied carbon (related to the use of materials). A large portion of the GHG emissions in this sector originates from existing residential buildings and is for the majority related to energy for heating.
Renovating existing buildings is a key step in reducing operational carbon emissions related to heating. Due to the environmental advantages of renovation, it acts as the first step to reduce carbon emissions. The general strategy to reduce carbon emissions, first focuses on the operational carbon by transitioning from non-renewable depletable energy sources to renewable, sustainable energy sources, also known as the energy transition, starting with replacing natural gas for heating and cooking. In the Netherlands the target is to reduce 49% of GHG emissions compared to 1990 by 2030, and 95% by 2050. In practical terms this requires a renovation of 1.5 million residential buildings by 2030 and 5.5 million residential buildings by 2050.
As operational carbon decreases, reducing the embodied carbon becomes more important. Unlike operational carbon which is only present during the in-use stage of a building, the embodied carbon is present in all stages of a building’s life cycle. Clear standards on the assessment of carbon emissions over the full life cycle of a building are missing. Due to this current strategies are not selected to reduce carbon emissions on the complete spectrum of carbon emissions. The risk is that the overall carbon reduction of the building stock is less than expected.
Renovation changes the life cycle of a building and thus its long term performance. Renovations with high energy saving often can’t be performed in a single step due to high investment costs. Therefore renovation is often executed in multiple steps. Understanding the effects of different use-phase scenarios can help reduce carbon emissions on the long term.
Furthermore, assessing the performance of all generated renovation solutions, at an early design stage, can be time consuming and requires a high level of information. This limits the number of renovation options explored and indirectly influences the effectiveness of a renovation.
The aim of this thesis is to investigate how assessment of renovation strategies can be simplified to support decision making in renovation. The thesis investigates different renovation strategies and scenarios for the in use stage of a terraced house, to improve decision/making in renovation, by looking at the level of renovation, renovation measures, renovation execution and decision making criteria. The data gathered is used to create a tool, supporting decision-making for renovation strategies. Data is obtained using various tools, and by performing among others a simplified Life cycle analysis (LCA) and life cycle costs (LCC) assessment. The performance of the renovation strategies are evaluated based on energy performance, environmental performance and costs.
Despite progress, gaps persist in understanding SoSEAL’s influence on sand’s mechanical properties, particularly on the shear strength. The primary objective in this research was to investigate the impact of different concentrations of Al-OM (Aluminum and Organic Matter) flocs on sand’s mechanical characteristics through ex-situ mixing. This included the development of a testing procedure using a triaxial test apparatus, incorporating results from permeability tests and utilizing microscopy to analyze micro structural changes and the underlying mechanisms. The study aimed to deliver valuable insights into SoSEAL’s potential as a nature-inspired geo-engineering solution for soil improvement.
Undrained triaxial tests were conducted on ex-situ mixed sand with different concentrations of Al-OM flocs, namely 0, 0.1, 0.5 and 1%. These concentrations were defined as dry mass of flocs based on a metal/carbon ratio of 0.06. Through a carefully executed test procedure, involving Proctor’s test, permeability measurements and triaxial testing, the mechanical properties of the treated sand were investigated. Proctor’s tests were utilized to determine the maximum dry density and its corresponding moisture content of the (un)treated sand. These parameters were used for molding the sand samples for the triaxial test series. The untreated sand did not show a clear peak in its Proctor curve, which is typical for uniform graded fine/medium sands. The porosities, derived from the optimum dry density and corresponding water content, were found to be ≈ 0.4 (-) for all samples. Indicating the minor impact of the Al-OM flocs to the porosity of the sand samples.
The undrained consolidated triaxial test procedure, consisting of saturation, consolidation, and shearing, provided insights into the mechanical properties of SoSEAL. Although the consolidation phase did not reveal significant differences
in the presence of Al-OM flocs, elastic parameters, derived from the shearing phase, generally increased with higher Al-OM floc concentrations in sand. Young’s Modulus, E , increased by a magnitude between 2.11-2.62 times the untreated sand, while the shear Modulus, G, increased by a magnitude between 2.09-2.18. Nonetheless, exceptions such as test CU05-1 and CU10-2 were observed. Strength parameters, measured by maximum deviatoric stress at failure, exhibited an overall increase with higher floc concentrations. Finally, the results show that an alteration in floc concentration in sand did not have a significant impact on its permeability, contrary to previous measurements obtained in the absence of confinement.
The results from the Proctor’s test, permeability measurements and the triaxial tests highlighted differences and improved general knowledge of the impact of Al-OM flocs to the mechanical properties of sand. Variations in test results, seen
when comparing test CU05-1 to CU05-2 and test CU10-1 to CU10-2, underscored the complexity of factors such as compaction challenges and localized failures. From microscale examination using scanning electron miscroscopy (SEM), the increase in strength properties can be attributed to the cohesion between sand grains, evident in the formation of grain clusters. As the concentration of Al-OM flocs in sands increased, so did the quantity of grain clusters. The potential of Al-OM flocs in sand for dyke improvement is found in the observed increase in elastic and strength properties, providing enhanced resistance against erosion.
A common limitation often encountered in transport datasets is class imbalance, with regard to the utilization of the different modes. Class imbalance in this context refers to the uneven distribution of samples among the various modes. Modes with a higher number of samples are termed majority modes, while those with fewer instances are labeled as minority modes. The existence of class imbalance within the dataset has the potential to compromise the performance of classifiers, especially for the minority modes, leading to inaccurate forecasts. This, in turn, may result in insufficient investments and provisions for these modes, ultimately having adverse consequences for the population segments that rely on them. Existing studies in the literature have either entirely overlooked or only partially addressed the impact of class imbalance. Recognizing the significance of precise demand predictions and acknowledging the identified gaps within the literature, the primary research question of this study revolves around systematically identifying and addressing the impact of class imbalance in mode choice forecasting.
To address the main question, a framework was proposed. This framework encompassed various aspects including a) the measurement of class imbalance within a dataset and the assessment of its impact on classification performance, b) the investigation of other challenging factors coexisting in imbalanced datasets, with a specific focus on class overlap, and c) the proper evaluation of classification performance across classes. As an integral part of this framework, the 'Performance Gap Metric’ was introduced - a metric employed to evaluate the difference in classification performance between the majority and minority classes. Establishing a threshold of 20%, favorable classifier performance was determined when this metric fell below the threshold, signifying the classifier’s equitable treatment of both minority and majority classes. Subsequently, this framework was applied using the ODiN data as a case study to predict mode choices in the Netherlands. Mode choices encompassed car, bike, and transit, with car representing the majority and transit the minority class. Two modeling techniques, namely Random Forest and an MNL model, were employed in conjunction with various sampling techniques, including the SMOTENC, the Neighborhood-based Undersampling, and the Separation scheme...","","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","","52.2129919, 5.2793703"
"uuid:5e3d7f14-d5b9-4dfe-9277-e2634be47611","http://resolver.tudelft.nl/uuid:5e3d7f14-d5b9-4dfe-9277-e2634be47611","Climate Impact Assessment of Sustainable Aviation Fuels: A scenario based assessment of the climate impact of sustainable aviation fuels in the year 2125","Koopdonk, Pieter (TU Delft Aerospace Engineering)","Yin, F. (mentor); Delft University of Technology (degree granting institution)","2024","","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:77cb99e6-6fbf-4d9e-a744-76a50aa552fa","http://resolver.tudelft.nl/uuid:77cb99e6-6fbf-4d9e-a744-76a50aa552fa","Anomaly Detection in Sleep Staging in Critically Ill Children","Oerlemans, Carlijn (TU Delft Mechanical, Maritime and Materials Engineering)","Tax, D.M.J. (mentor); de Jonge, R.C.J. (mentor); Kuiper, Jan Willem (mentor); Joosten, K.F.M. (graduation committee); van Twist, Eris (graduation committee); Delft University of Technology (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution); Universiteit Leiden (degree granting institution)","2024","Study objectives: Conventional sleep scoring is based on the scoring criteria of the American Association of Sleep Medicine (AASM) but may not be suited to describe sleep in critically ill children admitted to the Pediatric Intensive Care Unit (PICU). In this study, an anomaly detection model using Gaussian Models trained on sleep stages in data from non-critically ill children is developed to assess if polysomnography(PSG)-derived electroencephalography (EEG) data from critically ill children can be categorized into sleep stages based on these AASM scoring criteria.
Methods: A retrospective study at Erasmus MC Sophia Children’s Hospital, using PSG recordings obtained in non-critically ill children between 2017 and 2021 and in critically ill children between 2020 and 2022.
Gaussian Models were individually trained for each sleep stage using data from non-critically ill children. Anomaly detection was carried out by computing the Mahalanobis Distances and assigning datapoints to specific sleep stages or categorizing them as anomalous. Errors were quantified by calculating the ratio of anomalous epochs to the total number of epochs. The trained Gaussian Models were applied to distinct sleep stages in the data from non-critically ill children. Subsequently, the models were applied to data from critically ill children to determine the categorization of their epochs. This was also analyzed over time and involved comparisons related to medication, mechanical ventilation, and the severity of illness assessed by the PELOD-2 score.
Results: In non-critically ill children the models obtained validation errors aligning with the margin error of the training set. The models could not fully differentiate the distinct sleep stages. In critically ill children, the majority of epochs were classified into multiple sleep stages. High error rates were evident for sleep stages N1, R, and N. Some patients exhibited elevated error rates specifically for sleep stage N1. REM sleep was reduced, consistent with findings from previous studies. In contrast, N3 sleep did not show a reduction. When compared to the sleep stage labels assigned by neurophysiologists, the model classified epochs into multiple sleep stages, while neurophysiologists frequently used the label N. A higher PELOD-2 score did not consistently correlate with an increased occurrence of anomalous classifications in the epochs of these patients to those with lower PELOD-2 scores.
Discussion: Overlap of sleep stages was observed in non-critically ill children. Epochs from critically ill children were classified into multiple sleep stages without clear associations in time or severity of illness. Building upon the established anomaly detection framework is recommended by employing more advanced anomaly detection methods using an informative feature selection. This study marks an initial step, indicating that applying the AASM.","Pediatric intensive care unit; Anomaly Detection; EEG; Sleep","en","master thesis","","","","","","","","","","","","Technical Medicine | Sensing and Stimulation","TM30004; 35 ECTS",""
"uuid:3cf9e4cb-05e2-41d2-afa1-a8400be50e07","http://resolver.tudelft.nl/uuid:3cf9e4cb-05e2-41d2-afa1-a8400be50e07","Revolutionizing airline catering trolley transport by using a Unit Load Device","Wempe, Joost (TU Delft Mechanical, Maritime and Materials Engineering)","Jovanova, J. (graduation committee); van den Bos, W. (mentor); Rietveld, Egbert (mentor); Delft University of Technology (degree granting institution)","2024","The increasing automation in various industries has underscored a notable gap in the airline catering sector, where predominant manual transport of airline catering trolleys within facilities results in substantial costs and physical strain. This paper addresses these challenges by proposing an automated solution for the transport logistics of airline catering trolleys within catering facilities.
The suggested solution involves implementing a Unit Load Device (ULD) designed to securely carry multiple airline catering trolleys. This ULD is engineered for compatibility with various transport systems, including Automated Guided Vehicles, highloaders and conveyors.
The paper outlines the design process for a ULD within an airline catering facility. To formulate a comprehensive design, several design problems are identified, and potential solutions are presented. Subsequently, multiple viable load plate prototypes are constructed and tested on an Automated Guided Vehicle and highloader, resulting in the identification of a single viable all-purpose ULD for the entire process. Additionally, an in-house ULD emerges as a cost-effective alternative to the all-purpose ULD.
In this context, this paper introduces a comparative analysis of three possible future airline catering facilities: utilizing 1 ULD, using 2 ULDs, and employing no ULDs (or maintaining the current status quo). The comparison reveals minimal cost differences between facilities employing 1 or 2 ULDs, both facilities however show significantly more cost efficient compared to using no ULDs.","ULD Design; Airline Catering Facility; Dual ULD configuration; Inclined ULD Design","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:0f8d81ac-8171-4ede-9d01-3cd6cda6aff6","http://resolver.tudelft.nl/uuid:0f8d81ac-8171-4ede-9d01-3cd6cda6aff6","An incentive to wander: The case of the Leyweg","Naaktgeboren, Daphne (TU Delft Architecture and the Built Environment)","Meijers, W.L.E.C. (mentor); Meijer, A.S.C. (mentor); Quist, W.J. (mentor); Marulo, F. (mentor); Delft University of Technology (degree granting institution)","2024","In the Netherlands, shopping centres are abun¬dant. Whether they are large-scale malls or small neighbourhood centres, they are woven into the fabric of Dutch society. But recently and in the future many malls will be facing the prospect of vacancy. A response therefore is needed. This design was created for the Leyweg in the Hague, and exemplary neighbourhood mall of the Netherlands. The design is focused on the social sustainability of a mall, a future proof design that could be applied to the many small scale shopping malls in the Netherlands. It is designed as a centre in the neighbourhood for people to come together, to meet each other, not solely as an incentive to seduce people to buy as much as possible. By bringing multiple functions in the mix, such as dance, study, work and community spaces, the mall becomes an centre point for the vicinity and attracts a large, diverse audience. It is made for people to just simply be there, to explore, to bring an incentive to wander.","Post-war Shopping mall; 20th century heritage; Leyweg Winkelcentrum; mixed functions; comfort; spatiality; inclusivity","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","","52.049895, 4.275352"
"uuid:f66c4807-48d4-4046-af4a-536a0afc5192","http://resolver.tudelft.nl/uuid:f66c4807-48d4-4046-af4a-536a0afc5192","Grow With the Flow: Developing a Dynamic Coastal Interface for the Wadden Sea Region","van den Boomen, Venne (TU Delft Architecture and the Built Environment)","Nijhuis, S. (mentor); van der Veen, R.J. (graduation committee); Reinders, L.G.A.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","We live in a world of constant change, driven by human activities that have had an unprecedented impact on our planet’s geology and ecosystems, fundamentally reshaping environments worldwide. Coastal environments, with their complex land-sea, human-nature interactions, are among the most fragile and are constantly under the influence of natural and human processes. For decades, these processes moved in harmony, but as populations grew, so did the demand for resources, land and the development of infrastructure, such as dams, dikes, transport networks and coastal management interventions. The fixation of coastlines and inland systems, driven by contemporary artificial human activity, is an often unintended consequence.
The Wadden Sea Region, known for its extensive mudflats, salt marshes and diverse flora and fauna, is an example of how human intervention has had a significant impact on the form and systems of the transition zone between sea and land, or the coastal interface. Over thousands of years, the region has been shaped by the interaction of sea, land and human influences, resulting in a gradient of vital coastal habitats for numerous species and providing many ecosystem services for local and (inter)national economic prosperity. In recent decades, however, human interventions have increasingly altered the region’s coastal interface, largely disrupting the dynamic exchange of energy and materials through land reclamation and infrastructure development. The separation of land and sea has resulted in the loss of the gradual gradients that were once a defining feature of the Wadden Sea Region, along with the region’s identity of ‘living with water’. This has meant the additional loss of vital habitats and ecosystem services provided by the sea for decades, and the overall loss of socio-ecological resilience of the interface system. Now, with the increasing pressures of climate change and human needs on the complex system of the Wadden Sea region, its landscape faces serious challenges now and in the future. A new balance needs to be found between human activities and the conservation and restoration of the unique natural and cultural values of the Wadden Sea Region.
The research aims to explore the potential of a new interface framework that restores the landscape gradient between land and sea in harmony with natural processes and cultural values. The intention is to increase the overall social and ecological resilience of the interface system through the development of landscape-based design principles and design interventions, which will be tested at different temporal and spatial scales. The northern Netherlands will serve as a regional test-bed for innovative forms of production and living along the future interface, where the proposed design layout is based on analysis of coastal geomorphological processes, historical practices, landscape structures and existing water structures.","Wadden Sea Region; Coastal interface; Resilience; Landscape-based Approach; Landscape framework; Landscape Architecture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","53.3833318, 6.1833326"
"uuid:6c933d63-6c9b-4e1d-b660-12fd1fe45c3f","http://resolver.tudelft.nl/uuid:6c933d63-6c9b-4e1d-b660-12fd1fe45c3f","Mitigation of Hydropeaking in a Complex Riverine System: A State-of-the-Art Modelling Approach: A quantitative study with HEC-RAS modelling on hydropeaking by means of a case study in the Kalajoki basin (northern Finland)","Moonen, Siirilotta (TU Delft Civil Engineering & Geosciences; TU Delft Hydraulic Engineering)","Wüthrich, Davide (mentor); Marttila, H. (graduation committee); Sloff, C.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Hydropeaking is a widely applied management practice in the generation of hydropower. When the demand in electricity is high, the operator of a hydropower plant rapidly increases released discharges to meet this demand. Vice versa, when the demand is low, no or less water is released. However, while river regulation practices offer valuable resources, they can also inflict adverse environmental consequences on downstream river segments. More specifically, hydropeaking introduces high sub-daily variance in downstream reaches of the hydropower plant. This large sub-daily variance is harmful to the river regime and ecosystems. In Finland, hydropeaking has the highest negative environmental impact of all river regulation practices. The most prominent, known negative impacts due to high flow variations are a direct impact on aquatic biota, such as trout, and a compromised recreational use of the river corridor. The main objective of the thesis research is to investigate the impact of hydropeaking on rapids in a complex riverine system. More precisely, a system that includes multiple subsequent weirs, vegetation, large riverine boulders, a rather flat topography and a small-scale anabranch. Additionally, related to the modelling approach, two state-of-the-art calibration methods and their benefits and limitations are discussed. The formulation of operational and morphological mitigation measures to counter impacts is the secondary objective. A case study for the downstream region of Hamari Hydropower Plant at Ylivieska (Finland), the site Juurikoski, provides insight on the different aspects related to these queries. During a series of simulation runs, including the original situation and three hypothetical mitigation scenarios, the impact of hydropeaking is quantified and studied. Results include post-processed water level, velocity and shear stress data. Furthermore, conclusions and a discussion are given regarding the potential of calibration and validation for a 2D hydrodynamical model by state-of-the-art methods. These methods refer to a hot spot analysis comparison based on LSPIV-data and velocity profile comparisons based on ADCP-data. On a local scale, mitigation recommendations are suggested or excluded from a practical point of view. From a more global perspective, the report provides a modelling approach to tailor mitigation measures according to riverine lay-out, despite limited bathymetry data and increased riverine complexity.","river regulation; hydropeaking; environmental impact; calibration; ADCP; LSPIV; Kalajoki; Finland; Weir; 2D; hydraulic modelling; HEC-RAS; mitigation measures","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","","64.07487, 24.53668"
"uuid:52f8da3e-a3be-4f02-a53b-74d66107686b","http://resolver.tudelft.nl/uuid:52f8da3e-a3be-4f02-a53b-74d66107686b","UAV Swarm Intelligence in CEMA: Enhancing Urban Communication Line Management","Holman, Storm (TU Delft Aerospace Engineering)","Sharpanskykh, Alexei (mentor); Delft University of Technology (degree granting institution)","2024","In response to the increasing challenges of Cyber Electromagnetic Activities (CEMA) in urban settings, characterized by dense electromagnetic (EM) signals and rising data traffic, this research introduces an Agent-Based Model (ABM) aimed at prioritizing critical signals. The primary goal of this research is to deploy a Unmanned Aerial Vehicle (UAV) swarm operating to selectively interfere with communication lines in a CEMA environment. The research goal is segmented into a multi-stage approach, focusing on the following mission tasks for the UAV swarm: i) Transmitter Search, ii) Communication Line Search, iii) Communication Line Mapping, and iv) Interference Point Finding. This research proposes and evaluates various methodologies for these task. A methodological contribution is the development of the Heuristicdriven Utility by Regression-based Metrics Synthesis (HURMS) framework. This framework addresses the subswarming coalition formation problem in Multi Transmitter Search. The HURMS framework utilizes the benchmarking Monte Carlo Tree Search (MCTS), a heuristic search method, to enhance the Maximum Utility Configuration (MUC), a transparent utility-based method, overcoming heuristic search limitations and complexities in creating utility functions. While the HURMS-enhanced MUC method effectively located all transmitters in the task, comparative analysis showed MCTS to be about 45% faster and 56-71% more successful in transmitter detection. This highlights potential areas for enhancing the MUC algorithm further under the guidance of the HURMS framework. Furthermore, the Particle Swarm Optimization (PSO) Search was utilized as a velocity controller in the context of Multi Transmitter Search methods, guiding the speed and direction of UAVs. Regarding Single Transmitter Search, a significant observation was that the PSO Search was approximately 38% faster than the novel Pair-gradient Search method in locating a single transmitter. The study also examines Communication Line Mapping by comparing two frontier-based methods with the Multi-agent Tabular Q-Learning method. The frontier-based methods provided better coverage, while the Tabular Q-Learning excelled in precision and adaptability for multi-agent mapping. Data from these methods were applied to find the interference point. Additionally, a Reinforcement Learning (RL)-trained agent was used for Interference Point Finding, proving to be faster but less accurate.","Swarm intelligence; UAV; CEMA; ABM; Reinforcement Learning; MCTS; Coalitions Formation; PSO","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:16c3c3d6-ff76-463c-871b-749fa92a4243","http://resolver.tudelft.nl/uuid:16c3c3d6-ff76-463c-871b-749fa92a4243","From Risk to Resilience: A Strategic Procurement Approach to Risk Mitigation in the Supply Chain: Leveraging Supplier Relationship Management and Multi-Criteria Decision-Making for Risk Mitigation","Vaandrager, Celine (TU Delft Technology, Policy and Management)","Rezaei, J. (mentor); Roosenboom-Kwee, Z. (mentor); Scharff, R. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis addresses the increasing vulnerabilities in modern supply chains, particularly within the maritime industry. Emphasizing the crucial role of procurement in risk mitigation, the study challenges conventional lean management, advocating for agile supply chain strategies. It introduces the Integrated Supplier Matrix (ISM) to enhance supplier segmentation, combining aspects of the Purchasing Portfolio Matrix (PPM) and Supplier Potential Matrix (SPM).
Conducted as a case study at Allseas, the research employs the Best Worst Method (BWM) to rank risks, identifying the uniqueness of the product, rules and regulations, and external factors as significant concerns. Through graphical representations and ISM-based supplier segmentation, relationships between supplier willingness, capabilities, risks and profit impact are established. The findings stress the importance of communication and trust in supplier relationship management (SRM) trade-offs, including inventory reliance, contract durations, sourcing strategies, and addressing non-performing suppliers.
The research provides insights for maritime managers, recommending the integration of theoretical SRM approaches with multi-criteria decision-making methods for resilient supply chains. The suggested shift from lean to agile management and the ISM implementation offers practical strategies to optimize relationships, navigate complexities, and establish adaptive procurement approaches, effectively mitigating supply chain risks.","Supply Chain Management; Risk mitigation; Supply chain resilience; Supplier Relationship Management; Multi-criteria decision-making; Best Worst Method; Maritime Industry","en","master thesis","","","","","","","","2024-12-28","","","","Management of Technology (MoT)","",""
"uuid:a636d8de-ebdc-4835-81b7-f3fa81dc74e9","http://resolver.tudelft.nl/uuid:a636d8de-ebdc-4835-81b7-f3fa81dc74e9","An Optimised Approach to Wireless Power Transfer for an Artificial Retina Implant","Wijermars, Ronald (TU Delft Electrical Engineering, Mathematics and Computer Science)","Muratore, D.G. (mentor); Delft University of Technology (degree granting institution)","2024","An artificial retina can replace some of the functionality of the eye in people that have experienced partial or complete loss of vision. Loss of vision is associated with many negative effects in one’s life and can be a debilitating condition. Artificial retinas in the form of a chip thus offer the potential to greatly improve people’s lives.
A wireless implementation is much preferred to the wired alternative, as it reduces the risks associated with implantable devices while also being less invasive to the patient. Wirelessly powering an artificial retina implant requires careful consideration of the impact on tissue heating as this is the fundamental
limit in how much power an implantable device can consume.
This thesis provides an optimal implementation of wireless power transfer in the framework of an artificial retina implant with the explicit goal of reducing tissue heating. A literature study forms the basis for identifying the key metrics and providing a switched capacitor power converter (SCPC) topology needed to realise the final system performance. The key innovations are a first-of-its-kind design of an ctive rectifier, a novel system-level optimisation and an SCPC to implement the o ptimised system approach while simultaneously enabling a novel, efficient, low-overhead closed-loop control of the link. It was shown that a 30 % reduction in radiated power can be realised for a given system while maintaining the same output load power. The designed active rectifier can achieve orders of magnitude faster settling compared to the state of the art, allowing rapid amplitude-shift keyed data transfer to be employed on the wireless power transfer link. The active rectifier achieves a simulated voltage conversion ratio of 92.0 % and a power conversion efficiency of 90.1 % for a 500 Ω load. an SCPC as designed and fabricated to achieve a load transformation to the calculated optimum load. A simulated 80 % efficiency at a power density of 29 mW/mm2 was achieved, with a conversion range of 0.7 − 1.8. Measurement results proved to be inconclusive. The SCPC was shown not to work and the active rectifier’s performance couldn’t be precisely verified. An improved measurement setup and additional debugging options in the chip design are among the proposed improvements for future work.","WPT; Switched Capacitor; SCPC; Active Rectifier","en","master thesis","","","","","","","","2026-01-12","","","","Electrical Engineering | Microelectronics","",""
"uuid:b12fd20b-2431-46f1-ad1f-e657ed7f4bad","http://resolver.tudelft.nl/uuid:b12fd20b-2431-46f1-ad1f-e657ed7f4bad","Reliability Based Design Optimization on Quay Walls by re-calculating partial factors","Zakynthinos, Petros (TU Delft Civil Engineering & Geosciences)","Flessati, L. (mentor); Kementzetzidis, E. (mentor); Roubos, A.A. (mentor); van den Eijnden, A.P. (mentor); Habets, C.J.W. (mentor); Delft University of Technology (degree granting institution)","2024","In the years to come, the Netherlands will face a substantial challenge as over 1,500 kilometers of aging quay walls and sheet pile walls approach the end of their technical lifespan. Infrastructure managers anticipate that the necessary replacements will necessitate investments amounting to billions of euros. Moreover, this task carries a significant environmental footprint, notably in terms of CO2 emissions. The construction work required for these replacements will also result in disruptions and reduced accessibility, inconveniencing users.
This study addresses two pivotal aspects. Firstly, it focuses on enhancing the design aspects of new structures and optimizing costs, with a specific focus exploring how these enhancements can ease the financial challenges faced by infrastructure managers. Secondly, it investigates the safety of existing structures and explores ways to maximize their loadbearing capacity while maintaining safety standards. The expected outcomes of this study promise improved design aspects, cost-efficiency, and enhanced safety measures.
Quay walls can fail due to various mechanisms. This research investigates three primary causes: yielding of soil, yielding of quay wall and anchor yielding. Quay walls illustrate the complexities of soil-structure interaction. To address this, models were developed in both Plaxis and D-Sheet Piling. D-Sheet Piling was the preferred choice due to its computational speed. The reliability analysis was conducted with Probabilistic Toolkit. Considering the calculation methods, First Order Reliability Method (FORM) was employed, emphasizing in efficient computational results in contrast to the Monte-Carlo approach.
In the first aspect, the partial factors were recalculated and compared them with the existing EC partial factor approach. To optimize the current design methodology, the retaining height of the structure was adjusted based on its reliability index. Additionally, the maximum anchor force required was re-evaluated for the structure. This procedure has been conducted for two scenarios, considering and not considering model uncertainty.
Furthermore, an analysis was conducted to understand how altering the retaining height can lead to reduced steel usage, subsequently impacting costs and CO2 emissions. In the second aspect, it was pursued to enhance the structure’s performance by introducing a factor ""n"" across four distinct scenarios: 1. Simultaneously increasing all loads. 2. Increasing the surcharge loads on the terrain. 3. Increasing the bollard load. 4. Raising the final excavation level in front of the quay wall. While this study aligns with the extensive body of research in the field of civil engineering, It seeks to offer a new and sustainable approach on understanding quay wall design, focusing specifically on the designers’ viewpoint. Through the exploration of innovative design frameworks and approaches, this research seeks to make a valuable contribution to the long-term sustainability of quay wall structures. It aims to redefine our approach to accessibility and safety in these crucial structures. The comprehensive investigations conducted throughout this study provide an enhanced comprehension of quay wall design, reliability, and the optimization of performance.","Quay walls; Reliability analysis; Probabilistic design; Partial safety factors; First order reliability method","en","master thesis","","","","","","","","","","","","Civil Engineering | Geo-Engineering","",""
"uuid:fa782171-a22c-4675-844a-72b6358f1f7f","http://resolver.tudelft.nl/uuid:fa782171-a22c-4675-844a-72b6358f1f7f","Experimental Design of a Solar-Heated Water Displacement Pump","Strecht Ribeiro Hipólito Reis, José (TU Delft Industrial Design Engineering)","Ghodrat, S. (mentor); Alferink, J.R. (graduation committee); Delft University of Technology (degree granting institution)","2024","The report at hand is a comprehensive summary of the conducted research and consequent developments in the experimental design of a solar-heated water displacement pump focused on its implementation in areas lacking access to safe drinking water, particularly in developing countries.
The research begins by examining the dire situation faced by the two billion people lacking safely managed drinking water (WHO/UNICEF JMP, 2023). This is done by looking closer at the factors influencing water supply, considering needs and the context in which these affected people live.
This contextual analysis motivated the need to develop a solar-powered water pump system designed to effectively, reliably and sustainably bring safe drinking water from improved water sources to households in the most affected regions of the world.
To address this need, existing pumping systems that are currently used or have the potential to mitigate this problem were studied and evaluated.
By carefully examining the most recent advancements in relevant water pumping technologies for this contextual application, an innovative opportunity for converting low-grade heat energy into mechanical energy was identified.
Having identified the problem and understood its context, analysed the existing solutions and identified a potentially disruptive hypothesis, an innovative system of an affordable, robust, and scalable solar-heated water displacement pump was designed.
In addition, the design was validated with a high-fidelity prototype with which experiments were done to successfully prove the concept’s working principle.
The successful proof of concept aligns with the established objectives of simplicity and accessibility. In fact, the water pump prototype was created on a minimal budget, making use of simple and accessible tools and materials, highlighting its potential for scalable cost-effective manufacturing.
This report provides a comprehensive analysis of the performance of the prototype, along with the theoretical design considerations that influenced its development. As a result, it serves as an experimental logbook that also offers insights into the existing water crisis and contributes to a better understanding of it. Thus, the present work lays the foundation for future research and developments on this innovative working principle, making it a valuable contribution to this life-changing field.","Solar Water Pump; Global Access to Safe Drinking Water; Sustainable Development Goal 6","en","master thesis","","","","","","","","2026-01-05","","","","Integrated Product Design","",""
"uuid:1851c698-5367-411e-8d30-703c8e1ebb1e","http://resolver.tudelft.nl/uuid:1851c698-5367-411e-8d30-703c8e1ebb1e","An Experimental investigation into the drag performance of chevron-shaped protrusions in a turbulent channel flow: Experimental investigation into the drag and one dimensional velocity statistics","Schilder, Jop (TU Delft Aerospace Engineering)","Schrijer, F.F.J. (mentor); Baars, W.J. (graduation committee); Delft University of Technology (degree granting institution)","2024","Contrary to conventional wisdom favouring smooth surfaces for drag reduction, the last decades have brought forth different textured surfaces showing drag reduction in turbulent boundary layers, of which riblets are the most studied.
Sirovich and Karlson (1997) introduced a different textured surface named chevron-shaped protrusions, indicating a drag reduction of 10% in turbulent channel flows.
Later studies questioned their efficacy as no credible reproduction of the results was obtained throughout the years.
The last credible reproduction study by Carrasco Grau et al. (2023) suggested that the reasons for this discrepancy could reside in the difference in model and test section size.
All reproduction studies were performed in facilities with external boundary layers instead of internal and with a covered area no longer than 0.8 meters, instead of the 8-meter-long channel flow which was fully covered in these chevrons from the original study.
This work will investigate just that, using a channel flow facility with dimensions more akin to that used by Sirovich and a model size of 2.4 meters.
The study assembles and characterises an improved channel flow facility with dimensions identical to those used by tay et al. (2011) at the National University of Singapore (NUS), measuring a total length of eight meters and a test section of 2.4 meters.
This facility used an array of 29 static pressure taps to determine the skin friction via the mean pressure gradient method, and utilised hot wire measurements in the midpoint of the test section for investigation of the flow mechanics of the chevrons.
The measurements performed on a flat plate were compared to the results obtained at the NUS to characterise the facility and validate its suitability for single-point drag measurements in the order of 5-10% increase or decrease.
Once this was confirmed the different configurations of chevron-shaped protrusions were investigated.
The key findings of this study are twofold.
Firstly, the developed channel flow facility at DUT proves proficient in generating canonical boundary layer profiles, enabling accurate skin friction measurements of textured surfaces.
The facility provides good hot-wire measurements without sensor vibrations capable of investigating the boundary layer characteristics in this facility.
Secondly, the study establishes that chevron-shaped protrusions are likely unsuited for reducing turbulent skin friction in turbulent channel flows.
Despite an inability to definitively disprove the working hypothesis, the observed increase in drag suggests that the technique's efficacy is not determined by the facility type and model size.
It is not considered worthwhile to conduct additional research into chevron-shaped protrusions as a potential technique for reducing drag in turbulent boundary layers.
However, the minimal drag penalty associated with this technique opens new possibilities for the application of this technique in the aviation sector, mainly in aiding with separation control and heat transfer.","Turbulenct boundary layers; Drag reduction; Chevron-shaped protrusions; Channel flow","en","master thesis","","","","","","","","2026-01-12","","","","Aerospace Engineering","",""
"uuid:d8d7f2a0-d1ba-46b6-affd-c2903a527fc1","http://resolver.tudelft.nl/uuid:d8d7f2a0-d1ba-46b6-affd-c2903a527fc1","Incorporating Bird Strike Crashworthiness Requirements within an MDO Framework","Ciobotia, Ioana (TU Delft Aerospace Engineering; TU Delft Aerospace Structures & Materials)","Castro, Saullo G.P. (mentor); Peeters, D.M.J. (mentor); van der Laan, A.H. (mentor); Zarouchas, D. (graduation committee); Chen, B. Y. (graduation committee); Delft University of Technology (degree granting institution)","2024","The present study, which was carried out in collaboration with GKN Fokker, focuses on incorporating bird strike crashworthiness requirements within a multidisciplinary optimization (MDO) framework. During the preceding three-month internship in the same company, a pivotal contribution to this project was the development of an Abaqus interface for the Multidisciplinary Modeller, MDM, created within the Center of Competence in Design department. MDM is a Python/ParaPy-based automated generator of wings, moveables and flaps, starting from a set of user-specified parameters. The generation of ready-to-run input files thus lays the foundation for the subsequent optimization process, as any changes in materials or geometry can be easily accommodated.
The core objective of the research is to minimize the weight of an aircraft wing while taking into account additional requirements related to the extent of damage caused by bird strikes. Unfortunately, such events occur more frequently than one would be comfortable with, and stringent requirements are set in place to guarantee the safety of the passengers. Among these requirements, the aircraft must be capable of landing safely after such an event, being subject to loads associated with get-home conditions.
As a consequence, two critical constraints are formulated within the optimization framework, addressing the residual strength of the damaged front spar following a bird strike, coupled with a requirement based on a maximum penetration depth. The last constraint has also been included due to the rising popularity of the electric vertical take-off and landing aircraft, which not only fly at low altitudes, thus increasing the risk of bird strike, but may also contain battery packs in the leading edge, for instance, which can pose a significant risk if damaged. To tackle the complexity of this highly-dimensional optimization challenge, a methodology based on Bayesian optimization is proposed, employing surrogate models coupled with a preliminary variable ranking procedure.
The Kriging metamodel is identified as a suitable candidate, thanks to its error prediction capabilities, which are paramount in Bayesian optimization. A variance-based dimensionality reduction method is proposed, which makes use of an initial surrogate to estimate the main and interaction effects of the variables. The quantification of the significance of a variable is expressed as its percentage contribution to the total variance, thus allowing for an intuitive selection of the most important parameters. After the screening procedure is complete, the optimization procedure is carried out in the reduced design space, which uses the constrained expected improvement as an acquisition function. The proposed methodology is then applied on a case study problem, involving a five-bay metallic wing segment subject to the constraints aforementioned, involving 19 design variables representing the thicknesses of various components.
Remarkable weight savings have been achieved, the final result being 40\% lighter than the lightest feasible design among the initial data points. A significant dimensional reduction has also been attained for the maximum depth constraint, which is expected due to the local nature of the impact. Not only did the number of variables greatly decrease from 19 to just 3, but a considerable increase in the accuracy of the corresponding metamodel has also been registered, thanks to an increase in sampling density in the reduced space. However, the variable screening procedure revealed intricate interaction effects with respect to the residual strength of the front spar, emphasizing the nuanced complexity inherent in crashworthiness considerations. Nevertheless, a moderate dimensional reduction has been achieved for this constraint as well, reducing the number of variables to 8, thus proving the efficacy of the proposed variable screening procedure.
In conclusion, the utilization of Kriging models, variable ranking procedures, and Bayesian optimization collectively contributed to the success of achieving remarkable weight savings, proving the efficiency of the proposed methodology. Moreover, it has been shown that the integration of a residual strength requirement is necessary, as many cases were uncovered where no significant penetration occurred, although the application of the considered load case, which is not from critical to an undamaged wing, resulted in high stresses to the front spar of the damaged structure.","Bird strike; Crashworthiness; MDO; Bayesian optimization; Kriging; Variable screening","en","master thesis","","","","","","","","2026-01-12","","","","Aerospace Engineering | Structures and Materials","",""
"uuid:08ec22da-7f2c-4b9e-b384-87389086b8ba","http://resolver.tudelft.nl/uuid:08ec22da-7f2c-4b9e-b384-87389086b8ba","Strategic Development for the Port of St. Eustatius: A Study on the Potential Role of Privatisation","van Muiden, Thomas (TU Delft Civil Engineering & Geosciences)","van Wee, G.P. (mentor); Annema, J.A. (graduation committee); Vleugel, J (graduation committee); Delft University of Technology (degree granting institution)","2024","This paper examines the potential for privatising the port of St. Eustatius to achieve self-sustainability through the strategic port planning process. Design criteria were established based on a PESTEL, SWOT, and trend analysis, resulting in the development of four strategies. These strategies include enhancing port resilience, creating a transshipment hub, accommodating cruise ships, and enabling the docking of recreational vessels. Based on the strategies, opportunities for privatisation were identified according to the different rationales. Within the different strategies, opportunities for privatisation and a corresponding adaptation of the administrative model are possible. However, the need for large infrastructure investments could hinder the willingness of private parties to invest. Therefore, a public-private partnership is seen as a possible alternative to share the financial risks and also enable the government to retain control.","Strategic Port Planning Process; Privatisation; St. Eustatius; port administrative models; SWOT; PESTEL","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:821f6252-03fb-4a0f-9978-8ac4f8b59bc1","http://resolver.tudelft.nl/uuid:821f6252-03fb-4a0f-9978-8ac4f8b59bc1","An Experimental Assessment of the Stability of Graph Contrastive Learning","Sebus, Siert (TU Delft Electrical Engineering, Mathematics and Computer Science)","Jamali-Rad, H. (mentor); Isufi, E. (mentor); Delft University of Technology (degree granting institution)","2024","The Deep Neural Network (DNN) has become a widely popular machine learning architecture thanks to its ability to learn complex behaviors from data. Standard learning strategies for DNNs however rely on the availability of large, labeled datasets. Self-Supervised Learning (SSL) is a style of learning that allows models to also use unlabeled data for training, which is typically much more abundant.
SSL is being applied many different data domains such as images and natural language. One such a domain is the domain of graph data. A graph is a data structure describing a network of nodes connected by edges. Graphs are a natural way of presenting many forms of data such as molecules, social networks, and 3D meshes.
The style of SSL that has found the most success on graphs is Contrastive Learning (CL). In CL, an encoder is trained to produce semantically rich representations from unlabeled input data by smartly separating task-relevant information in the input from task-irrelevant information. The encoder backbone most commonly used for Graph Contrastive Learning (GCL) is the Graph Convolutional Neural Network (GCNN).
While GCNNs are the state of the art on many graph data tasks, they suffer from underfitting when made too deep. This is especially a problem for GCL as it prevents encoder complexity to scale with the large availability of unlabeled data.
In this thesis, we investigate this underfitting behavior through the lens of GCNN stability. Stability refers to a model's ability to continue producing consistent outputs, even when its inputs are perturbed slightly. Theoretical work has shown that stability guarantees for GCNNs weaken when their complexity is increased. We confirm experimentally that, in many cases, GCNNs indeed grow less stable when made more complex. This a relevant finding given that learning stable representations is a prerequisite to CL. Additionally, we show in our experiments that, even when trained using CL, stability discrepancies between different GCNN architectures do not disappear. This, in turn, suggests that GCNN architectures with poorer stability may also produce poorer representations. We confirm experimentally that, on at least one dataset, poor stability as a result of architectural complexity can indeed be correlated to a degradation in representation quality.
With this result we provide an additional explanation as to why deeper GCNNs are often found to perform worse in GCL settings. These insights can, in turn, motivate the design of model architectures for GCL that do not suffer from this trade-off between complexity and representation quality.","Deep Learning; Contrastive Learning; Graphs; Stability; Self-supervised Learning; Graph Neural Networks; Graph Convolutional Neural Networks","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:8b483b8b-d1cd-4983-8198-fde756114a8a","http://resolver.tudelft.nl/uuid:8b483b8b-d1cd-4983-8198-fde756114a8a","Analysis of engineering wake model validation and calibration with historical data from OWEZ wind farm","Keim, Julienne (TU Delft Mechanical, Maritime and Materials Engineering)","Delft University of Technology (degree granting institution)","2024","Wind turbines are often placed together in wind farms for economic considerations. This causes wake interactions between turbines, resulting in significant power losses. Models that predict these wake losses are critical for estimating wind farm power output and developing strategies to mitigate the wake effect, such as wind farm control. For these applications, engineering wake models are favoured for their computational efficiency. Hence, the validation and improvement of these models is an ongoing area of research. Currently, consensus on the accuracy of engineering wake models is absent in the literature. Existing studies employ varying validation strategies that impact the perceived model accuracy. Furthermore, proposed model improvements often lack quantitative evaluation, limiting the generalisability of the results. Additionally, the potential benefits of calibrating wake model parameters are recognised, yet research on calibration methods and the impact thereof is limited.
This thesis addresses this scientific gap by proposing a holistic framework for the validation and calibration of engineering wake models. The framework combines best practices from literature. First, it accounts for wind direction uncertainty in historical wind farm data. Additionally, it corrects model inputs by including heterogeneous inflow wind speeds. Finally, it offers a methodology for parameter calibration to improve the model's accuracy using historical wind farm data. The overarching framework employs both quantitative and qualitative validation methods to mitigate the impact of experiment design and enable a thorough evaluation of model improvements. The effectiveness of this framework is demonstrated through a case study with SCADA data from OWEZ wind farm and four engineering wake models from the popular control-oriented wake modelling tool FLORIS.
Results show that wind direction uncertainty in SCADA d|ata must be included when validating wake models for specific wind directions or sectors. Additionally, incorporating heterogeneous inflow wind speeds reduced the absolute turbine error by up to 20%. Furthermore, it is demonstrated that calibrating model parameters significantly improves model accuracy. The resulting error reductions reach up to 92% for individual turbines and 65% at farm-level, i.e., for all turbines collectively. Furthermore, results revealed that while the performance of the different models converges post-calibration, differences persist in various scenarios with numerous wake interactions. In these cases, the CC and TurbOPark models outperform the Jensen and GCH models.
Through this holistic framework and the demonstrated potential of model parameter calibration, a path forward is paved for further model improvement in a systematic and quantitative manner.
for minimizing rolling losses on different road surfaces at varying speeds.","rolling resistance; rolling Impedance; total rolling losses; road conditions; bicycle tire; contact patch","en","master thesis","","","","","","","","2026-01-11","","","","Biomedical Engineering | Neuromusculoskeletal Biomechanics","",""
"uuid:b8088705-62f6-450c-b23e-99e41870a763","http://resolver.tudelft.nl/uuid:b8088705-62f6-450c-b23e-99e41870a763","Tuning dynamics and dissipation dilution in 2D material resonators by MEMS-induced tension","Wopereis, Michiel (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Precision and Microsystems Engineering; TU Delft Dynamics of Micro and Nano Systems)","Steeneken, P.G. (mentor); Verbiest, G.J. (mentor); Alijani, F. (mentor); Staufer, U. (graduation committee); Vollebregt, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","Nanomechanical resonators made of two-dimensional (2D) materials are the subject of intensive research due to their remarkable properties, allowing them to operate at high frequencies with high sensitivity. However, dissipation losses and manufacturing issues have prevented them from reaching their full potential. This thesis aims to overcome these challenges by dry-transferring 2D materials onto a MEMS and clamping them using electron beam-induced deposition. By in-plane straining the membranes using MEMS, the tensile energy is increased, thereby diluting intrinsic losses. This approach increased the Q-factor of 2D material resonators by 91% and allowed measuring forces down to sub-piconewtons, outperforming commercially available silicon-based force sensors.","Dissipation dilution; Quality factor; Tunable resonators; 2D materials; MEMS; NEMS; force sensors","en","master thesis","","","","","","","","2025-01-31","","","","Mechanical Engineering","",""
"uuid:6258968b-3acb-4061-8e75-f1389e5292fd","http://resolver.tudelft.nl/uuid:6258968b-3acb-4061-8e75-f1389e5292fd","Crossing Borders Sustainably: Using Metagovernance to Activate Stakeholders to Facilitate Sustainable Mobility Transitions in a Cross-Border Context","van Daalhuizen, Thomas (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Chan, P.W.C. (mentor); Van den Berghe, K.B.J. (mentor); Delft University of Technology (degree granting institution)","2024","There is a need to broaden discussions on sustainable mobility beyond the prevalent focus on electric cars, particularly considering the challenges faced by border regions and marginalized groups that rely on public and soft transport. This study aims to fill this gap by examining the intricate dynamics of cross-border mobility transitions in Belgium and the Netherlands, with a specific focus on activating stakeholders and facilitating sustainable mobility transitions through the application of meta-governance.
Utilising a multifaceted research methodology, including an extensive literature review, in-depth case studies of HSL-Zuid and IJzeren Rijn, stakeholder interviews, this research navigates the complex landscape of meta-governance in cross-border regions.
The study reveals three pivotal tensions that disrupt current decision-making processes for cross-border connections: (1) National and Regional Imbalance in Decision-Making, (2) Formal and Informal Routes to Decision-Making and Flexibility, (3) Conflicting Interests. These tensions intricately impact governance styles, creating challenges and inefficiencies.
To address the identified issues, the research proposes actionable recommendations. Emphasising the need to harmonise national-regional governance frameworks, work on nuanced approaches to formal and informal routes, considerate divergent national interests, and heightened awareness of governance style interplay. Moreover, the research also highlights that metagovernance is already ingrained in current practices. The key lies in raising awareness of its presence among stakeholders, enabling a more informed and seamless navigation of the cross-border governance landscape. Metagovernance’s true value lies in its ability to articulate and navigate through different governance styles, adapting to various challenges and opportunities. While it may not always represent a novel approach, it serves as a critical analytical tool for understanding the dynamics of governance in complex infrastructure projects.","Metagovernance; Governance; Stakeholders; Cross-Border Context; Sustainable mobility; Transitions","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","51.247275, 5.555281"
"uuid:2a7fbfe3-6cd7-4634-92e0-160ae3901a70","http://resolver.tudelft.nl/uuid:2a7fbfe3-6cd7-4634-92e0-160ae3901a70","Complete Monopile Removal: FEM study of a monopile clamping method","Timmer, Hidde (TU Delft Civil Engineering & Geosciences)","Sluys, Lambertus J. (mentor); Alkisaei, H. (graduation committee); Kavoura, Dr. Florentia (graduation committee); Cristutiu, Teodora (mentor); Delft University of Technology (degree granting institution)","2024","A large number of offshore wind turbines have been installed in recent years, and even
more are being erected in current and future projects. The majority of these turbines
have been or are being built of monopile foundations. Eventually, both the turbine and
the foundation have to be decommissioned. However, decommissioning options for
monopile foundations are limited, especially for complete removal of the foundation.
This thesis aims to study a new removal method patented by the company Iv-Consult,
which could potentially make entire monopile removal possible. The method uses
deformation of the monopile wall by a clamping tool to generate a vertical force. Since
the thesis served as a preliminary study into the removal method, it was chosen to limit
the scope of this study to the monopiles that are expected to be decommissioned in the
near future. With this information, a single case of monopile with dimensions that are
representative for these monopiles was presented. To analyse the removal method on the
case monopile the finite element method was used. In order to ensure results obtained
using the finite element method are accurate and representative, a convergence study was
carried out. To determine the element type and the mesh size that was the most suitable,
two different models were used: a ring model and a small cylinder model. Each was
studied using different combinations of inputs. After the results from the convergence
were obtained, these results were used on the models with which the removal method
was studied. Different configurations of the removal method were analysed with the
aim of maximizing the force that can be generated by the method to overcome resistance
forces. As a final step, the resistance forces that need to be overcome were calculated
for different soil types and compared to the results obtained from the removal method
models to verify the feasibility of the method.
From the results of the convergence study, it was shown that it is possible to model
the removal method using the finite element method and obtain representative results.
The final results of this thesis showed that clamping the monopile multiple times
does not have a positive influence on the vertical force that can be generated. This is
because clamping the monopile only once caused the highest vertical force. However, the
forces generated using the different setups of the clamping method were not sufficient
to overcome the resistance forces. This shows that the removal method in the current
configurations is not feasible, without applying any soil resistance reducing methods.
In this retrospective study, Random Forest Regression was used to predict cross-sectional and longitudinal Functional Ambulation Category (FAC) and Six-item Cognitive Impairment Test (6CIT) after hip fracture using ambulatory accelerometry data. Accelerometry data and BPS functional assessments were available of 49 participants of the HIPCARE study, assessing prognostic determinants of outcome after hip fracture in the elderly.
Overall, cross-sectional FAC scores three months after hip fracture could be predicted with moderately low error, and categorized regression predictions showed high precision and recall. Cross-sectional 6CIT and both longitudinal regression models underperformed, but categorized regression predictions revealed mixed but more promising precision and recall.
It is expected that the predictive performance of models can be improved by increasing participant sample size with balanced samples over population-specific, prevalent ranges of BPS outcome scales and exploring additional machine learning models. In the future, accurate accelerometry-based predictions for individual patients needing rehabilitation could support personalized treatment and improve long-term biopsychosocial functioning.","","en","master thesis","","","","","","","","","","","","Technical Medicine","TM30004; 35 ECTS",""
"uuid:da110b6b-b9e3-4b0b-8252-7856893a1cff","http://resolver.tudelft.nl/uuid:da110b6b-b9e3-4b0b-8252-7856893a1cff","Instrument for remote sensing of methane based on photonic crystals","Algera, Johannes (TU Delft Aerospace Engineering; NWO-I/SRON Netherlands Institute for Space Research)","Loicq, J.J.D. (mentor); Siemons, Marijn (graduation committee); Delft University of Technology (degree granting institution)","2024","The miniaturization of space systems push for cheaper and more compact instruments. The use of photonic crystals as optical filters are a promising option for the miniaturization of spectrometers. This thesis is part of a project in SRON where a novel concept using photonic crystals is being investigated for Earth observation. Ways of using the transmission of photonic crystals for remote sensing of methane were explored. Later, the effects of the concentrations of other gases and the reflectance of the surface in the performance of the instrument were investigated. A method of selecting which photonic crystals to use in the instrument was developed and used to improve its performance. Finally, an optical design was made to estimate the volume of such an instrument.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","CompressSpec",""
"uuid:6100375d-e4f4-4746-9b3f-a3641b27d976","http://resolver.tudelft.nl/uuid:6100375d-e4f4-4746-9b3f-a3641b27d976","Designing, manufacturing and testing bimorph piezoelectric twisting actuators","Baaij, Thomas (TU Delft Mechanical, Maritime and Materials Engineering)","Hunt, A. (graduation committee); Noel, L.F.P. (mentor); Delft University of Technology (degree granting institution)","2024","This thesis report contains two papers. The first paper is a literature study on mechanisms, smart actuated materials and controllable joints. In this paper, several smart materials are identified and it is discussed how they can be embedded in different mechanisms. From this paper, it is concluded that fast-response smart material twisting actuators do currently not exist. The second paper studies the design and development of such an actuator. The study presents a design and manufacturing methodology of a planer actuator that generates out-of-plane rotation. It first investigates different out-of-plane deformation modes and how they can be used to achieve the desired motion. Based on an analytical model on the shape morphing of piezoelectric macro fibre composites, a methodology is developed to generate out-of-plane twisting deformation. This concept is used to design a carbon black electrode pattern, which can be spray-deposited on a kapton substrate. This electrode is subsequently used to selectively actuate parts of a P(VDF-TrFE- CTFE) polymer layer. The selective stimulation of the layer results in the desired twisting deformation. This study demonstrates how flat designs can be laminated in a planar additive manufacturing process to induce complex 3D motion. The spray-deposition process was capable of manufacturing bimorph actuators with a 1.6mm resolution. The resulting actuators have a length of 41mm and a width of 10 to 20mm and a thickness of 120 to 139micron. The experiments are used to characterize the effect of the design parameters such as actuator width and thickness on the magnitude of the deformation. As theorized in the analytical model, the thinner more slender samples show the largest rotation which is measured to rotate up to 3.38 degrees. From the experiments it is also found that thinner samples show dielectric breakdown at much lower voltages, around 100V, compared to thicker samples from the same design that performed up to 480V. The study also investigates the quality of the deformation of the actuators, i.e. pure twisting or a combination of twisting and bending deformation. It is verified that uneven layers or asymmetric actuators show significant unimorph bending behaviour, with displacements up to 1.5mm while rotating 3.38 degrees. To the author's best knowledge, this study presents the first working prototypes of fast-response smart material twisting actuators.","Piezoelectric; Bimorph; P(VDF- TrFE- CTFE); Spray-deposition; Additive Manufacturing; twisting actuator; Rotation actuator","en","master thesis","","","","","","","","2026-01-10","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:f720ab40-0a1c-4c3b-b689-4491ef35a1b7","http://resolver.tudelft.nl/uuid:f720ab40-0a1c-4c3b-b689-4491ef35a1b7","Preference-Based Reinforcement Learninig in Demand Response Programs","Piccini, Pietro (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cavalcante Siebert, L. (mentor); Delft University of Technology (degree granting institution)","2024","ncentive-based demand response (iDR) programs serve as important tools for distributed system operators (DSOs) to achieve a reduction in electricity demand during periods of grid overload. During these programs, participants can decide to curtail their consumption in exchange for financial incentives. Deciding the amount of curtailment for a participant is often the result of individual preferences. Reinforcement Learning (RL) methods have been employed to automate participants’ decision-making in these programs, often relying on predefined reward designs based on observed behavioral patterns. This thesis introduced PbRL-iDR: a reinforcement learning approach that can learn a reward function unique to individual participants by querying them for preference labels on a set of trajectories. PbRL-iDR trains the reward model and the policy on an alternating cycle. First, queries are sent to the simulated participant to update the current reward model. Later, the updated reward model is used to improve the policy. Variations of the PbRL-iDR algorithm are proposed to optimize query efficiency: active query selection (AQS) and parameter transfer from model ensemble (PTME). Through experimentation, PbRL-iDR demonstrated comparable performance to a DQN-based method, albeit with a slower convergence. An ablation study was performed to test the efficacy of AQS and PTME in reducing the number of queries necessary to learn a reward function. Results suggest that AQS can help the policy converge sooner and after fewer queries when compared to PbRL-iDR without AQS. The same experiment showed that using PTME failed to yield similar improvements.","preference-based reinforcement learning; learninig from human preferences; demand response; incentive-based demand response","en","master thesis","","","","","","","","","","","","Computer Science","","51.9988273,4.3739604"
"uuid:0901d86d-f1f4-45dd-b74e-7fc7a04625d5","http://resolver.tudelft.nl/uuid:0901d86d-f1f4-45dd-b74e-7fc7a04625d5","Realisation of green freight: a comparative analysis of alternative fuels in road freight transport: Evaluating Electric Battery Trucks, Hydrogen, and Bio-LNG trucks","Hamming, Paula (TU Delft Technology, Policy and Management)","Heijnen, P.W. (mentor); Annema, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2024","Freight transport is a crucial component of our society and economy. However, achieving sustainability in this sector is complex, due to a lack of incentives among stakeholders. The heavy-duty road transport sector is struggling to meet European emission standards. To address this issue, a research study examined the impact of combining Battery Electric Trucks (BETs), Hydrogen trucks, and Bio-Liquefied Natural Gas (LNG) trucks on a network level. The study used freight simulation and refuelling location optimization through K-means to determine the cost and emissions of the system under different conditions. The results showed that Bio-LNG trucks and BETs are viable fuel options for sustainable freight transport, with Bio-LNG being immediately usable and BETs showing promise for future technological development. The study further revealed that BETs are a cost-effective and low-emission choice for short- and long-haul freight trips, particularly when using Mega Watt chargers and larger battery capacities. The research contributes to understanding the complexities of transitioning to greener freight transport and provides insights into policy implications. Future research could explore the broader social and environmental impacts of these alternative fuel options.","Sustainable road freight transport; Battery Electric Truck; Bio-LNG Truck; Hydrogen Truck; K-means","en","master thesis","","","","","","","","","","","","Systems Engineering, Policy Analysis and Management (SEPAM)","",""
"uuid:d4c7dc15-dfda-4790-a627-5a701ff0b5f1","http://resolver.tudelft.nl/uuid:d4c7dc15-dfda-4790-a627-5a701ff0b5f1","Develop an interface for model-informed prototyping of HAI interactions","Zhou, Jiayi (TU Delft Industrial Design Engineering)","Murray-Rust, D.S. (mentor); Mehrvarz, M.M. (mentor); Tsiakas, K. (graduation committee); Delft University of Technology (degree granting institution)","2024","As AI technology continues to advance, there's a growing need to integrate it into UX design. However, AI's unique characteristics do not seamlessly align with current design tools and mastering the technical aspects for designers is a significant challenge. The project goal is to develop a tool based on a developed semi-formal representation for Human-AI (HAI) interactions, which uses a set of communicative acts1 to specify the communicated information between users and AI models as exchanges of messages.
The project followed an iterative prototyping method across 4 phases. The Pre-Phase aimed at testing communicative acts with design students using a use case (""CV-Screening"") and paper materials. At the same time, it also expected to get insights on the data structure and develop the specific design considerations based on those for the Model-Informed Prototyping (MIP),
Phase 1 explored effective workflows of the digital prototype to present communicative acts by following the design considerations from the Pre-Phase and using the low-fidelity digital prototype in Figma. The use case in this phase was the same as that in the Pre-Phase.
In Phase 2, based on insights from the last two phases, there was a high-fidelity prototype in Figma which was inspired by the user journey map. It was used to assess if the design output achieved the design goals and considerations, and it helped the final test materials work better.
The Final Phase utilizes the refined digital prototype for the final test which had the same goals as that in Phase 2, providing important insights for future development.
The final output of the project is a partial prototype of a digital tool designed to facilitate the early stages of human-AI interaction design. Grounded in the principles of communicative acts and human-centered design, this tool assists designers during the Ideation stage of Design process. It achieves this by visualizing the roles, data, and information involved in the process of information exchange during Human-AI Interactions. The goal is to enhance efficiency and ease in designing these interactions.","Human-AI interaction Design; Model-Informed Prototyping; Iterative prototyping method; Communicative acts; UX Design Tool","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:5ba30cd3-6fe0-47c9-8776-3f2090d20d40","http://resolver.tudelft.nl/uuid:5ba30cd3-6fe0-47c9-8776-3f2090d20d40","Review of H∞ Static Output Feedback Controller Synthesis Methods: Application to Fighter Aircraft Control","Schoon, Daam (TU Delft Aerospace Engineering)","Theodoulis, S.T. (mentor); de Visser, C.C. (graduation committee); Delft University of Technology (degree granting institution)","2024","To gain more insight into the performance of state-of-the-art Static Output Feedback (SOF) controller synthesis methods for H∞-control, quantitative comparisons are made between Lyapunov methods and well-known established non-smooth optimization methods, i.e. Hinfstruct and HIFOO. Three methods were deemed to be the most promising to compete and were bundled into one toolbox named SOFHi. The algorithms were extended to incorporate structured SOF and a variant of SOFHi was proposed to significantly improve upon the computational efficiency of the original implementation. Extensive comparisons show that SOFHi was able to compete with the established non-smooth methods and even able to significantly outperform one of them. Lastly, an elaborate flight control benchmark example is given to showcase the effectiveness of the algorithms, which involves the design of a gain-scheduled normal acceleration Control Augmentation System (CAS) for a highly maneuverable fighter aircraft.","SOF; Static Output Feedback; Static; Output; Feedback; H∞; H-infinity; infinity; H-infinity control; H_infinity; Mixed sensitivity; sensitivity function","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:fc37f3d2-2cd6-4068-ad79-893ffd9cdc1d","http://resolver.tudelft.nl/uuid:fc37f3d2-2cd6-4068-ad79-893ffd9cdc1d","Using our tools backwards, AF detection by confusing time and frequency","Kraaijeveld, Michael (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Signal Processing Systems)","Hendriks, R.C. (mentor); Varon, Carolina (mentor); Martinez, Jorge (graduation committee); Delft University of Technology (degree granting institution)","2024","Atrial Fibrillation or AF is the most common heart rhythm anomaly affecting millions of people. This work explores the possibilities of reinterpreting speech processing techniques for use in atrial fibrillation detection. An existing method of modelling single heartbeat, single lead ECG signals by means of an ARMA model's amplitude response as a time domain signal is implemented. The parameters of the models are then used for AF detection by means of detecting P wave absence. For this detection, the distribution of the P wave associated parameters is compared to a GMM model of normal sinus rhythm beats obtained from a large number of recordings from different sources.","heart; Atrial Fibrillation; Electrocardiogram (ECG); Modelling; Detection; ARMA models; Gaussian Mixture Modeling (GMM)","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:27b162ea-ca7a-4134-bdda-0f16857d45d2","http://resolver.tudelft.nl/uuid:27b162ea-ca7a-4134-bdda-0f16857d45d2","Fusing Bird’s Eye View Map Encoding With Simulated Sounds for Generalizable Non-Line-Of-Sight Vehicle Detection","Bērmans, Boriss (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hung, H.S. (mentor); Kooij, J.F.P. (graduation committee); Wang, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","Detecting nearby vehicles involves utilizing data from various sensors installed on a car as it moves. Common sensors for identifying nearby vehicles include LiDAR, cameras, and RADAR. However, all of these sensors suffer from the same issue -- they cannot detect an approaching vehicle that is not yet visible. Hence, this thesis explores the potential of using a microphone array -- an array of sensors capable of detecting vehicles that are out of sight. Exploring prior research on detecting obstructed vehicles using sound reveals an existing model capable of detecting nearby vehicles approaching from behind blind corners. However, as the local geometry around the ego vehicle affects the perceived sound patterns, this model was only designed to work within a specific set of T-junctions. Therefore, the thesis aims to take a step further and develop a detection model capable of detecting vehicles behind blind corners in environments not included in the training set of the deployed model. This is challenging for multiple reasons. First, literature review revealed a lack of suitable datasets comprising sounds from approaching vehicles behind blind corners within various road junctions. In addition, microphones, like other sensors, come with limitations. Sound inherently provides less spatial information compared to commonly used sensors in autonomous driving, such as LiDAR or cameras. Considering sound propagation variations in different road junction geometries, building a model adaptable across diverse junction types presents a challenge. To overcome the data scarcity and sound's inherent spatial limitations, the study investigates the potential of employing simulated acoustic responses within artificial road environments as training data for real-world vehicle detection. Simultaneously, to complement the sounds inherent advantage of detecting objects that are out of sight, the thesis proposes to use a Bird's Eye View (BEV) encoding of the top-down map from the driving vehicle's perspective. Having an encoding of the top-down map of the current driving environment would allow a detection model to expect sound signatures commonly observed within a given setting. Overall, the assessment of acoustic simulations could not outline a singular configuration of simulation properties allowing realistic sound propagation for any kind of considered junctions when hearing an approaching vehicle. However, it was observed that the utilization of specific simulation parameters can result in realistic sound propagation within the given junction. Subsequently, evaluating a novel BEV encoding within the newly proposed acoustic detection pipeline demonstrated either equivalent or superior performance compared to a model relying solely on sound. Overall, this research underscores the potential of incorporating BEV encoding in non-line-of-sight acoustic detection and suggests the promise of acoustic simulations within the field. This study contributes to advancing the integration of sound as an additional data modality in vehicle detection.","Signal Processing; Autonomous driving; Acoustic Localization; Non-Line-of-Sight; Microphone array; Simulations; Bird's Eye View","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:99ae7b9f-dbc6-49c9-85a7-070c4e1b6938","http://resolver.tudelft.nl/uuid:99ae7b9f-dbc6-49c9-85a7-070c4e1b6938","Non-linear wave loads on next-generation offshore wind turbines","Holsappel, Tim (TU Delft Mechanical, Maritime and Materials Engineering)","Lavidas, G. (mentor); Delft University of Technology (degree granting institution)","2024","br/>In response to the escalating demand for renewable energy, wind turbines and their support structures have witnessed exponential growth in size in recent decades. However, this expansion presents substantial challenges, particularly in the face of severe storms and large waves, raising concerns about resonance-related issues. Accurate modeling of asymmetrical, non-linear waves generated during storms becomes crucial for safe and cost-effective wind turbine design. The prevalent approach embeds higher-order non-linear waves into linear theory, but recent studies have unveiled uncertainties in this method, necessitating innovative techniques for simulating non-linear waves. Some studies propose numerical wave models (NWMs) like SWASH and OceanWave3D, treated as numerical wave tanks (NWTs), as alternatives for predicting wave loads on offshore wind turbines. A comparative analysis between SWASH and OW3D reveals significant discrepancies, particularly in OW3D results, prompting further investigation into potential setup issues. Both non-linear models consistently report higher loads than the stream function method due to increased local accelerations, and differences in wave spectra underscore their ability to account for non-linear wave interactions. The study underscores the stream function's underestimation of loads, emphasizing the necessity of accurate non-linear wave modeling for predicting extreme loads. Recommendations for future research include extended convergence studies, user experience considerations, and a closer examination of non-linear effects on fatigue load predictions.","","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:4be34fc5-6b03-4e1f-afaa-83ee3c584809","http://resolver.tudelft.nl/uuid:4be34fc5-6b03-4e1f-afaa-83ee3c584809","Sparse Temporal Convolutional Neural Networks for Keyword Spotting","Fu, Peng (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Electronics)","Gao, C. (mentor); Du, S. (graduation committee); Delft University of Technology (degree granting institution)","2024","Keyword spotting (KWS) is an essential component of voice recognition services on smart devices. Its always-on characteristic requires high accuracy and real-time response. Also, low power consumption is another key demand for KWS devices. In previous research, neural networks have become popular for KWS tasks for their accuracy compared to traditional machine learning technologies. Among classical neural networks like recurrent neural networks (RNNs) and convolutional neural networks (CNNs), temporal convolutional networks (TCNs) have begun to catch attention recently. Moreover, studies related to sparsity are always an efficient method to deal with the growing model size issue for modern neural network designs. As a potential solution, in this work, a TCN model is trained for KWS on the Google Speech Command V2 dataset and achieves an accuracy of 94.1\%. Based on that, two different sparsity are applied to the TCN model. One is temporal sparsity. By creating a Delta convolution layer, the Delta temporal convolutional network (DeltaTCN) achieves an accuracy of 93.6\% with a 72\% reduction in floating-point operations (FLOPS) compared to the original TCN model. Another is structural weight sparsity. By creating sparsity on the weight matrix of each convolution layer, the structural sparse temporal convolutional network (SSPTCN) achieves 93.6\% accuracy with a 70\% reduction in FLOPs and a 39\% reduction in parameters.","Temporal convolutional network (TCN); Keyword spotting (KWS); Temporal sparsity; Structural sparsity","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:ef76c17e-de7f-42ef-b94a-ba9ccd76640a","http://resolver.tudelft.nl/uuid:ef76c17e-de7f-42ef-b94a-ba9ccd76640a","Exploring the Multi-Objective Dial-A-Ride Problem: An Analysis of Genetic Algorithms and MIP","Elhorst, Wytze (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yorke-Smith, N. (mentor); Delft University of Technology (degree granting institution)","2024","The Multi-Objective Dial-a-Ride Problem (DARP) poses significant challenges in the field of transportation optimization, requiring the simultaneous optimization of conflicting objectives such as travel costs, emission, and customer ride times. In this research, we analyse two distinct approaches for tackling the Multi-Objective DARP: Mixed-Integer Linear Programming (MIP) solvers and Genetic Algorithms (GAs). Through a series of experiments and performance evaluations on diverse problem instances, we assess the strengths and weaknesses of each method. We compare their efficiency, scalability, and ability to generate Pareto-optimal solutions. Additionally, the study explores the impact of algorithmic variations on the convergence and solution quality of the Genetic Algorithm. The results demonstrate that MIP solvers seem entirely unsuited for the generation of quality Multi-Objective Pareto fronts. Of the Genetic Algorithms, the algorithm extended with our proposed guiding heuristics in its genetic operators, manages to construct the best quality Pareto front, outperforming the other algorithms in both finding the best objective solution values, as well as pareto diversity and convergence. We discuss the practical implications of our findings, offering recommendations for researchers and practitioners in the realm of transportation optimization and emission reduction.","MIP; Pareto front; Genetic Algorithm - GA; DARP; Heuristic","en","master thesis","","","","","","","","","","","","Computer Science | Algorithmics","",""
"uuid:eb3873be-47b8-45c9-b818-3121e9a73767","http://resolver.tudelft.nl/uuid:eb3873be-47b8-45c9-b818-3121e9a73767","Predicting Detection of Changes in Controlled Element Dynamics by Human Controllers Internal Model","Eppenga, Thomas (TU Delft Aerospace Engineering)","Mulder, Max (mentor); van Paassen, M.M. (mentor); Pool, D.M. (mentor); Delft University of Technology (degree granting institution)","2024","","","en","master thesis","","","","","","","","2026-03-13","","","","Aerospace Engineering","",""
"uuid:f09b9573-d963-4e11-a1d8-111eaebf3816","http://resolver.tudelft.nl/uuid:f09b9573-d963-4e11-a1d8-111eaebf3816","The Joint Optimization of a Wind Farm Layout and Wake Steering: A case study of IJmuiden Ver on the co-design of the wind farm layout and yaw control","de Jong, Robin (TU Delft Mechanical, Maritime and Materials Engineering)","van Wingerden, J.W. (mentor); Bos, René (mentor); Delft University of Technology (degree granting institution)","2023","Maximizing the extraction of energy from wind farms with ever higher densities is becoming increasingly more important in order to achieve climate targets and simultaneously preserve nature. Improving the yield of a wind farm can be achieved by optimizing the layout, applying control, especially wake steering through yaw control has shown great results, or even combining the optimization of the layout and control into one joint optimization. In this thesis, a case study is performed on the Dutch wind farm ’IJmuiden Ver’ to investigate the real-world applicability of joint optimization. The employed method uses the genetic algorithm, capable of handling the discontinuous domain, and an improved version of the geometric yaw relationship, making coupled or nested optimization redundant. In the IJmuiden Ver case, the levelized cost of electricity (LCOE) of a joint optimized layout compared to a sequential optimized layout is around 0.3% better, even remaining around 0.2% to 0.3% better when shrinking the domain to give nature more space. This shows that joint optimization is applicable in practice and has the potential to increase the yield of a wind farm substantially without significantly increasing the computational intensity of the wind farm layout optimization problem (WFLOP).","joint optimization; co-design; WFLOP; yaw control; geometric yaw; wind farm layout optimization; wake steering","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:8774897d-8f20-4e32-8fd2-a881bb626aaa","http://resolver.tudelft.nl/uuid:8774897d-8f20-4e32-8fd2-a881bb626aaa","The Impact of Technology Targets on the Design of a Climate Neutral European Energy System","van der Weerd, Giel (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pfenninger, Stefan (mentor); Sanvito, F.D. (graduation committee); Delft University of Technology (degree granting institution)","2023","The European energy system faces one of its greatest challenges: transitioning from a system dominated by fossil-based energy sources to a completely climate neutral system in 2050. Energy system models provide useful tools that can help to navigate this complex task of designing a future energy system by modelling future systems and assessing the impact of future design choices.
Current literature adopts either a step-wise optimisation towards a final configuration of an energy system or deploys a modelling to generate alternatives (MGA) approach to generate a diverse set of system configurations. While the first approach provides temporal insights, it may biased and miss less trivial solutions, the second approach offers robustness but lacks insights into timing of technol- ogy deployments and neglects existing infrastructure. This highlights a gap in combining the strengths of both approaches to understand the dynamic between short-term decisions and long-term flexibility, while being robust under changing conditions.
The purpose of this study is to develop a method that combines the strengths of both modelling approaches. A method is developed and applied to two case studies to uncover how policy targets influence the maneuvering space towards a climate neutral European energy system. Many European nation states have set ambitious targets to increase renewable generation capacity while also phasing out fossil-based power generation. It is important to analyse impact of such targets on the rest of the energy system in the short- and the long-term. Aggressive phase-out or growth of deployed infrastructure might work in the short term but could restrict flexibility of options further into the future.
This study uses spatially explicit practically optimal results (SPORES) for 2030 and 2050 provided by the sector-coupled Euro-calliope model, which is an adaptation of the MGA approach. Energy system characteristics were found by analysis of the distributions of primary energy sources and power sector technology deployments. Trade-offs were uncovered by computing Pearson correlation of technology deployments on national and European scale. A k-means clustering algorithm was applied to condense the set of hundreds of SPORES to manageable amount of scenarios that is more accessible for poli- cymakers. The scenarios reveal trends and trade-offs between the two different time-frames. Finally, two case-studies were presented that use a filtering of the SPORES to reveal the impact of the 2030 PV capacity target in Germany and the 2030 offshore wind capacity target in the Netherlands on the maneuvering space of their respective future energy systems. Key finding of this study include:
• Phase-out of fossil-based energy sources by 2050 is enabled by a doubling of renewable elec- tricity generation by 2030 and a more than ten-fold increase of renewable electricity generation in 2050.
• Solar (PV) and wind turbines are must-have technologies by 2050, however trade-offs exist in the the proportional balance between them, and the timing and location of deployment.
• Early phase-out of coal-fired power generation can reduce flexibility in the system as it is often accompanied by high deployment of gas turbines and PV that could create lock-in risk.
• Germany’s ambitions to deploy 215 GW of PV by 2030 requires increased coal-fired generation capacity to remain in the cost-efficient design space of 2030. Thus the PV target introduces lock-in risk of coal-fired power generation, which requires a complete phase out by 2050.
• The Dutch offshore wind target of 21 GW by 2030 introduces a potential conflict between deploy- ment of offshore wind and growth of PV and onshore wind that is required for 2050.
These findings contribute to research by offering a methodology that improves understanding of the dynamics within the European energy transition. This study has, for the first time, placed MGA solutions in the context of the multi-decade transition. By analysing the change between the current system, the design space spanned by the 2030 and 2050 SPORES, new insights about the time dependent trade- offs within the energy system and the limitations of the SPORES method have emerged.","Energy system modelling; policy decisions; European energy system; Decarbonisation","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:0d52b076-827f-4f6e-810e-c001b3d5d336","http://resolver.tudelft.nl/uuid:0d52b076-827f-4f6e-810e-c001b3d5d336","Computational modeling of KRAS mutant resistance mechanisms to targeted therapy","van der Ent, Huub (TU Delft Electrical Engineering, Mathematics and Computer Science)","Wessels, L.F.A. (mentor); Thijssen, Bram (mentor); Delft University of Technology (degree granting institution)","2023","KRAS mutations are very common in several different types of cancer. A promising targeted combination therapy using a MEK and HER inhibitor was proposed based on in vitro finding. The clinical results of this combination were found to be lacking due to emergent treatement resistance. Here we investigate what mechanism is causing this emergent resistance in KRAS mutant cancers. We propose a novel ODE model of the MAPK pathway that can be used to infer kinetic parameter estimates from a population of KRAS mutant cells under drug perturbation. Parameter estimates inferred from FRET biosensor data correctly predict protein activity in an external CyTOF validation dataset. However, the parameter estimates did not recapitulate the known gain-of-function in RAS activity that we would expect. From this we conclude that more experimental observation are required to elucidate the inner working of the resistance mechanism of KRAS mutant cancer to the proposed combination therapy.","","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:a3f56fd7-5c25-41a9-9df3-0c8e2d42c2ff","http://resolver.tudelft.nl/uuid:a3f56fd7-5c25-41a9-9df3-0c8e2d42c2ff","Mitigation strategies to achieve sustainable development: Insights from the Carbon Footprint of Manufactured Capital","De Bruycker, Tinia (TU Delft Technology, Policy and Management)","Wang, Ranran (mentor); Behrens, Paul (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","In the spirit of equity, all humans should be entitled to a life congruous with a very high level of well-being. The development needed to achieve this would however heavily rely on manufactured assets, the production of which negatively impacts the climate system. Both efficiency- and sufficiency-based strategies have been put forward as solutions to reduce greenhouse gas remissions. Assessing their respective and combined effectiveness in supporting the realization of a fair and sustainable future is essential to guide future policy-making. Here I show that combining both would minimize the risk of a global warming beyond 1.5-2˚C while allowing developing regions, particularly Africa and Asia, to sufficiently raise the well-being of their citizens. Comparing different effort-sharing approaches, I further show that this sustainable development can be supported in international climate negotiations by adopting the Human Development Index (HDI) as a proxy for equitably allocating the remaining carbon budget (RCB). This supports research advocating for equating development with human well-being, rather than economic growth, and thus the introduction of demand-side interventions to reduce emissions. The ensuing discussion also points to need to strategically invest in assets in order to prevent unsustainable lock-ins and additional stranded assets.","sustainable development; mitigation,; equity; effort-sharing approaches; manufactured capital","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:99d7d7ed-1ece-48b3-bf90-5cbd95c5d481","http://resolver.tudelft.nl/uuid:99d7d7ed-1ece-48b3-bf90-5cbd95c5d481","Mechanical characterization of thrombi by studying shear and friction: An in vitro study towards a better comprehension of thrombus biomechanics","van Kuijk, Sanne (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Biomechanical Engineering)","Gijsen, F.J.H. (mentor); Fereidoonnezhad, B.F. (mentor); Delft University of Technology (degree granting institution)","2023","Stroke, caused by large vessel occlusions, is the second leading cause of death worldwide. Large vessel occlusions are the result of thrombosis, the undesired coagulation of blood within the vasculature. Due to this coagulation, a vessel can get blocked, prohibiting blood flow to areas distal from the occlusion, with all the serious consequences that may ensue. Since 2015, mechanical thrombectomy procedures have been widely accepted as a successful treatment technique to remove thrombi from the vasculature. However, complete reperfusion is only reached in 50% of acute ischemic stroke cases.
To successfully remove a thrombus from the vasculature, it is necessary to apply a specific retrieval force. This force must exceed the opposing forces, including the impaction force generated by the blood pressure gradient across the thrombus and the interaction forces between the thrombus and the vessel wall. Multiple studies have focused on tensile and compressive properties of thrombi. However, little is known about shear loading of the thrombus and about the interaction properties of the thrombus-vessel wall interface. Therefore, the aim of this study is to gain a better comprehension of thrombus biomechanics by studying the shear behavior of thrombi and the interaction of the thrombus-vessel wall interface in vitro.
In order to perform these in vitro studies, two custom-made test setups have been designed and developed. The friction test setup contains a plate of which the angle can be inclined slowly. By placing a thrombus-vessel wall sample on top of this plate, it is aimed to determine the static and kinetic coefficient of friction of the thrombus-vessel wall interface. Furthermore, it is aimed to determine the effect of time on this interaction. The thrombus-vessel wall interface was created by obtaining a piece of vein and blood from pigs. To study the shear behavior of thrombi a shear test setup has been developed. Two thrombi types have been utilized for this study, red blood cell- and fibrin-rich. Within the shear test setup it is possible to perform shear experiments under different normal loading conditions. A comprehensive analysis of the data acquired from experiments performed with both test setups has been conducted. Furthermore, a computational model has been developed to fit towards the experimental data obtained from the shear experiments.
The friction experiments suggest that time positively influences the bonds formed between a thrombus and the vessel wall as the coefficients of friction increase with an increased waiting time. Furthermore, a strong positive correlation was found between the static and kinetic coefficient of friction. This result was also found when doing an extensive analysis of the data obtained from the shear experiments. Additionally, the shear experiment showed that the thrombus composition influences its mechanical properties. Higher shear moduli and kinetic coefficients of friction were found for the fibrin samples, compared to the red blood cell samples.
The results obtained from the friction and shear experiments provide valuable insights into thrombus biomechanics. By extending the performed studies a better comprehension on thrombus mechanics and the thrombus-vessel wall interaction can be achieved.","","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:2eabfd64-0be9-401b-8a23-9c4d01833f34","http://resolver.tudelft.nl/uuid:2eabfd64-0be9-401b-8a23-9c4d01833f34","Aerodynamic Interaction Effects Between a Propeller Slipstream and Flap Boundary Layer: A numerical study","Plijter, Jurrien (TU Delft Aerospace Engineering)","Sinnige, T. (mentor); Duivenvoorden, R.R. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis investigates the aerodynamics of a propeller-wing-flap system, spurred by the growing interest in propeller-driven aircraft and the potential introduction of distributed electric propulsion. The research focuses on the complex flowfield involving the interaction of the propeller slipstream with the main wing and flap at high-lift conditions. Using unsteady RANS simulations at a chord based Reynolds number of 2 million, the study explores the impact of a propeller slipstream on flap time-dependent boundary layer variations. The computational results are validated against experimental data, showing good agreement. The analysis reveals intricate details of the flow field, including propeller slipstream shifts and complex vortex systems contributing to flap stall mechanics. The study highlights the influence of these phenomena on the spanwise lift distribution and flap flow separation areas. The findings contribute valuable insights into the understanding of propeller-wing-flap interactions, yet emphasizing the need for further research to confirm and expand upon the current discoveries.","CFD; high-lift device; Propeller Aerodynamics; URANS; ANSYS Fluent","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:5ad573ff-2396-4568-9012-427ad2a05cb1","http://resolver.tudelft.nl/uuid:5ad573ff-2396-4568-9012-427ad2a05cb1","The effects of surface cooling on the stability of a flat-plate boundary layer in subsonic flow","Goorden, Kristie (TU Delft Aerospace Engineering)","Kotsonis, M. (mentor); Michelis, Theodorus (mentor); Delft University of Technology (degree granting institution)","2023","The transition behaviour of a boundary layer with zero pressure gradient in a low-subsonic freestream is examined for a set of wall temperatures below the freestream temperature. Solutions of the boundary layer provided by both an incompressible and a compressible numerical flow solver are compared to wind tunnel measurements on a uniformly cooled section of a flat plate. The compressible solver features a temperature dependence of the fluid properties, which proves to be crucial for modeling the stability of a thermal boundary layer. Thermal images of the surface show that the spanwise-averaged transition front moves downstream with decreasing wall-to-freestream temperature ratio. At the streamwise station where no frictional heating due to turbulent structures is observed, T-S waves are detected in the measured velocity fluctuation profiles. The experimental data show good correspondence with the numerical linear stability predictions here. Further downstream, where streaks of transition occur, T-S waves can no longer be distinguished, and it is likely that non-linear effects have overtaken the boundary layer flow here. The absolute amplitude and the amplitude growth rate of the velocity perturbations both decrease with the wall temperature in the region where T-S waves are seen, and even more in the non-linear region. It is concluded that uniform surface-cooling stabilizes the boundary layer on a flat plate in an incompressible freestream, but a variable-fluid property solver is required to model the stability characteristics.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:980ade3a-01be-4bff-8ee6-0d5c56470afe","http://resolver.tudelft.nl/uuid:980ade3a-01be-4bff-8ee6-0d5c56470afe","The Possibilities of Intermodal Transport to reduce Carbon Emissions in Logistics by Heineken","Senden, Tom (TU Delft Technology, Policy and Management)","Verbraeck, A. (mentor); Ludema, M.W. (graduation committee); Delft University of Technology (degree granting institution)","2023","The global commitment to combating climate change has led to initiatives like the Paris Agreement and the European Commission's 2030 climate target plan. Heineken, aligning with this, has set its own sustainability goals for 2030, aiming for net-zero emissions in its production line and a carbon-neutral value chain by 2040. To address emissions from logistics, Heineken introduced the Net-Zero Logistics program, with a focus on reporting emissions and implementing carbon reduction measures, particularly through increased use of intermodal transport.
Intermodal transport involves using various modes such as roads, railways, and waterways during transportation. Studies suggest that intermodal transport can aid in carbon reduction, aligning with Heineken's sustainability goals. The report aims to assess the impact of intermodal transport on Heineken's emissions, costs, and lead time compared to the current transport mode.
The study's primary objective is to identify opportunities for reducing transport emissions through intermodal transport, considering costs and lead time. A model and methodology were developed for the comparison between road and intermodal transport, utilizing available data.
Emission calculations follow a globally agreed framework, using emission factors per country, modality, and payload. Three methods are outlined based on the availability of intermodal transport data. The first relies on existing intermodal transport data, while the second uses locations of intermodal hubs when specific data is unavailable. The third method employs open-source data to identify intermodal hubs and is applied in regions like Africa.
Costs are determined through two methods: an internal intermodal pre-tender for Europe and average historical transport rates per kilometer for the U.S. and Africa. Lead time is calculated using intermodal databases when available or average transport speeds multiplied by distance with added dwell time.
Experiments were conducted on Heineken's existing transport routes with variations in region, lane distance, and transshipment hub distance. Findings from 34 experiments suggest that intermodal transport can reduce emissions and costs on distances exceeding 600 km. Additionally, for shorter distances, savings are possible if the first and last mile distance is below 30% of the total intermodal distance.
The study identifies that longer lanes show more significant reduction potential, especially in the U.S. where substantial opportunities remain untapped. Intermodal costs in the USA and Ethiopia should be verified with carriers for accuracy. The report recommends Heineken focus on longer lanes and explore integrated tools for real-time transport data analysis.
In conclusion, intermodal transport offers Heineken the potential to achieve its sustainability goals, with the report providing valuable insights into emissions, costs, and lead time comparisons. Future research could expand the analysis to more routes globally or within local operating companies, refining input values in collaboration with carriers.","Intermodal; logistics; transport; Emissions; Heineken","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:79406c06-ab43-4cba-9136-cb8243e891ed","http://resolver.tudelft.nl/uuid:79406c06-ab43-4cba-9136-cb8243e891ed","S.C.A.L.E.: Scheduler for Carbon-Aware Load Execution in OpenShift at ING","Den Toonder, Jurriaan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Durieux, T. (mentor); van Deursen, A. (graduation committee); Migut, M.A. (graduation committee); Braakman, P.G.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","The global climate change crisis and the associated phenomenon of global warming have taken center stage in recent years. Greenhouse gas emissions due to electricity generation are a contributor to this problem. Internet Services running in data centers consume enormous amounts of energy and should be optimized to reduce their greenhouse gas emissions.
This thesis explores the possibility of intelligently scheduling resource-intensive batch data-processing jobs to green energy generation hours in the day. Green hours are hours within the day during which the amount of greenhouse gasses emitted per kilowatt-hour (kWh) is lower compared to other hours of the day. There is a variance in the amount of emissions due to the variability of renewable energy generation and grid demand.
The system ""S.C.A.L.E. (Scheduler for Carbon-Aware Load Execution)"" is proposed. It schedules compute jobs to periods of low-carbon-intense energy generation based on predictions of renewable energy generation and grid demand. The system was evaluated against a simulated data processing pipeline at ING; this pipeline is one of the larger consumers of the ING private cloud. The scheduler aims to reduce greenhouse gas emissions by intelligently predicting task running times and green hours for the next day and optimizing the times at which tasks are processed throughout the day.
Several main conclusions are drawn based on this research:
1. The accuracy of task load predictions regarding running times is crucial for effective scheduling. The research concludes that, with sufficient historical data, the scheduler can predict task running times with an acceptable margin of error (5-10%).
2. The research explores the scheduler's ability to predict periods of low carbon intensity and the resulting reduction in carbon emissions by implementing it. The research affirms the scheduler's accuracy in determining low-carbon-intensive energy generation periods and estimates a potential 20% reduction in greenhouse gas emissions.
3. The potential overhead introduced by implementing a carbon-aware scheduler is addressed. The research identifies that while the scheduling algorithm itself is lightweight, the concurrent processing of tasks introduces overhead. The tipping point, where the overhead outweighs the benefits, varies for each system and should be experimentally determined.
The thesis concludes by emphasizing the significance of implementing a carbon-aware scheduler to reduce the environmental impact of data centers. The proposed scheduler is a promising contribution to sustainable computing practices. Further, the research suggests the need for continued work and adoption of the scheduler into production environments, especially within the context of the ING data processing pipeline.","Climate change; Scheduling; OpenShift; Greenhouse gas; data center","en","master thesis","","","","","","https://github.com/Fastjur/S.C.A.L.E. Accompanying code","","","","","","Computer Science","",""
"uuid:9335f974-9adb-41f7-b6c2-3b87201913f6","http://resolver.tudelft.nl/uuid:9335f974-9adb-41f7-b6c2-3b87201913f6","Development of a Patient-Specific Carotid Artery Fluid-Structure-Interaction Model","Liu, Yanjing (TU Delft Mechanical, Maritime and Materials Engineering)","Akyildiz, A.C. (mentor); Tziotziou, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Background:
Ischemic stroke is a major cause of death worldwide. Atherosclerosis in the carotid arteries is an established predictor of these events. FSI shows its advantage in simulating the hemodynamic environments due to involving the multiphysics coupling of fluid dynamics and structural mechanics regulations. Therefore, the aim of this thesis was to establish and demonstrate a framework, starting with the segment data extracted from CTA images and leading to patient-specific FSI modeling. Thus the results can be used to gain insights into the relationship between plaque changes over time and biomechanical stresses induced by blood flow using FSI.
Method:
3D coordinates are firstly extracted from CTA scans of patients with calcified atherosclerotic plaques in their carotid arteries, sourced from the PARISK study. This data was then used to reconstruct 3D surfaces and volumes of the carotid bifurcation structure. Subsequently, the Backward Incremental method is employed to compute the initial stresses and establish the zero-pressure geometry of the vessel. Following this, FSI simulations were conducted on six carotid bifurcations to obtain preliminary results, providing an initial test of the pipeline's robustness. Morphological changes, including plaque burden, wall thickness, and calcium distance, are quantified to study plaque progression over time. The numerical simulation results provide insights into biomechanical stresses, including fluid and solid wall shear stress and von Mises stress. The simulation results are subjected to post-processing for further analysis. The results are mapped to a 2D configuration, with 1.5mm along the centerline and 45 degree per sector to study the local behavior.
Result:
The efficacy of the reconstruction and initial stress detection methods shows the robustness of the pipeline. The entire process is executed on six vessels, with a comprehensive examination of one case presented initially. This detailed analysis reveals metrics related to morphological changes, biomechanical stresses, and flow patterns. Subsequently, correlation and stress distribution analyses are conducted for all six vessels. Notably, negative correlations are discerned between stress and morphological changes, adding depth to the understanding of the relationship between biomechanics and morphological changes in these cases.","Atherosclerosis; Fluid-Structure-Interaction; Plaque Progression; Shear Stress; Structural Stress","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:3bf8900e-1d4b-4eb5-8087-842a32b374dd","http://resolver.tudelft.nl/uuid:3bf8900e-1d4b-4eb5-8087-842a32b374dd","Socio-economic feasibility study on the implementation of an Electric Road System between the port of Rotterdam and the port of Antwerp","Duijn, Kevin (TU Delft Civil Engineering & Geosciences; TU Delft Transport and Planning)","Annema, J.A. (mentor); van Binsbergen, A.J. (graduation committee); Tavasszy, Lorant (graduation committee); Saeednia, M. (graduation committee); Tuinenburg, Emilio (graduation committee); Koopman, Erik (graduation committee); Delft University of Technology (degree granting institution)","2023","In order to fulfill the climate commitments outlined in the 2015 Paris Climate Agreement, there is a pressing need to substantially reduce Greenhouse Gas (GHG) emissions worldwide. Among these GHG emissions, CO2 contributes for 75% of the total Greenhouse Gas emissions. The transport sector accounts for 22% of these CO2 emissions. Despite the only 2% of the overall vehicle fleet in Europe, trucks and buses contribute a substantial 28% to the annual CO2 emissions on roads. Decarbonizing the transportation sector can be approached with various solution, with electrification emerging as one viable solution. Electrification involves converting diesel trucks with internal combustion engines into battery trucks. Furthermore, extending this shift to heavy duty trucks can be achieved by implementing an Electric Road System (ERS), utilizing either inductive or conductive charging. Completing an European network for ERS would be optimal, considering the daily cross-border transportation undertaken by heavy-duty trucks. Given the challenges in constructing a full European network at once, it is recommended to start an ERS infrastructure between two major freight handling points to guarantee high initial utilization. Numerous studies and pilot projects have investigated ERS technologies, with the Overhead Catenary Line system currently standing out as the most mature technology. However, existing studies primarily focus on the technical aspects or cost-effectiveness of ERS technology, neglecting a crucial aspect—the Social Cost-Benefit Analysis (SCBA) that evaluates the socio-economic impact of implementing an Overhead Catenary Line system. Moreover, these studies mostly looked into the implementation of a larger ERS network. Consequently, the main research question is formulated: What is the socio-economic feasibility of the implementation of an Electric Road System (ERS), the overhead catenary line system, between two freight handling points?
The shift towards electrification has already begun, with the introduction of battery trucks alongside diesel trucks. Hence, a transitional phase involving a mix of diesel and battery trucks is anticipated in the coming years (the zero alternative). The study has focused on testing one policy measure, namely the implementation of an ERS infrastructure between the ports of Rotterdam and Antwerp. The implementation of an ERS network, extend the truck fleet (consisting of diesel trucks and battery trucks), with a new type: the catenary trucks. This is the so called policy scenario (anticipated completion by 2030), which has been compared with the zero alternative. Three distinct route alternatives between the ports were assessed through SCBA, revealing that implementing an ERS infrastructure proves welfare enhancing for all three route alternatives, thus demonstrating the socio-economic feasibility of the policy measure. Furthermore, among the route alternatives (the Western route, the Middle route and the Eastern route), the Eastern route presents the most favorable Net Present Value outcomes for ERS infrastructure. However, conducting further studies encompassing research variables and non-monetized factors arising from ERS infrastructure implementation is necessary. This comprehensive analysis is crucial for informed decision making regarding the successful implementation of an Electric Road System.
The thesis starts with an evaluation of literature to determine what options for sustainable and circular development business parks can implement. A variety of collective or individual initiatives aimed at either streams and production processes, or site arrangement, park organization and architecture/construction were found.
Next, case studies were performed to evaluate current policies and strategies on sustainable and circular development of business parks by the national, provincial and municipal governments. And to investigate the current practices, initiatives and developments at sustainable and circular business parks in Zuid-Holland. This resulted in a detailed overview of policies and strategies, and in depth documentation of four business parks using ten variables: (1) Initiation, vision and ambition; (2) Organization and actors’ roles; (3) Sustainable and circular initiatives at the park; (4) Physical location specific and business specific features; (5) Social location specific and business specific features; (6) Regional and local characteristics; (7); Regional spatial-economic strategies and environment policy; (8) Policy instruments; (9) Economic context; and (10) External context.
Lastly, based on a cross case analysis, eight key lessons were formulated for future development of sustainable and circular business parks.
The study identified three main perspectives of construction project managers: Cost and Sustainability, People and Sustainability, Cost and Quality. The study found that in current practice there is a general preference for safety over sustainability, while there are different perspectives on the sustainability compatibility with project objectives. The study highlights the need for continuous innovation and adaptation in incorporating sustainability while recognizing the diversity of management approaches and the multifaceted nature of construction projects.
While providing practical insights for industry practitioners, the study also recognizes limitations due to data subjectivity, regional differences, and changing external conditions. It is recommended that future research be conducted to compare sustainability integration in different countries and to explore the impact of cultural, economic, regulatory, and environmental factors on sustainable construction practices.","Sustainability; Construction project; Q method; Project management","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:93f2206b-19b5-448f-8c4c-6c7b6b6b0055","http://resolver.tudelft.nl/uuid:93f2206b-19b5-448f-8c4c-6c7b6b6b0055","The role of International Cooperative Initiatives in achieving national climate targets in the Netherlands: The case of the chemical sector","Lehmkühler, Maren (TU Delft Technology, Policy and Management; Universiteit Leiden)","Ghorbani, Amineh (mentor); Blok, K. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","","international cooperative initiatives; corporate climate action; SBTi; Climate policy","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:efa83817-6cd8-4eb5-a799-5331069497c4","http://resolver.tudelft.nl/uuid:efa83817-6cd8-4eb5-a799-5331069497c4","Bring Linpan back to life: Revival of the Linpan community, Sichuan, China, in a modern context through adaptation and appreciation of its circularity","Zhang, Zhiyun (TU Delft Architecture and the Built Environment)","Bobbink, I. (mentor); Chen, Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","Linpan is a unique traditional rural landscape, mainly found on the plains of western Sichuan, China. It is known for its traditional agricultural practices, characterized by a harmonious coexistence between people and nature. The traditional architecture, festivals, lifestyles and the interdependence between human and nature can definitely be viewed as rich cultural heritage, which also provides valuable insights into the historical and cultural aspects of rural life in China.
The worldwide rapid development has led to an unprecedented urbanization process, which has brought numerous challenges and complexities to the rural-urban landscape in many different countries, including Linpan. I try to revisit the Linpan landscape in modern context with the holistic landscape concept, drawing on other rural heritage landscape preservation and reconstruction methods, keeping pace with the times and adapting to local conditions. At the Linpan scale, on the basis of a thorough understanding of the traditional characteristics of the forest, the functional division of the forest, and the wishes of the residents, Linpan will be transformed to varying degrees and in different directions, while at the same time ensuring that the internal and external circulation, which is a main characteristic of Linpan, will be preserved as much as possible. At the regional scale, the blue-green ecosystem network will be reconstructed to enhance ecological resilience, and the community will be categorized and spatially divided according to the situation and function of Linpan.
While preserving the natural ecosystems and revitalizing the traditional way of life in the woodland, I hope this could be a reference example for general rural transformation.","Rural landscape; Rural community regeneration; Water system; Linpan; Dujiangyan irrigation area; Holistic Approach; heritage landscape","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","30.988056,103.646944"
"uuid:3f2b53e9-fc73-49e0-8b7d-f9e62bb2a419","http://resolver.tudelft.nl/uuid:3f2b53e9-fc73-49e0-8b7d-f9e62bb2a419","A Hybrid Reinforcement Learning and Tree Search Approach for Network Topology Control","Meppelink, Geert jan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cremer, Jochen (mentor); Rajaei, A. (mentor); Delft University of Technology (degree granting institution); Norwegian University of Science and Technology (NTNU) (degree granting institution)","2023","The growing demand for electricity, driven by widespread adoption of heat pumps, electric vehicles, and industrial electrification, strains power grids and introduces challenges for a reliable and secure supply amidst intermittent renewable energy integration. Network topology control offers flexibility, altering connections to redirect power flows and mitigate transmission line overloads. This thesis aims to investigate an ML and AI approach to overcome the computational complexity. The proposed approach merges a curriculum-trained machine learning agent with a Monte Carlo Tree Search (MCTS) to enhance power network action security. The MCTS guides the simulation of potential actions, considering future outcomes for improved long-term performance identification. A curriculum-based ML approach is used to pre-train an agent to propose grid actions. MCTS is then used to secure these actions, leveraging outcomes in the training algorithm for enhanced sample efficiency and reduced training times. The approach uses MCTS-verified, simulation-tested actions for immediate training feedback, eliminating the need to wait for scenario completion, enhancing sample efficiency. An electrically distance-guided search in the MCTS improves convergence by prioritising actions closer to overflows, often found to be most influential in reducing violations.","Machine Learning; Reinforcement Learning; Network Topology Control; Monte-Carlo Tree Search; Transmission Control; L2RPN","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM) | Rotor Design Track","",""
"uuid:58042eb4-a229-402b-83bc-28cd48139825","http://resolver.tudelft.nl/uuid:58042eb4-a229-402b-83bc-28cd48139825","CFD simulations on the effect of the diameter of an interatrial shunt for the treatment of heart failure: A Master Thesis","Meindertsma, Guido (TU Delft Aerospace Engineering)","Gerritsma, M.I. (mentor); Delft University of Technology (degree granting institution)","2023","Interatrial shunting is a proposed technique to reduce elevated left heart and pulmonary pressures in heart failure patients. Clinical trials show promising results in relief of symptoms and improvements in quality of life, but little is still known about the working principles of interatrial shunting and important questions remain regarding the optimal diameter. The current research investigates the patient-specific optimal diameter of an interatrial shunt through computational fluid dynamics simulations. An idealized two-dimensional model of the left and right atria, four pulmonary veins, the two vena cavae and the two atrioventricular valves is used to study the intra- and interatrial flow fields in absence and presence of an interatrial shunt through steady-state and transient simulations. For the transient simulations, the inlets and outlets are coupled through a Windkessel including four reservoirs, representing the two ventricles and the pulmonary and systemic circulations. This coupling represents the closed-loop behavior of the circulatory system and ensures realistic inlet and outlet pressures throughout the cardiac cycle. Furthermore, wall movement is applied in the transient simulation to model the atrial deformation during the systolic and diastolic phases of the heart cycle. The introduction of an interatrial shunt significantly influences the atrial flow field and a shunt flow from the left atrium to the right atrium is observed in all the simulations throughout the cardiac cycle, increasing the ratio of pulmonary to systemic blood flow (Qp:Qs). This reduces pulmonary, left atrial and left ventricular pressures while slightly increasing systemic, right atrial and right ventricular pressures. The patient-specific optimal shunt diameter is studied by applying shunts with diameters ranging between 0 and 20 mm to three patients of varying left ventricular stiffness. From this, it is found that the pressure reductions of the smallest (< 6 mm) as well as the largest shunts (> 12 mm) are little sensitive to shunt diameter, whereas medium-sized shunts are the most sensitive to the diameter. It is concluded that the optimal shunt diameter is patient-specific and is defined as the smallest diameter that manages to reduce a patient’s peak pulmonary pressure to below 15 mmHg, as long as its Qp:Qs ratio does not exceed 1.5. Otherwise, the optimal shunt is the one with the largest diameter that does not exceed Qp:Qs = 1.5.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:cb027554-81f8-43e2-8ed1-d6a1a4847d10","http://resolver.tudelft.nl/uuid:cb027554-81f8-43e2-8ed1-d6a1a4847d10","Clinical application of a statistical shape model for unilateral condylar hyperplasia","Klinkenberg, Edwin (TU Delft Mechanical, Maritime and Materials Engineering)","Huysmans, T. (mentor); Scheurs, R (mentor); Nolte, J (mentor); vd Ende, R (graduation committee); Delft University of Technology (degree granting institution); Leiden University Medical Center (degree granting institution); Erasmus MC (degree granting institution)","2023","Unilateral Condylar Hyperplasia (UCH) is a rare and complex asymmetric growth disorder affecting the mandible. The disease is characterized by growth resembling hyperactivity in one of the condyles. Treatment of UCH requires a personalised approach that aims to stop the progression and/or correct the deformity caused by the disease. The choice and timing of UCH treatment are determined by the expression, severity, and progression of the disease. One of the most recognized classifications, introduced by Obwegeser and Makek, delineates three primary UCH categories: hemimandibular elongation (HE), hemimandibular hyperplasia (HH), and a hybrid form combining the first two categories (HY). Despite efforts to effectively differentiate between UCH expressions, these classification systems heavily rely on qualitative assessments. Hence, there is a need for a tool to objectively determine the expression, severity, and progression of UCH. This study introduces a novel tool, MASQ, to quantify asymmetrical mandibular growth and aims to objectively distinguish between the three UCH categories described by Obwegeser and Makek.
In Chapter 3, asymmetry of the mandible was quantified in an unaffected population using the MASQ tool. These results were used to establish the amount of asymmetry present in an unaffected population. This enables the comparison of new mandibular samples to an unaffected population, which could facilitate the identification of asymmetrical growth caused by UCH in Chapter 5.
In Chapter 4, a machine learning model was developed for the MASQ tool to predict the expected mandibular shape of new samples. The model predicted unaffected mandibular shapes using the patients’ age and gender. Comparisons were made between the predicted model and the unaffected dataset (DFE-score), which could enable the identification and localisation of pathological growth caused by UCH.
In Chapter 5, the asymmetry and predicted model of the UCH samples were computed using the MASQ tool including the methodologies described in Chapter 2, 3, and 4. These UCH results were compared to the unaffected samples to help determine whether the growth deviations caused by UCH were significantly different. The UCH samples were classified in the three classifications described by Obwegeser and Makek. The results were used to identify characteristic growth patterns caused by the different expressions, which were used to confirm or refute the classification system.
The results revealed significant differences in asymmetry and DFE scores between UCH and unaffected samples. Additionally, the tool was able to objectively differentiate between the HE and HH class but could not fully confirm the classification of Obwegeser and Makek. This prompts the reconsideration of the existing classification system. Local results confirmed and revealed new characteristic deviations caused by the UCH expressions. These may be used in the future to establish a new UCH classification system using the MASQ tool. The current results not only deepen our understanding of mandibular variation but might also empower clinicians to make more informed decisions which could eventually result in a more effective, reliable, and patient-focused treatment.
The tool, developed using computational science, uses Python because of its capability to automate repetitive calculations. It integrates with the program D-Sheet Piling that is used for specific sheet pile calculations. The tool is designed to be used during the preliminary design phase, enabling quick assessment of potential reinforcement adjustments and facilitating insight into the preferred solution. It evaluates three main strategies for quay wall reinforcement: lowering active soil stress, increasing passive soil stress, and enhancing pile stability. The tool uniquely focuses on maximising the aggregated preference of involved stakeholders and is capable of evaluating failure mechanisms of sheet piles.
It is tested on three case studies, all located in the industrial harbour Loven in Tilburg. The results show that the tool effectively proposes which structural adjustments are applicable to create a sheet pile design that satisfies. The thesis concludes by drawing specific conclusions for each structural adjustment considered in the project. Moreover, it concludes that the development of a decision support tool has been successful. In particular, the tool enhances efficiency in sheet pile calculations, offers detailed insights into the environmental and financial impact of adjustments and enables the direct determination of the preferred configuration of structural adjustments. This eliminates the need to choose the preferred configuration from a number of designed variants, which is the current approach.
Additionally, the thesis recommends to conduct a follow-up research on the applicability of underwater anchors, which have shown significant structural potential. Furthermore, it recommends to conduct laboratory tests to potentially improve the cohesion and angle of internal friction of soil layers. If those soil parameters can be improved, no structural adjustment may be necessary to reinforce quay walls at all.","Design optimisation; Quay wall; Sheet pile wall; Preference Assessment; Decision support tool; reinforcement measures; Structural parametric design","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:64f8bf84-750f-4214-90ae-b7096057d707","http://resolver.tudelft.nl/uuid:64f8bf84-750f-4214-90ae-b7096057d707","Uncontrolled Degassing of Ships: An Agent-Based Approach","Dam, Friso (TU Delft Technology, Policy and Management)","van der Voort, H.G. (mentor); Warnier, Martijn (graduation committee); Wassenburg, S. I. (mentor); Merkx, P.P.A.B (graduation committee); Delft University of Technology (degree granting institution)","2023","The concept of deterrence, using fear of punishment to encourage compliant behavior, is widely discussed. However, deterrence often places an emphasis on the economic side of compliance while neglecting other possibly crucial factors, as is argued by the literature. Psychological factors, notably the personal norm and the social norm, often appear to play important roles in the decision to comply or not. The personal norm describes an individual’s attitude and moral stance toward specific behaviors, such as compliance. On the other hand, the social norm revolves around perceptions of others’
behavior and opinions within one’s social network. Hence, the influence of these factors is researched within different populations, and different environments, some enabling and some impeding compliance. A new framework to encompass all these elements is explored with Agent-Based Modeling and applied to a case study in collaboration with the ILT (Inspectie Leefomgeving en Transport). Findings included the lack of a social influence on compliance, whenever the personal norm was high and the necessity to be able to comply in order for deterrence to show an effect. For future research, suggestions are done to improve the framework and to explore additional aspects.","Agent-based Modeling; Compliance; Deterrence; Theory of Planned Behavior; Rational Choice Theory","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:1fad76b4-bcd3-4915-9a94-40e4ced35abd","http://resolver.tudelft.nl/uuid:1fad76b4-bcd3-4915-9a94-40e4ced35abd","The Effect of a Confining Cover Layer on Backward Erosion Piping Process: Investigation of the initial heave progression","Willemstein, Lotte (TU Delft Civil Engineering & Geosciences)","Hicks, M.A. (mentor); Brinkgreve, R.B.J. (graduation committee); Kok, M. (graduation committee); Wiggers, Albert (graduation committee); Sanders, Monique (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands is prone to flooding as more than a quarter of the country lies under sea level. To combat flooding and ensure that the country remains dry structures such are levees and dikes have been installed. However, older water retaining structures are more than ever failing the stringent safety standard assessments. These older conventional reinforcement measures, including berm constructions, are not only costly but require an expanse of ground to ensure performability.
Backward erosion piping is an internal erosion mechanism during which shallow pipes are formed in the direction opposite to the flow underneath water-retain structures as a result of the gradual removal of low cohesive material by the action of water. This mechanism is an important failure mechanism in both levees and dams where a cohesive layer covers a sand layer. Although failure resulting from backward erosion piping is not common, several levee failures in the United States, China and the Netherlands have been attributed to this mechanism.
There are mitigation measures known to stop the backward erosion mechanism. One such measure is the placement of a seepage wall, to create a physical barrier directly in the flow path trying to reach the lowest region of the hydraulic head. A review of the literature showed that current design rules only consider groundwater flow calculations when determining the likelihood of hydraulic heave, one of the failure modes within the backward erosion process. Hydraulic heave in the backward erosion piping context is closely linked to the quicksand condition, essentially stating that once the effective stress is zero, the sand particles become suspended, liquifying a solid layer. The absence of an assessment of the effective stresses during the design process in conjunction with hydraulic heave has contributed to the main research question addressed by this thesis; How does a restricted exit for groundwater flow affect hydraulic heave compared to Terzaghi’s free exit situation?.
inaccuracy.","Observer Performance; Object Detection; Bounding Box; Trust","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:f7ec9e1c-15db-4982-b2fa-4ba0f51a5b91","http://resolver.tudelft.nl/uuid:f7ec9e1c-15db-4982-b2fa-4ba0f51a5b91","Aerial Perching via Active Touch: Embodying Robust Tactile Grasping on Aerial Robots","Jadoenathmisier, Anish (TU Delft Aerospace Engineering)","Hamaza, S. (mentor); de Croon, G.C.H.E. (graduation committee); Pool, D.M. (graduation committee); Bredenbeck, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Aerial manipulators, characterized by their ability to actively engage with the environment, are gaining popularity for their versatility in performing diverse tasks.
This research focuses on augmenting the capabilities of aerial manipulators through the integration of tactile feedback, specifically employing a compliant bio-inspired three-fingered manipulator equipped with tactile capacitive sensors on each finger. The manipulator is affixed to a drone, enabling tactile-guided navigation for precise object localization, subsequent grasping, and perching. Additionally, a grasp evaluator assesses grasp quality, allowing the system to adapt by suggesting alternative grasp locations after an initial attempt is unsuccessful. A comparative analysis between the system’s performance using tactile feedback and open-loop perching/grasping in perching scenarios demonstrates that the grasp evaluator improves the perching success rate by 55%-point and increases the allowable object uncertainty by 0.14 [m]. These findings highlight the efficacy of this approach in advancing aerial manipulator capabilities.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Control & Simulation","",""
"uuid:abc4d59b-ae5c-423e-b84f-f287bf148df6","http://resolver.tudelft.nl/uuid:abc4d59b-ae5c-423e-b84f-f287bf148df6","Synthetic Human Motion Video Generation Based on Biomechanical Model","Lyu, Bofan (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Biomechanical Engineering)","Seth, A. (mentor); van der Kruk, E. (graduation committee); Zhang, X. (graduation committee); van der Helm, F.C.T. (graduation committee); Delft University of Technology (degree granting institution)","2023","Biomechanics studies the underlying mechanisms between body movements and forces. Accurate motion data is crucial for the biomechanics. Currently, marker-based motion capture systems are often used by researchers to record motion data. Marker-based motion capture systems are not widely adopted due to its drawbacks in terms of financial and time costs, portability, etc. Video-based motion capture systems can record motions using videos collected by webcams, cameras, and smartphones as the input and then estimate human motions from those videos. A simpler setup makes video-based motion capture technology more accessible for widespread use. However, existing motion capture datasets commonly lack of biomechanically accurate annotation, resulting in a deficiency in the biomechanical accuracy of exsisting video-based motion capture methods. In the biomechanics community, there are a lot of validated and biomechanically accurate models and motion data; however, corresponding video data is lacking. We can construct human-like appearance based on these data and generate a synthetic human motion video dataset using 3D graphic software. In this thesis, we purposed a pipeline that can generate synthetic human motion videos. The pipeline takes subject-specific OpenSim model and motion as input and uses SMPL-X model to generate human-like appearance. We validated the synthetic data generated by our pipeline and demonstrated the biomechanical reliability of the pipeline. Using this pipeline, we created synthetic dataset ODAH with biomechanically accurate annotations for neural network training.","Motion capture; biomechanical model; OpenSim; synthetic video; SMPL-X model","en","master thesis","","","","","","","","2024-04-30","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:f75c3508-ae6b-4952-86ff-4aed5dbf08d7","http://resolver.tudelft.nl/uuid:f75c3508-ae6b-4952-86ff-4aed5dbf08d7","Decision-making on local flood risk measures in area development in unembanked areas","Nelen, Louis (TU Delft Civil Engineering & Geosciences)","van Bueren, Ellen (graduation committee); Esteban, T.A.O.E. (mentor); Kok, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Cities worldwide are facing increasing impacts from climate hazards such as heavy precipitation, heat stress, flooding, and drought, exacerbated by climate change. This study focuses on unembanked areas (NL: buitendijkse gebieden), vulnerable to flooding due to factors like sea-level rise, river peak discharges, and land subsidence. However, there are many plans for (re)development in Dutch unembanked areas for the coming years. To address these challenges and avoid passing on consequences of what we build now to future generations, effective adaptation measures are crucial. The study investigates the decision-making process for local flood risk measures in unembanked areas, examining appropriate evaluation methods and stakeholders' needs. Qualitative research methods, including expert interactions, a literature review, case studies, and interviews, were employed. Although there are not evaluation methods, the Dutch multi-level safety approach and resilience-based planning methods like adaptative pathway and adaptative tipping point were found applicable to guide the decision-making process in unembanked area development.
For evaluation methods, multi-criteria analysis (MCA) emerged as a suitable tool for decision-making in unembanked area development, considering social values and intangible criteria. Combining MCA with cost-effectiveness analysis (CEA) or a form of cost-benefit analysis (CBA) proved effective in balancing quantitative and qualitative criteria, facilitating inclusive decision-making. The research emphasizes the extensive involvement of various stakeholders and identifies barriers related to legal aspects, risk awareness, and communication. Stakeholders call for well-defined guidelines, legal regulations, and collaboration between private and public entities. The study recommends establishing principles for unembanked area development and translating them into MCA criteria, addressing both social values and local characteristics. Further research is suggested to address legal assurance for climate-adaptive construction, increase awareness, enhance flood risk assessment methods and communication, and strengthen collaboration between private and public stakeholders in flood risk management and spatial planning.","Unembanked areas; Flood risk management; Evaluation methods; Decision-making; Climate adaptation measures; Risk Governance","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:7f762d85-25d4-450b-a4a6-fc467e549d3b","http://resolver.tudelft.nl/uuid:7f762d85-25d4-450b-a4a6-fc467e549d3b","Validating Reusability: Assessing the Cleanability of the Vela Vacuum Extractor: A Study to Enhance Sustainability and Accessibility of the Vacuum Extractor Before Final Material Production","van Leeuwen, Cato (TU Delft Mechanical, Maritime and Materials Engineering)","Dankelman, J. (mentor); Drexhage, Dieuwertje (mentor); Leeuw, Harry (mentor); Diehl, J.C. (mentor); Delft University of Technology (degree granting institution)","2023","INTRODUCTION: The importance of reusable medical devices is increasingly evident due to their sustainability and accessibility advantages in high- and low- & middle-income countries. In response, LAYCO Medical Devices is developing a reusable vacuum extractor, vela®, as an alternative to the commonly used disposable vacuum extractor. This study aims to validate vela®’s reusability, focusing on reprocessing and explicitly on cleaning. The design of vela® is nearing completion; however, the device has not been manufactured in the final material. Ultimately, the plan is to produce vela® through injection molding of polyphenylsulfone. However, given the current stage of vela®, both in terms of time and cost, it is not advantageous to apply this production method now. Therefore, the research is divided into two parts: material validation and design validation. The material validation involves examining the suitability of polyphenylsulfone, the proposed final material, and identifying a suitable prototype material for testing the cleanability of the vela® design. For the design validation, the vela® design is examined to determine its effectiveness in cleaning within both high- and low- & middle-income countries, as different reprocessing methods are used in these settings.
METHODS: Clinical simulation tests were performed in the material and design validations to assess contamination at predefined hard-to-clean locations after cleaning. The locations were first soiled with Browne washer-disinfector soil, then cleaned and tested with the adenosine triphosphate and protein tests, each with predetermined thresholds. For material validation, an object made of polyphenylsulfone was selected. Through 3D printing, the polyphenylsulfone object was replicated in five potential prototyping materials to facilitate a comprehensive comparison. These six test objects were subjected to clinical simulation tests. Then, the test objects underwent in-depth material analysis to understand the material better and make a more reasoned selection for the prototype material. For the design validation, the design of vela® is printed in the material obtained through the material validation. These vela®’s undergo clinical simulation tests in both high- and low- & middle-income country settings. Given the manual nature of the low- & middle-income country cleaning procedure, additional layman’s tests are conducted to minimize user bias.
RESULTS: For the clinical simulation tests of the material validation, the results obtained from the polyphenylsulfone test object remained below the predetermined threshold. For the prototype material, only the test objects made of polycarbonate and tough 2000 resin withstood the cleaning procedure, and the tests stayed below the predetermined threshold value. Subsequently, the material analysis revealed that polyphenylsulfone and tough 2000 resin have the
least surface irregularities and absorb the least water. Therefore, the vela® prototype was printed in tough 2000 resin. For the clinical simulation tests of the design validation, contamination was observed at specific locations of vela® in
both test settings. In the high-income country setting, test results above the threshold value are observed in the space between the diaphragm. The results are more varied in the low- & middle-income country setting; hence, layman’s
tests were added to minimize user bias and obtain a more concrete result. Ultimately, test results above the threshold value are observed in the space between the diaphragm and the connection between the tube and handle.
CONCLUSION: For the material validation, polyphenylsulfone is identified as a suitable material for the final vela®. Tough 2000 resin is deemed appropriate as a prototype material to validate cleaning. For the design validation, it is concluded that design improvements are needed for two specific locations on the vela® to improve cleaning. The connection requires a redesign to facilitate easy disassembly. The diaphragm with stem is composed of silicone and hard plastic, and it is advisable to employ two-component injection molding to produce this part. When incorporating these suggestions into the design, it can be concluded that vela® can be safely reused in both high- and low-&middle-income countries, contributing to the sustainability and accessibility of medical devices.","","en","master thesis","","","","","","","","2024-12-21","","","","Biomedical Engineering","",""
"uuid:bcd64fd0-c2c1-4fd5-a854-e24ccc2a9a39","http://resolver.tudelft.nl/uuid:bcd64fd0-c2c1-4fd5-a854-e24ccc2a9a39","Pick & Place of Waveguide Structures: Heterogeneous Integration","Fakkel, Stein (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ishihara, R. (mentor); van der Maas, M.C. (mentor); French, P.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Classical computer have difficulties simulating specific complex problems, therefore other computation options are being explored. One of these options is the quantum computer, which is expected to excel in various industries. The challenge for the quantum computer is scaling it up to a high number of qubits. The diamond-based quantum computer is a suitable candidate for quantum computer, because it can be made scalable, with long coherence times, relatively high temperatures and low cross talk. Making such a scalable modular quantum computer using diamond qubits requires heterogeneous integration of optical components. Multiple integrations techniques for optical components exist, however in this thesis we are particularly interested in integrating a superconducting nanowire single photon detector (SNSPD) with pick & place onto the quantum chip to read out the photons emitted by diamond color-centers. The main goal of this thesis is to find out which integration scheme leads to the highest on-chip detection efficiency of a pick & place on waveguide integrated SNSPD.
In this work we designed a silicon nitride structure with low loss tapered support structures. Next different releasing methods are introduced to release the fabricated silicon nitride structure independent of the material stack and with a high yield. Lastly, we show how waveguide structures can be pick & placed on receptor chips that underwent surface treatment.","Pick-and-Place; Quantum computers; Heterogeneous integration; SNSPD","en","master thesis","","","","","","","","2025-12-21","","","","Electrical Engineering","",""
"uuid:ee817413-c529-4afd-bfce-84e6199d5b4c","http://resolver.tudelft.nl/uuid:ee817413-c529-4afd-bfce-84e6199d5b4c","A Configurable Digital Neuromorphic Hardware Generator for Heterogeneous Computing","LIN, Jinhuang (TU Delft Electrical Engineering, Mathematics and Computer Science)","Frenkel, C. (mentor); Makinwa, K.A.A. (graduation committee); van Leuken, T.G.R.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Recent trends in machine learning (ML) have placed a strong emphasis on power- and resource-efficient neural networks, as well as the development of neural networks on edge devices. Spiking neural net-works (SNNs), due to their event-based nature, are one of the most promising types of neural networks for low-power applications. To accelerate and ease the deployment of SNNs on edge devices, this thesis presents a configurable digital neuromorphic hardware generator for heterogeneous computing that is capable of generating resource-efficient SNN processing cores. The proposed hardware generator is de-veloped using SpinalHDL, a high-level hardware description language (HDL), which provides a high level of flexibility in hardware generation. Our generator supports the configuration on various parameters and is capable of generating a tree-structured multi-core architecture of heterogeneous cores. The generator is deployed in a sensor-fusion hand-gesture classification use case, for which the configurability of our hardware generator is a key enabler.","Neuromorphic hardware; Spiking Neural Network (SNN); Hardware Accelerator","en","master thesis","","","","","","","","2025-12-21","","","","Electrical Engineering","",""
"uuid:5012bc3d-cece-44ea-850a-1356df1fe5dc","http://resolver.tudelft.nl/uuid:5012bc3d-cece-44ea-850a-1356df1fe5dc","Magnetoencephalography to image the influence of different spinal cord stimulation paradigms on somatosensory evoked responses","Luijten, Janne (TU Delft Mechanical, Maritime and Materials Engineering; Erasmus MC)","de Vos, C.C. (mentor); Schouten, A.C. (mentor); Frankema, S.P.G. (mentor); van de Ruit, M.L. (graduation committee); Delft University of Technology (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution); Universiteit Leiden (degree granting institution)","2023","b>Introduction
Chronic pain is an increasing problem in terms of prevalence and disease-related costs. Due to its complexity, it is difficult to treat. Spinal cord stimulation (SCS) is a neurostimulation therapy with a relatively good success rate for patients with severe, intractable chronic pain. The mechanisms of action (MOAs) of SCS are considered to rely on spinal and supraspinal mechanisms. It has been suggested that newer SCS paradigms, such as burst SCS, may act through different MOAs than the traditional tonic SCS paradigm. Tonic and burst SCS are both postulated to act on the lateral pain pathway, which is associated with the location and character of a stimulus, whereas burst SCS is postulated to additionally act on the medial pain pathway, which is associated with the emotional/attentional processing of a stimulus. Somatosensory evoked responses (SERs) can be used to evaluate the processing of somatosensory stimuli and may aid in the unraveling of the MOAs of SCS.
Aim
The aim of this thesis is to assess how burst and tonic SCS affect the supraspinal SERs elicited by non-painful transcutaneous electrical stimulation of the tibial nerve as well as of the median nerve. The two distinct SERs are evaluated using magnetoencephalography (MEG).
Methods
26 chronic pain patients treated with SCS underwent MEG sessions after receiving tonic and burst SCS for one week. Four of these patients additionally underwent a MEG session before SCS treatment. During each session, approximately 200 non-painful electrical stimuli were applied to the median nerve as well as to the tibial nerve to elicit SERs. The SERs were compared in various cortical and subcortical regions of interest (ROIs). The following comparisons were made: 1) SERs in chronic pain patients before SCS implantation versus SERs in the same individuals during SCS, 2) SERs elicited by tibial nerve stimulation versus SERs elicited by median nerve stimulation, 3) SERs during tonic SCS versus SERs during burst SCS, and 4) the SERs in four case studies of two good and two poor responders to the tonic and/or burst SCS paradigms.
Results
22 patients were included for analysis. The number of patients varied among comparisons to facilitate within-patient comparisons. The results suggested an inhibitory effect of SCS on the SER elicited by tibial nerve stimulation, whereas the amplitude of the SER elicited by median nerve stimulation tended to increase during SCS. For both the SERs elicited by tibial nerve and by median nerve stimulation, the SER amplitudes were predominantly higher during burst SCS compared to tonic SCS. Differences in SER amplitude that were observed in the case studies did not correlate with pain relief.
Conclusion
The results suggested a spinal MOA of SCS on the SER, however, supraspinal MOAs likely play a role as well. The results did not suggest that burst SCS additionally acts on the emotional/attentional processing compared to tonic SCS. No evidence was found to support a correlation between the effect of SCS on the SER and the effect of SCS on the pain, underscoring the complexity of the relationship between somatosensory processing and pain perception in the context of SCS.
A statistical analysis was made on haul truck accidents from 1983 until 2022. The accidents that proved to be most relevant were included in the content of the training software. A training software was developed using Unreal Engine 5, where trucks could be driven using a Thrustmaster T150 Pro steering wheel and driving pedals. 18 participants from ages 19-65 underwent the training program and two tests of identical difficulty were taken before and after undergoing the training, in order to make a judgement on the progress of the participants by undergoing the training simulation. Participants were judged based on the number of crashes and traffic violations committed, attempts required to complete the test, as well as the time taken to finish the test. A score was calculated based on these factors providing an overall judgement of the participants’ level of skill.
Average skill of participants increased by undergoing the gamified training, and training showed particularly good progress for underperformers in the initial test. After completing the gamified training the average score of participants was notably higher and the standard deviation was notably lower. It was concluded that all participants were brought to a comparably high level after completing the training.
The proposed workflow involves an extensive literature review of current and near-future decarbonisation technologies to replace diesel equipment and to create a haulage network based on block model data using the software Xpac Quarry solutions and its Haulnet package. Diesel equipment is imported from the Quarry solutions database and used as a base case to compare BEV and trolley assist simulations. Hydrogen and HVO fuel consumption have been calculated manually to obtain NPVs and associated emissions. An investigation into required infrastructure and energy requirements has been done to accurately define costs and resulting total CO2eq emissions.
The results indicate that replacing diesel at Ipoh with any of the decarbonization methods will result in a negative NPV as the infrastructure requirements need extensive capital investment of which the payback period often exceeds the life of mine. The least expensive method to implement HVO fuels, followed by BEVs and green hydrogen. Furthermore, it has been found that using BEVs instead of diesel at Ipoh is more environmentally polluting because producing 1 kWh of electricity is more polluting than producing the same energy worth of diesel. Green hydrogen is the least polluting method with no greenhouse gas emissions associated with it, followed by alternative fuels. When investigating the technological feasibility of the three main decarbonization options, hydrogen is the furthest away of being technologically feasible as from now. No green hydrogen using water electrolysers has been produced at an industrial scale, and a lack of technical expertise and infrastructure is present regarding distribution, transportation, and storage. Currently, no hydrogen LHD equipment is commercially available currently, and the same applies to BEVs that could replace the current equipment used at Ipoh. To bridge this gap, alternative fuels are the best option, but the market is competitive, and supply is limited. This project has given a good overview of current possibilities and clearly shows today’s options for decarbonisation and where industries stand with respect to infrastructure and equipment.
A software solution integrated in Blender (Blender Foundation, The Netherlands), has been developed to generate semi-automatic preoperative plans. The software optimizes one to three closed wedge osteotomies, by minimizing the dissimilarity from a deformity shape after osteotomy, to a target shape. The deformity shape is the centreline of the deformed femur. The target shape in this study is the centreline of an intramedullary nail.
A cost function was created that makes use of the Hausdorff distance and the root mean squared error to quantify the dissimilarity between the deformity shape after osteotomy and the target shape. Clinical constraints to the model are femoral length and collum anteversion angle. Using a multi-objective genetic algorithm the cost function is minimized within the bounds of the set clinical constraints.
To validate the method of semi-automatic generation, 20 bone models of deformed femora have been created from retrospectively collected CT data. The proposed solution was used to generate preoperative plans, which were scored by two independent assessors. After the creation of an initial preoperative plan and a maximum of two revisions of the plan, 18 of 20 semi-automatically generated preoperative plans were eligible for surgery. Four cases of femoral deformity were randomly selected from the dataset and counterparts to the existing automatic preoperative plans were created manually. 30% to 575% more time was needed to design a preoperative plan manually compared to the semi-automatic generation. In all preoperative plans in the automatic group, the collum anteversion angle of the postoperative configuration was within the normal range of 8-15 degrees. In the manual group, one of four postoperative configurations had a collum anteversion angle within this normal.
The proposed method for semi-automatic preoperative plan generation is a novel, versatile approach with the ability to optimize multiple osteotomies to mimic a given target shape. The automatic planning tool is a promising aide to both technicians and clinicians for a fast preoperative planning withing the boundaries of clinical anatomical normal ranges.
However, the transition of academic research knowledge into practical design information often faces obstacles (Zielhuis et al., 2022a). Challenges include effectively teach-ing freshmen (Hoadley & Cox, 2008), selecting the right formats, and successfully conveying academic knowledge in a practical context (Stappers and Giaccardi, 2017). As a result, despite the fact that the primary research potentially enables healthcare designers to enhance the digital patient experience, whether practitioners can benefit from these academic findings is still unclear. Therefore, to further transfer the primary research to support design education and best practices for improving patient experience in digital health, as well as increase the accessibility and applicability of the primary research (Daniluk and Koert, 2015; Cook, D. A., 2007), an exploration starts.
Desk research and literature research are done to define the project’s scope and make a concrete goal. Design guidelines are considered since they play a crucial role in leading designers to success. Through learning and following effective design guidelines, designers can significantly enhance the quality of their design outcomes (Fu, Yang, & Wood, 2016). To transform the primary research into design guidelines, nine qualities should be considered in the creation and evaluation process. Additionally, the website is an appro-priate method of transferring information (Daniluk and Koert, 2015; Cook, D. A., 2007). When creating a website, usability, accessibility, and consistency need to be considered.
It is defined that the primary research should be transformed into design guidelines based on a website platform, the design goal therefore is defined as: To transform the primary research into a good and usable web-based design guide, enabling healthcare designers to utilize the primary research to improve the digital patient experience. To achieve this goal, design activities should be conducted to ensure the website’s usability, consistency, and accessibility, with the guide content’s clarity, efficacy, and credibility.
Through design activities such as walkthroughs and case studies, an initial minimum viable (MVP) website is developed. An evaluation workshop makes clear that the website partially meets
its design objectives and suggests a need for improvement in content clarity and efficacy and website usability. Following this feedback, an iteration is developed, resulting in a complete website design. A small-scale usability test validates the in-crease in content clarity, credibility, and efficacy, with overall usability slightly declining. Hence, the website is iterated again.
In conclusion, there was proof that the final design met the goal of having expected clarity, efficacy, credibility, color accessibility, and internal and external consistency. The final SUS score was 59.5 out of 100, which was acceptable but implied room for improvement (Bangor, Kortum, & Miller, 2009).","Digital Patient Experience; Website Design; UX Design","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:cff2dcbb-25be-49c9-89ff-5b4e46dfa66e","http://resolver.tudelft.nl/uuid:cff2dcbb-25be-49c9-89ff-5b4e46dfa66e","Robust Line Detection and Association in Piping and Instrumentation Diagrams","Fung-A-Jou, Xavier (TU Delft Mechanical, Maritime and Materials Engineering)","Hellendoorn, J. (mentor); Kok, M. (graduation committee); Ibrahimli, N. (mentor); Delft University of Technology (degree granting institution)","2023","Piping and Instrumentation Diagrams (P&IDs) are graphical representations utilized in chemical engineering plants. Due to confidentiality reasons and legacy drawings, these diagrams are sent in PDF format. Piping engineers need to make a material take-off (MTO), a document containing all the components of a P&ID from these drawings. Today, this is done manually, which proves to be time-consuming and laborious. A piping engineer spends approximately 36 hours per 10 P&IDs, with an average of 500-1000 P&IDs per project. Given the expertise and value of process engineers, this manual counting process incurs substantial costs and a repetitive workload. Consequently, there is a growing motivation to automate this process.
In response, this thesis introduces an innovative deep learning model, PandID-Net, designed specifically for P&IDs. PandID-Net uniquely integrates symbol detection, line detection, and text recognition into a single model, diverging from previous methods that relied on separate models and rule-based techniques. It is the first method that uses deep learning for the line detection task in P&IDs. This all in one approach not only simplifies the processing pipeline but also enhances computational efficiency in detecting and pinpointing symbols, lines, and text, as well as their interrelationships.
The optimal configuration of PandID-Net is found by an ablation study where the performance of individual components is tested in isolation. This optimized configuration is then evaluated and benchmarked against a prior study by Paliwal et al. on the same dataset. PandID-Net achieves a performance in F1 scores of 92.89 and 94.48 for line detection and keypoint detection respectively
An example of a promising service involves the application of shared bicycles with hubs at all key locations in the service area and various hubs in the neighbourhood (back-to-many). Anticipating on the requests of residents and businesses. The service is connected to all municipalities in the area, with an emphasis on connecting at least one large town or city. If this collaboration is established, shared e-bikes are introduced, primarily focused on distances exceeding 3 kilometres. Finally, the system can be complemented with shared mopeds, limiting their use to a few hubs per municipality. This allows for effective control of usage and minimizes disturbances. Limiting the number of locations makes it possible to create physical parking facilities for mopeds, which contributes to mitigating inconvenience. However, every situation is unique, this thesis provides guidance on the approach to be considered for different scenarios.","Shared micromobility; Shared bicycles; Shared e-bikes; Shared mopeds; Suburban; MNL; Survey; Expert interviews; Stated preference experiment","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:0c674754-1e89-4857-a96e-f7508e2dda71","http://resolver.tudelft.nl/uuid:0c674754-1e89-4857-a96e-f7508e2dda71","The impact of hydropower development on silt and clay loads in the Mekong Delta","Cornielje, Floor (TU Delft Civil Engineering & Geosciences; TU Delft Water Management)","Blom, A. (mentor); Sloff, C.J. (graduation committee); Bogaard, T.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The hydropower development in the Mekong Basin affects the silt and clay concentrations in the river which has ecological as well as socio-economic effects on the Mekong Delta and its inhabitants. Therefore, the first objective of this research was to obtain a better understanding of the effect of hydropower development on the silt and clay load in the Mekong Delta. Subsequently, the objective was to determine possible sediment management strategies to mitigate the impacts on the silt and clay load in the Mekong Delta.
A conceptual model was developed and applied to analyse these impacts. By means of a sediment balance model with distributed sediment yield and individual trapping efficiencies of dams the annual sediment load has been determined.
This research has shown that the annual silt and clay load downstream has decreased from 140 Megaton ± 40 [Mt] before hydropower development to 26 ± 9 Mt in 2020. Including future hydropower dam projects, this could further decrease to 4-8 ± 3-5 Mt in 2040. Approximately 4% of this sediment load will deposit in the Mekong Delta and therefore it is predicted that the clay and silt deposition will be close to zero in the future.
By 2040, approximately 75% of the total trapped sediment load is trapped in mainstream dams even though they account for 16% of the total dams. The majority (50%) will get trapped in Chinese dams that account for 9% of the total number of dams. This means that almost all sediment coming from China is trapped and will not reach the Mekong Delta. Around 80-85% of the future sediment load that will reach the delta has its source in the 3S region.
This reduction has implications for the sustainability of ecosystems and the productivity of the Mekong Delta. Clay and silt are nutrient rich alluvial sediments that support the ecosystems in the floodplains and delta. These nutrients are crucial for the soil fertility vital for rice cultivation and for various biological processes for fish. Today, nearly 80% of the 20 million people living in the Mekong Delta depend on the river system for their livelihood. However, the rice cultivation is expected to decrease significantly and by 2040, the loss of fisheries could cost close to $23 billion.
Thus, the computed reduction displayed that sediment management strategies are inevitable to maintain the productivity of the Mekong Delta in the future. Therefore, two sediment management strategies were reviewed in this research: cancelling planned dams and reservoir sluicing. Reservoir sluicing is passing incoming sediment-laden water through the reservoir by discharging high flows to enable sediment to move past the dam without depositing.
The analysis of these two strategies showed that the maximum sediment load increase is 1-3 Mt as a result of cancelling planned dams and 2-3 Mt due to reservoir sluicing. This means a very small increase for the deposition in the Mekong Delta (4% deposits). Therefore, the sediment load increase was considered unsatisfactory, suggesting that these strategies are not providing the solution for the Mekong Basin.
The results indicate that effective sediment management strategies are urgently needed and that more research is needed into the effects of other strategies on the silt and clay load in the Mekong Delta.
This project set out to investigate the accuracy of the MCP procedure under different configurations. Three comparative studies have been done in this project. Firstly, the attainable accuracy with either nearby MET-station data or ERA5 reanalysis data as a long-term reference source is assessed. Secondly, the use of different regression types for forming the relationship between target and reference data in the MCP procedure is assessed. Lastly, the accuracy achieved with standard MCP is compared to that achieved with a new wind resource estimation method, the method of analogs. The accuracy of the different configurations is assessed through the ability to accurately predict a period of wind speed values measured at 35 sites located in different terrain types. The predictions are evaluated using metrics such as the coefficient of determination, the root mean square error, the mean absolute error and the mean bias error.
This study found that ERA5 reanalysis data can serve as a reliable alternative to observed MET-station data. Generally, using ERA5 reanalysis data as a reference source always led to more accurate predictions then a MET-station reference source if the Pearson correlation between target and MET-station is lower than 0.8, and for offshore targets. If the Pearson correlation between target and MET-station reference is higher than 0.9, the achieved accuracy with either the MET-station or ERA5 data as a long-term reference is similar and depends on specific site conditions. In terms of regression methods it was found that the Matrix method, using the target site sectors for determining the regression parameters, generally outperforms other regression methods in terms of accuracy when determining the mean wind speed. Lastly, the method of analogs, a recently developed wind speed estimation method, yielded a similar prediction accuracy to standard MCP.
It should be noted that the different regression methods in employed in MCP all exhibited very similar prediction outcomes, with an average absolute difference in the predicted mean wind speed between the best and worst performing regression methods of only 0.046 m/s. Furthermore, the performance of the method of analogs in terms of accuracy improves with a longer concurrent period during which the relationships are formed. This project employed relatively short concurrent periods for certain targets, which may have contributed to sub-optimal performances of the method of analogs.","MCP; measure-correlate-predict; wind resource assessment; method of analogs; wind energy","en","master thesis","","","","","","Double degreein Electrical Engineering | Sustainable Energy Technology and Aerospace Engineering | Wind, Power and Economics","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:bec1494e-96a3-4cd5-b88e-32b5c6b3ce9c","http://resolver.tudelft.nl/uuid:bec1494e-96a3-4cd5-b88e-32b5c6b3ce9c","Sustainability Integration in Engineering Practice: A Comparative Life Cycle Assessment Study for the Case Study of a Wing Rib","Bakshi, Jaskrit (TU Delft Aerospace Engineering)","Villegas, I.F. (mentor); de Bruijn, Thomas (mentor); Bergsma, O.K. (graduation committee); Sinke, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Having seen exponential growth in demand for air travel, the aviation industry has found itself trying to find a balance between economic growth, technological development, and environmental sustainability. This saw a shift in attention towards materials such as fiber reinforced composites, predominantly thermoset in the past with higher strength-to-weight fractions. Relatively recent was the introduction of high-performance fiber reinforced thermoplastic polymer composite materials possessing more promising prospects of circularity in addition to the lightweighting capabilities. But as is, these only form for qualitative claims with no indication on how the ecological effects would pan out over the life cycle phases objectively, as well as on a relative scale.
Extending beyond the orthodox considerations and measures of aircraft performance, life cycle assessment studies encompass a comprehensive analysis of the environmental impact associated with aerospace products through the various phases of their life cycle including material extraction/production, manufacturing, operation, and the respective end-of-life treatment. The primary objective is to quantify the environmental impact of the system, offering a holistic view of the emissions, energy demand, and resource consumption.
To this end, this study constructed a comparative environmental profile, modelling for five material/manufacturing systems, namely numerically machined aluminium alloy, autoclave cured and resin transfer molded carbon fiber reinforced epoxy, autoclave consolidated, and press consolidated carbon fiber reinforced Polyetherketoneketone (PEKK) over the cradle-to-gate and the cradle-to-end of service phases in an attempt to find the best variant from an environmental perspective, while also adding a novel, semi-quantitative, robust framework of data quality assessment to the state-of-the-art.
The characterization results, under the assumption of each scenario yielding a product of the same mass and equal importance being given to each impact category (equal weighting), indicated the press consolidated carbon fiber reinforced PEKK product to be the scenario with the lowest impact over the cradle-to-gate (including only material production/extraction and product manufacturing). Over the cradle-to-end of service phases (including material production/extraction, product manufacturing, and the operational phase of the aircraft), the operational phase was observed to have an exponentially larger impact compared to the other life cycle phases causing the comparative profile to homogenize. This was reiterated by outcomes of the performed contribution analyses. Sensitivity analyses were conducted to explore the environmental benefits of lightweighting and processing waste optimization (buy-to-fly ratios quantifying the relative, quantitative benefits of lighter products and leaner manufacturing systems.
The objective of this research is to determine the optimal retention time for the biofilter to achieve the best water quality while maximizing the total amount of water to be recharged by controlling the pump. This thesis includes water quality measurement and water quantity simulation. In the water quality part, the performance of the biofilter at different retention time (2, 6, 16, and 24 hours) is evaluated in terms of the removal efficiency of turbidity, nutrients (nitrate and phosphorus), dissolved and particulate metals (Mn, Ca, Mg, Ba, Zn), UV254 and DOC with two different influent types (surface water and stormwater). In the water quantity part, Cromvliet Park, with an 8000 m2 collection area, was modelled using Python and SWMM to operate the biofilter with various retention time under one year of rainfall data (total rainfall 731mm). This modelling was done to calculate the total water volume available for recharge. The sections on water quality and quantity were integrated by controlling and evaluating the biofilter based on the retention time.
The results indicate that the efficiency of the biofilter's pollutant removal is influenced by several factors. Turbidity (40-90%) is effectively removed by the filtration in the biofilter. Nitrate removal efficiency was 12-49% with short retention times (2-6 hours) but fluctuated with longer durations (16-24 hours), decreasing to -84-13% and then changing to -6-0%. Measurements of dissolved oxygen in the effluent indicated that an increase in nitrate removal efficiency due to nitrification happened with longer retention time. For dissolved zinc, removal efficiency ranged from 10-60% with stormwater influent and 0-100% with surface water because of the adsorption competition of metals. Combined with the water quantity calculations performed in SWMM, it is advisable to set the optimal retention time for the biofilter at 4–6 hours. This duration allows for the maximization of water reuse while maintaining high nitrate removal efficiency.","Bluebloqs; Biofilter; Retention time; SWMM","en","master thesis","","","","","","","","2024-12-20","","","","Water Management","",""
"uuid:33f52b32-3128-4bc3-942a-4e31e849430b","http://resolver.tudelft.nl/uuid:33f52b32-3128-4bc3-942a-4e31e849430b","Modelling and sizing of an offshore hydrogen production value chain connected to the Port of Rotterdam","Breedijk, David (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Wijk, A.J.M. (mentor); Lukszo, Z. (mentor); de Jong, W. (graduation committee); Zaaijer, M B (graduation committee); Frowijn, L.S.F. (graduation committee); van der Heijden, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","As part of the Dutch government’s ambitions to realise significant offshore wind energy capacity (70 gigawatts (GW) by 2050), the Port of Rotterdam is to become a hydrogen hub for North-Western Europe. To achieve this, it is expected that around 20 megatonnes (Mt) of hydrogen could be throughput in the Port of Rotterdam, out of which 2 Mt will be produced locally from offshore wind farms. Current offshore wind farms use high voltage electricity cables to transport energy to shore, but could, in the future, also transport energy in the form of hydrogen to shore via a transport infrastructure.
The objective of this thesis is to investigate the techno-economical feasibility of an offshore hydrogen production value chain connected to the Port of Rotterdam. The technical analysis gives insights into the possible technologies that can be used for the offshore value chain. It will also look at the technical feasibility of system integration in the Noth Sea's energy system. The economic analysis gives insights into the economic feasibility of the offshore hydrogen production value chain. The total costs are of importance, as well as the levelised cost of hydrogen.
In this thesis, a qualitative literature study maps the different possibilities per component of the value chain. A decision framework is then used to discuss the best possibility per component and to build three promising designs. These designs are then modelled in MATLAB to size their components. With the model’s output, a cost analysis can be done to determine whether such a value chain would be feasible compared to other ongoing projects. A special focus will be put on the Port of Rotterdam, to which the value chain will be connected.
The offshore decentral configuration is found to be the best-performing design based on assessments through a multi-criteria decision analysis, a technical model and a financial model. It is, therefore, the most promising design.
This project aims to develop and characterize a green and sustainable bio-composite system, to overcome existing application challenges. The constituent materials include a bio-resin extracted from seaweeds and Unidirectional Flax fibers as reinforcements to stiffen and strengthen for semi-structural applications. Although alginates have been studied in-depth for their biomedical applications, their potential as a bio-based matrix for biocomposites has not yet been explored.
In addition to the materials used in the composite preparation, the processing technique significantly impacts the final properties of the composite. Therefore, in the first half of the work, thorough research was conducted to study the constituent properties for their processing. The second half of the work defined a new approach to manufacturing bio-composites from natural constituents. The corresponding analysis useful for product design are thoroughly demonstrated in this thesis.
However, using natural fibers in composites and water alginate soluble matrices has three main concerns: the fiber/matrix interaction and their sensibility to moisture absorption and residual water within the composite structure. Their surfaces can be modified using physical and/or chemical methods to improve the bonding between fibers and matrix. In most studies cited in the literature, the chemical modifications employed are synthetic and toxic. It would be ideal if the chemicals used to modify natural fibers were bio-based and preserved the biodegradable nature of natural fibers.
The development of an optimized seven-step manufacturing approach is a key innovation for water removal, to enhancing fiber reinforcement and boosting overall composite performance, particularly in the use of flax fibers. This approach is notable for its novelty and challenge, as evidenced by the limited literature on the subject. It focuses on achieving sufficient impregnation by utilizing low water percentages in resin, specifically around 7\%, and applying appropriate consolidation pressure and temperatures. The most effective results were observed at 5 MPa and 95°C, which facilitated homogeneous plasticization and mouldability. Additionally, the method of wet/dry cycling, incorporating pre-soaking and heating, has proven beneficial in providing dimensional stability to flax fibers and limiting water absorption, further contributing to the technique's effectiveness.
Analytical techniques like microscopy and SEM reveal promising compatibility between components. No degradation of the bio-resin or the fibers relatable to the heat press was identified.
Finally, the research aimed to establish a meaningful relationship between the critical process variables and the properties of the bio-composite, with the ultimate goal of optimizing the production process and enhancing the quality of the final product by varying the fiber volume fraction within the range of 41-47\%.
The maximum tensile and flexural strengths achieved were 219MPa and 56Mpa, respectively. The elastic tensile and bending moduli in the composites were approximately 6.64GPa and 1.83GPa, respectively.
However, the observed properties fell below the predicted values for the biocomposite system, which were calculated using the rule-of-mixtures and Halpin-Tsai methods. Nonetheless, the experimental data confirm that these biocomposites can be used as secondary structural elements. The observed discrepancy was due to the presence of huge voids further leading to poor adhesion.","biocomposites; Green composites; biodegradable","en","master thesis","","","","","","","","2024-06-30","","","","Materials Science and Engineering","",""
"uuid:219a5430-cc06-4afa-a680-ab1659cd5396","http://resolver.tudelft.nl/uuid:219a5430-cc06-4afa-a680-ab1659cd5396","Cell Micro-Rheology Under Hypergravity Conditions","van Rijthoven, Sharon (TU Delft Mechanical, Maritime and Materials Engineering)","Koenderink, G.H. (mentor); van Loon, Jack (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:623636cb-6954-4a9f-8ff3-fcb9dd0f22c5","http://resolver.tudelft.nl/uuid:623636cb-6954-4a9f-8ff3-fcb9dd0f22c5","Daily Life Activity Recognition with a Head Mounted IMU on Older Adults: Which Features to Extract?","Raizman, Omri (TU Delft Mechanical, Maritime and Materials Engineering)","van der Kruk, E. (mentor); Raman, C.A. (graduation committee); Waterval, Niels (mentor); Delft University of Technology (degree granting institution)","2023","As the population aged 65 and above increases, falls among these older adults emerge as a significant public health concern, leading to disabil- ities and economic burdens. Preventative strategies and personalized fall risk assessments are essential for mitigating fall risks. Human Activity Recognition in early fall risk detection by monitoring everyday ac- tivities in older adults could assess patients fall risks. However, current literature has overlooked the older adult demographic by only measuring adults younger than 65, under representing the older population. This research specifically focuses on identifying key features from head-mounted Inertial Measurement Unit (IMU) data using machine learning to classify Sit-to-Walk (STW) and Walk-to-Sit (WTS) move- ments, which are commonly associated with high risk of fall. In addition these movements can be essential in monitoring changes in performance to asses fall risk. We analyzed five activities STW, WTS, Sitting, Swing phase, and Others. Using three feature selec- tion methods (Mutual Information Gain, ANOVA, Recursive Feature Elimination) on 116 extracted fea- tures we were able to rank the features and select the top ten. The study then evaluated the accuracy of three classifiers (Logistic Regression, Random For- est, and K-Nearest Neighbor or Support Vector Ma- chine) with these features. Results indicated that the ANOVA and Random Forest classifier combination achieved the highest total accuracy of 95%, with Ran- dom Forest performing exceptionally well in STW and WTS classifications, reaching up to 81% accu- racy. Commonly selected features across all methods included the accelerometer’s maximum x-axis mea- sured and its energy in both time and frequency do- mains. This model’s performance is comparable with existing literature and validates its effectiveness in fall risk detection.
Trace visualizations have been used to analyze user behaviors. Visualizing traces of previous users unlocks possibilities of enhancing curiosity and meaningful exploration of the space, which could inspire more association about use scenarios and a deeper understanding of the spatial properties, and eventually benefit the evaluation outcomes.
This project explored the influences of visualizing human traces on users’ explorative behaviors in virtual reality space. We started with conducting interviews with urban designers to understand the utilization of traces in the processes of public space design and their perspectives regarding implementing trace visualizations to support public space design evaluation. Insights from the interview informed the selection of traces for the experiment including user pathways, gathering situation, full-body motion, and space atmosphere. Subsequently, we integrated trace visualizations into a VR shopping street and conducted the experiment with 20 participants to evaluate the impacts of each trace visualization on user exploration. The outcomes from interviews and operational activities undertaken by participants revealed exploration patterns associated with each type of the trace visualizations and related findings concerning user perceptions of the trace visualizations. The project concluded with the implications for implementing trace visualizations in VR environments and the limitations of the research.","Virtual Reality; Trace; Visualization; Explorative Behavior; Urban Design; Public Space Design Evaluation","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:a1123f0a-3995-4675-8ef4-02c0fe5a4686","http://resolver.tudelft.nl/uuid:a1123f0a-3995-4675-8ef4-02c0fe5a4686","Multimodal city logistics using waterways in Amsterdam: proof-of-concept of a two-echelon distribution network","Bijvoet, Bas (TU Delft Mechanical Engineering)","Atasoy, B. (mentor); Karademir, C. (mentor); Ludema, M.W. (mentor); Jovanova, J. (graduation committee); Meindertsma, Thomas (graduation committee); Vernooij, Thomas (graduation committee); Delft University of Technology (degree granting institution)","2023","This study focuses on two-echelon synchronized logistics in a multimodal distribution network for integrated road-based and waterborne transportation motivated by practical city logistics challenges. To evaluate the feasibility and requirements of a multimodal transport system as a potential future logistics solution for supplying hotels, restaurants, and cafés (HoReCa) in the city center of Amsterdam, we propose a methodology to evaluate the effect of various satellite service network designs, fleet sizes of vessel and street vehicles, and city access time window constraints (permitted working hours that can be set by the municipality through policies). To tackle the complexity of the problem, a decomposition-based heuristic is developed to solve large-size instances for a rich 2E-VRP variant. The decomposition-based heuristic consists of three main phases: a location phase for determining satellite locations, an allocation phase for establishing assignments of satellites and customers, and a routing phase for solving the routing problem given operational settings. In addition, we propose various modeling approaches to represent system designs with different operational limitations in practice. First, we consider two transshipment types: one-to-many and one-to-one transshipments. The transshipment type is related to satellite resources in terms of space availability and lifting capabilities. Secondly, we propose two simulation-optimization frameworks for iteratively configuring the workload of and workforce at satellites. We demonstrate the methodology by conducting a case study for supplying over 1600 HoReCa in the city center of Amsterdam. The results indicate that balancing the workload of satellites is critical for scenarios with few permitted working hours. By configuring the workforce at satellites, a good balance between the number of vessels, street vehicles, and street-level distance is found for scenarios with more permitted working hours. We show that the transshipment type significantly affects the service level and system requirements to meet customer demand, with a sensitivity analysis on transshipment times at satellites indicating significant benefits for reduced transshipment time in the case of one-to-one transshipments. Moreover, the computational experiments show several trade-offs between the required number of satellites, vessels, street vehicles, and permitted working hours to meet customer demand.","Two-echelon vehicle routing; City logistics; Multimodal transportation; Service network design; Simulation-optimization","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:39040fef-68a7-41d1-8c12-8352cc45cc6b","http://resolver.tudelft.nl/uuid:39040fef-68a7-41d1-8c12-8352cc45cc6b","The introduction of augmented reality: At the Oral & Maxillofacial Department of the University Medical Center Utrecht","Nicolai, Noa (TU Delft Mechanical, Maritime and Materials Engineering)","van Walsum, Theo (mentor); Kortes, Joël (graduation committee); Kienhuis, Maartje (graduation committee); Janssen, Nard (mentor); Benmahdjoub, Mohamed (graduation committee); Delft University of Technology (degree granting institution)","2023","The complexity and interdependency of hospital activities make it challenging to seamlessly integrate new technologies into clinical routines, especially with various stakeholders involved. Considering contextual factors when implementing innovations has been recognized as critical to success. This highlights the significance of an approach that focuses on integrating technology with the existing healthcare culture and motivating the context to change, rather than focusing on technology alone. Therefore, the aim of this thesis is to provide a method to ensure the clinical context is considered when developing a new technology. This approach is put in practice by utilizing it to introduce augmented reality (AR) at the oral and maxillofacial surgery (OMFS) department of the University Medical Center (UMC) Utrecht, to provide new insights on how to better align the technology with clinical needs and to familiarize and motivate the medical team with the technology. This is done in close collaboration with the UMC Groningen. Currently, the UMC Groningen, UMC Utrecht, and the Center for Information Technology (CIT) of the University of Groningen are developing an AR surgical navigation system. The aim of the introduction is to provide valuable information for the further development of the system and to prepare and involve the clinical environment.
The approach used in this thesis is based on human-centered design and design-thinking. Furthermore, key factors for successful adoption found from previous research are considered. The approach consists of four main components. The first is to assign a med-tech innovation coordinator; someone with both a medical and technical background who will be responsible for driving adoption. Furthermore, it is an iterative three-step process: 1) Gain understanding; 2) Share understanding; 3) Actively engage stakeholders.
The application of this approach to introduce AR at the OMFS department of the UMC Utrecht has resulted in multiple positive effects. In clinical context it resulted in an understanding of the technology and its value, motivations to invest time in the system, and the establishment of a shared future perspective on the implementation of AR. Furthermore, valuable input from a clinical perspective is obtained, including confirmation of valuable system elements and new ideas/insights for the further development of the AR navigation system.
In conclusion, this thesis provides guidance for departments seeking to adopt new technologies based on a practical example. The methodology provides activities that facilitate the early introduction of technologies, ensuring the active involvement of the clinical context.","Augmented Reality; Surgical Navigation; Development; implementation","en","master thesis","","","","","","","","2025-12-01","","","","Technical Medicine","",""
"uuid:a583e92a-cfb6-4249-8875-94acc52e7260","http://resolver.tudelft.nl/uuid:a583e92a-cfb6-4249-8875-94acc52e7260","Constraining the Ephemeris and Interior Structure of Io using Space-Based Astrometry by JUICE","Zenk, Kai (TU Delft Aerospace Engineering)","Dirkx, D. (mentor); Fayolle-Chambe, M.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Owing to the assumed presence of sub-surface oceans, the Galilean satellites - Io, Europa, Ganymede, and Callisto - are among the most promising candidates for potential extraterrestrial habitats within our Solar System. To this end, the moons are going to be extensively studied by the upcoming JUICE and Europa Clipper missions. Ultimately, understanding the dynamics of the Jovian system is a means to shed light on the general existence and stability of these presumed habitable worlds as well as the formation and evolution of the entire Solar System. Yet, while the dynamics of Ganymede (in particular via the orbital phase of JUICE) and Europa (mainly via the various flybys of Europa Clipper) are going to be observed to an unprecedented level of accuracy, the absence of flybys of Io due to its harsh radiation-environment results in a significantly imbalanced data set. To stabilise the numerical data inversion, spaced-based imaging by the camera subsystem of JUICE is crucial to constrain the dynamics of Io.
However, while the analysis of orbital dynamics is usually performed with respect to the centre-of-mass (COM) of natural satellites, optical space-based astrometry provides measurements of the position of a body's centre-of-figure (COF), introducing a discrepancy between the dynamical and observational model. Explicitly accounting for the offset between the observed centre-of-figure and the propagated centre-of-mass during ephemeris estimation, however, ensures the consistency of the dynamical and observational model. In turn, this allows us to assess the extent to which optical space-based astrometric observations might either validate the merely indirectly obtained radio science data or contribute to the overall orbital solution of Io. Finally, obtaining a measure of the offset between the centre-of-figure and centre-of-mass yields an entirely new constraint on the interior structure and composition of Io.
In order to quantify the COF-COM-offset, we have simulated optical astrometric observations by JUICE and subsequently determined the formal uncertainties of the estimated offset using covariance analyses. Using suitably computed \textit{a prioir} covariance matrices, we have constrained our analyses to the averaged propagated formal errors of Io that would arise from the radiometric tracking set-up of JUICE and Europa Clipper. We have found that the contribution of optical space-based astrometry to the COF-COM-offset of Io and its estimated state highly depends on the observations' quantity, quality, and geometry. Thus, an algorithm for the selection epochs at which images are to be simulated based on the main drivers - the absolute uncertainties and relative geometries of a series of observations - of the formal errors COF-COM-offset has been developed. However, owing to the largely equatorial alignment of JUICE with respect to Io - observations of the in-plane contribution have been found to be obstructed by the brightness of Jupiter. To maximise the scientific return of optical space-based astrometry, in particular, astrometry during the high-inclination phase has proven beneficial.
Overall, significant constraints of the discrepancy between the centre-of-figure and centre-of-mass and the orbital solution of Io have been obtained. For an expectable number of about 1300~images being taken of Io, realistically attainable formal uncertainties in the estimated COF-COM-offset of no more than 300~metres have been obtained. Furthermore, since notable contributions to the orbital solution already occur for reasonable radio science true-to-formal-error ratios between two and five, we have concluded a high likelihood of space-based astrometry contributing to the orbital solution. This potential of space-based imaging to balance and contribute to the orbital solution of Io thus motivates future research concerning the offset between the centre-of-figure and centre-of-mass.","astrometry; centre-of-figure; ephemerides; planets and satellites: Galilean moons; methods: data analysis","en","master thesis","","","","","","","","2025-12-19","","","","Aerospace Engineering","",""
"uuid:2fd45eac-fdbf-4d30-a206-7fb06521a282","http://resolver.tudelft.nl/uuid:2fd45eac-fdbf-4d30-a206-7fb06521a282","WASP: a Wasp-inspired Surgery needle for Prostate cancer procedures: Design and prototyping of a low-friction actuation mechanism","Fung-A-Jou, Zola (TU Delft Mechanical, Maritime and Materials Engineering)","Bloemberg, J. (mentor); Breedveld, P. (mentor); Horeman, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","Prostate cancer is the second most frequently diagnosed cancer in men. A minimal invasive treatment for prostate cancer is transperineal laser ablation (TPLA), which involves inserting a needle containing a laser fibre into the prostate to induce local cell death. The ovipositor of the parasitoid wasp offers a solution to challenges in TPLA, as the wasp can penetrate substrates with zero net external force, minimising the buckling risk and tissue damage. This paper presents the WASP, a design and prototype of a manually actuated needle for TPLA. The actuation mechanism of the WASP transfers a torque while allowing a low-friction translation in the positive y-direction, enabling the needle to travel through stationary tissue. An integrated cam mechanism allows repetitive motion of the needle rods actuated by a single rotation of the urologist. Evaluation of the WASP showed successful travelling through gelatin concentrations up to 15 wt%, a stiffness comparable to human tissue. The WASP generated a small net push force (Fpush = 0.18 N) and cannot be considered fully self-propelling. Nonetheless, the net push force is notably reduced compared to manual needle insertion and effectively prevents buckling. A performance experiment showed a lower average slip ratio compared to previous studies, which may suggest that a small net push force is beneficial if buckling is prevented. Future steps contain implementing user research and a steering mechanism, to continue innovating minimally invasive surgery for prostate cancer.","Bioinspiration; TPLA; Medical; Non invasive surgery; Buckling","en","master thesis","","","","","","","","2025-12-19","","","","Mechanical Engineering","",""
"uuid:a252877d-9f6e-43b4-97bd-51422d504b9f","http://resolver.tudelft.nl/uuid:a252877d-9f6e-43b4-97bd-51422d504b9f","Design and Implementation of the Power Electronic System for the Lunar Zebro rover","Hubers, Martijn (TU Delft Electrical Engineering, Mathematics and Computer Science)","Shekhar, A. (mentor); Gagic, Mladen (mentor); Bauer, P. (mentor); Verhoeven, C.J.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The small and lightweight Lunar Zebro rover must survive in the harsh lunar environment for several Earth days following its moon landing. The mission of the rover is to map the radiation environment on the moon. The success of the entire mission depends on the Power Electronic System (PES), which supplies power to all subsystems and charges the batteries using solar panels. The current PES of the Lunar Zebro rover does not comply with all mission-specific requirements and does not perform satisfactorily when integrated into the rover. Therefore, the need for a reliable PES that conforms to all requirements arises for the Lunar Zebro rover.
In this research, the design and implementation of an efficient, compact, and redundant PES for the Lunar Zebro rover is developed. First, the optimal Direct Current (DC) bus is designed to obtain a system with the highest efficiency. This is done by modelling the efficiency of the DC/DC converters for different bus voltages and estimating the overall losses in these converters during the deployment of the rover. Moreover, the effect of the bus voltage on the size of the passive components is investigated, and the bus voltage resulting in the most compact system is obtained. It is found that a 12 V bus results in the most efficient and compact system. No additional converter is required that regulates the 12 V output, and the inductance required for each converter is decreased compared to higher bus voltages.
Besides the DC bus design, redundancy methods are compared to obtain the best tradeoff between redundancy and footprint added. The two-phase interleaved converter was found to have only an 8.59% increase in footprint compared to single-phase converters, while failure in a switch, diode, input capacitor, and output capacitor are accounted for in each converter. Finally, the mode of operation that results in the highest efficiency is obtained by designing each converter and modelling the corresponding losses for Continuous Conduction Mode (CCM) and Discontinuous Conduction Mode (DCM) operation. For both the single-phase and two-phase interleaved converters hold that operating in CCM results in a significant increase in efficiency compared to DCM operation. Moreover, the PES utilising two-phase interleaved converters is more efficient during rover operation than the single-phase counterpart. However, charging is less efficient than for the single-phase counterpart. Simulink and LTspice simulations have been carried out to verify the operation of each converter. Finally, experiments on a functional prototype are carried out to provide experimental validation of the design.","EPS; DC/DC Converter; CCM; DCM; Buck Converter; Boost Converter; Buck-Boost converter; bidirectional converter; Lunar rover; Efficiency","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:d6ca4e5b-fb44-40d1-8ee6-f420653e5870","http://resolver.tudelft.nl/uuid:d6ca4e5b-fb44-40d1-8ee6-f420653e5870","Mijn Hulplijn: An intervention to empower youngsters in decision making in (j)-GGZ therapy","Kreuk, Davy (TU Delft Industrial Design Engineering)","Pasman, G.J. (mentor); Brouwer, W. (graduation committee); Delft University of Technology (degree granting institution)","2023","Extensive waiting times for youth Mental Healthcare have a significantly negative effect on youngsters well-being. The Ministry of Public Health, Welfare and Sport (Ministry of VWS) therefore commissioned Shoshin to research the cause of waiting times in order to address them. Shoshin is a social design agency that believes waiting times are not only the result of a shortage of staff, but also of inefficiencies and ineffective elements in the youth care system. They aim to make strategic interventions to create true system change (Haarlemmer et al.).
This thesis aims to support Shoshin in their mission to reduce waiting times for youngsters. Youngsters are defined as 16 till 23 year people in this report.
Specifically, the aim of this graduation project is to come up with a design that helps youngsters get more appropriate care. According to Van der Bijl-Brouwer (2021) systemic problems (such as problems in the mental youth care sytem) are interrelated and can therefore not be solved independently. Therefore reseach was conducted in various contexts regarding the youth Mental Healthcare system:
1) The organisation involved with decisions regarding the youth care system. (Macro context)
2) The process of getting into care. (Meso context)
3) The interaction between youngsters and their therapists. (Micro context)
These analyses showed that youngsters often feel unheard and are not included in decision making. Therapists rely too much on their own vision and focus too little on background/individual factors of the youngsters. This leads to missing the core of the youngsters mental problems, which contributes greatly to ineffective and inefficient care. The analyses also showed that youth Mental Healthcare (j-GGZ) therapists are the most promising target audience to design for. In fact, other therapists - such as district members or therapists of the child and family centre (CJG) - often do not have the skills to find the core of the youngsters’ mental problems as they are often not specialized enough.
Following these analyses, the design goal has been to empower youngsters in decision making during GGZ therapy. A “Mijn Hulplijn” app was developed that helps youngsters to actually give feedback and incorporate it into therapy. The app allows youngsters to think of their own needs and wants in therapy, and helps to actually incorporate this as well. Moreover, it provides background information that is relevant for the therapist in question. Furthermore, the app offers suggestions for alternative therapies and gives an overview of the youngsters’ healthcare history. This way, the app can improve the success of the therapy and the youngster-therapist interaction. To actually make and measure this impact, this study also provided a plan for implementation of the app.","Social Design; Youth mental healthcare; Design","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:581b8540-d67b-42a0-94cc-0a2523cfd0a9","http://resolver.tudelft.nl/uuid:581b8540-d67b-42a0-94cc-0a2523cfd0a9","Aerodynamic Analysis of a Propeller-Powered Strut-Braced Wing","Beyne, Egon (TU Delft Aerospace Engineering)","Veldhuis, L.L.M. (mentor); Sinnige, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","The aerodynamic performance of a regional, propeller-powered strut-braced wing is investigated. The main wing planform and operating conditions are based on an ATR72, to which a strut and jury strut are added. The research focuses on the different drag components in cruise. The first research objective is to quantify the effect the different wing components have on the change in induced drag caused by the propeller. The second objective deals with viscous effects, such as separation and friction. At the strut-wing and strut-jury strut junctions, it is tested whether the propeller affects the size and location of any separated regions. In addition, the influence of the propeller on the skin friction drag is quantified. The final objective deals with interference and compressibility. The close proximity of the strut, jury strut and wing, combined with the increased slipstream velocity of the propeller, and the change in angle of attack caused by swirl recovery might lead to high supervelocities. The regions with the highest supervelocities are localised, and it is verified whether any supersonic flow exists.
Induced drag is calculated with a panel method (Flightstream), which allows simulating different configurations in a relatively short time. By simulating different combinations of wing elements (with and without strut, jury strut and propeller) it is possible to isolate their contributions. The research objectives about viscous effects and interference were investigated using unsteady RANS. The CFD simulation were also used to validate the panel method. In general, it agreed well with CFD. Some discrepancies were caused by the absence of vortex dissipation and an offset in the pressure distribution inside the propeller slipstream.
The propeller reduced induced drag significantly, around 58% for all configurations. The main strut had the largest effect. Both the strut itself and interference of it with the wing lead to an additional reduction of 1% in induced drag. The jury strut had limited effect. Under the influence of a propeller, it had a small induced thrust component. Interference with the main wing cancelled out this benefit. These results were obtained using unoptimised loading distributions, optimising these would increase the gains for both the conventional and strut-braced wings.
The strut-wing junction only showed separation at the strut leading edge. The local flow behaviour was not influenced by the propeller. The strut-jury strut junction also exhibited leading edge separation, in addition to corner separation at the trailing edge of the jury strut, and separation at the trailing edge of the main strut. The size of the corner separation reduced under the influence of the propeller, by favorably changing the pressure gradient on the jury strut. The net effect of the propeller on the separated region at the trailing edge of the strut was to move it inboard, by increasing the pressure gradient there, and moving the location of the horse-shoe vortex system inward. Friction drag increased by roughly 3%, insignificant compared to the reduction in induced drag.
Finally, the region most sensitive to high supervelocities was the strut-jury strut junction. The closely spaced elements, combined with the higher slipstream velocity and increased angle of attack lead to a small supersonic pocket. Due to its limited size, it is expected that using a slightly different airfoil for the jury strut can already eliminate it.
While some attention needs to be payed to junction flows and interference effects, this work has shown the advantage of a propeller-powered strut-braced wing for regional aviation, compared to conventional aircraft.","CFD; Strut-Braced Wing; regional Aircraft; Propeller; Panel Method","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:1350ae04-b325-45cc-bb89-796a5e6059a8","http://resolver.tudelft.nl/uuid:1350ae04-b325-45cc-bb89-796a5e6059a8","Consensus-Based Auction Methods with Bid Intercession for SAR","Guillet, Victor (TU Delft Aerospace Engineering)","Sharpanskykh, Alexei (mentor); Lesire, Charles (mentor); Picard, Gauthier (mentor); Grand, Christophe (mentor); Delft University of Technology (degree granting institution)","2023","This research addresses the process of task allocation in a heterogeneous multi-agent fleet through the introduction of a novel mechanism in existing decentralised consensus algorithms: bid intercession. Bid intercession refers to the principle of agents biding on behalf of other agents in decision-making architectures leveraging market-based decision strategies. The method exploits and extends existing consensus-based allocation processes through the redistribution of responsibilities in the auction process to achieve various degrees of centralisation in the task allocation process. It is demonstrated that the extension proposed allows for hybridising multiple allocation methods together and structuring the auction process (notably through embedding hierarchies and decision trees directly in the decision-making process) all the while retaining the convergence robustness and performance guarantees provided by the underlying algorithms. The Search and Rescue case study is investigated to assist in framing the research and provide a reference scenario for the application of such concepts. This concept, unexplored so far in consensus-based approaches, not only opens up a sway of coordination architectures and optimisations but also paves the way to novel ethically compliant autonomous systems while retaining essential performance and robustness properties crucial in high-stake applications.","Task Allocation; Distributed Robot Systems; Multi-Robot Systems; Auctions; Consensus","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:99d7f2f4-93c1-47af-8b00-3d56122b64f3","http://resolver.tudelft.nl/uuid:99d7f2f4-93c1-47af-8b00-3d56122b64f3","Effect of Additive Manufacturing Scanning Strategy on the Crystallographic Texture of NiTi Shape Memory Alloys","Chatzopoulou, Chrysoula (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Materials Science and Engineering)","Popovich, V. (mentor); Zhu, Jia-Ning (mentor); Tang, Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","Shape Memory Alloys (SMAs) are a class of metallic multi-functional materials which possess sensing and actuation capabilities, thanks to their unique ability to couple thermal and mechanical fields. NiTi-based Shape Memory Alloys exhibit properties which guarantee their high performance in adverse environments and, with the added benefit of two functionalities, the Shape Memory Effect and Superelasticity, these materials have become ideal candidates for a variety of applications. Spatial orientation of the NiTi crystals is crucial for achieving the superelastic functional response. According to studies on NiTi single crystals, when the grains adopt a [001] orientation along the direction of compression, slip is inhibited in the austenite phase and recoverable transformation strains similar to the theoretically estimated values of 5.3% are possible, defining the benchmark for superelastic responses. Additive Manufacturing techniques, due to their inherent thermal processing conditions, have created unprecedented opportunities for fabrication of NiTi-based Shape Memory Alloys so that the thermomechanical behaviour of the material can be tailored to any application is intended for. During Laser- Powder Bed Fusion, the scanning strategy influences the thermal processing to which the alloy is subjected, affecting its solidification process as well as the heat fluxes and temperature gradients that arise during manufacturing. Meanwhile, it can play a decisive role in achieving epitaxial solidification of columnar grains extending over multiple deposition layers. In this study, five different scanning strategies were employed during Laser-Powder Bed Fusion (L-PBF) of a Ni-rich NiTi alloy and were evaluated with respect to the grain morphology and crystallographic texture that developed, as well as the superelastic response of the samples produced. It was found that a 67° interlayer rotation of the scanning vector promotes the fusion of neighbouring melt pools, resulting in columnar grains that solidify epitaxially along the build direction (BD) of the sample. Meanwhile, a strong ⟨001⟩ fibre texture emerges along the BD. The superelastic response was stabilised at 4.5% recoverable strain with 74.2% recovery ratio after 16 cycles of axial compression loading. When an island scanning pattern was incorporated into the 67° rotation scanning strategy, the crystallographic texture strengthened and the superelastic response improved, (5.0% stabilised recoverable strain and 84% recovery ratio). Furthermore, an increase in the volumetric energy density, achieved by using a flat top laser beam, produced a nearly single-crystalline microstructure, with the highest intensity of the ⟨001⟩∥BD texture. The superelasticity in this case was stabilised at 5.5% recoverable strain with 91.5% recovery ratio. The effect of the loading direction on the superelastic response was also investigated, as was the nature of the residual strain left in the samples after their superelasticity stabilised. Therefore, this study successfully demonstrated that the scanning strategy can be a vital tool in designing the crystallographic texture and the grain morphology of NiTi parts fabricated by L-PBF, and this way, effectively tailor the superelastic functional behaviour to specific requirements of potential applications.","NiTi Shape Memory Alloys; Additive Manufacturing; Laser-Powder Bed Fusion; Crystallographic Texture; Scanning Strategy; Functional Properties; Superelasticity","en","master thesis","","","","","","","","2025-12-19","","","","Materials Science and Engineering","",""
"uuid:6ef24cf8-2dc5-4665-b581-a7c01a71f5dd","http://resolver.tudelft.nl/uuid:6ef24cf8-2dc5-4665-b581-a7c01a71f5dd","The effects of ionic strength and surface charge on O/W emulsion fouling of ceramic membranes quantified via DLVO and XDLVO models","Zhou, Hanxiao (TU Delft Civil Engineering & Geosciences)","Heijman, Sebastiaan (mentor); Chassagne, C. (graduation committee); Tanis, M.B. (graduation committee); Qin, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Large amounts of oily wastewater which included oil-in-water (O/W) emulsions, also known as produced water (PW), were produced in tandem with the enhanced oil recovery (EOR). Ultrafiltration (UF) was an effective and economic method to separate micron-sized O/W emulsions, while the membrane fouling limited its development. Understanding the fouling phenomena was essential to enhance the efficiency of membrane filtration for oil-water separation, hence this paper investigated the influence of different salinity (1 mM, 20 mM and 100 mM) and types of surfactants on the fouling of the alumina (Al2O3) membrane and the silicon carbide (SiC) deposited membrane filtrating 500 mg/L O/W emulsions with mean droplet sizes of approximately 4 ~ 7 μm, and the UF with the constant flux of 80 LMH and the crossflow velocity of 0.59 m/s was conducted. Sodium dodecyl sulfate (SDS, anionic), alkyl polyglycoside (APG, nonionic), and cetyltrimethylammonium bromide (CTAB, cationic) were chosen in this study due to the frequent use in EOR, and the N-dodecyl-N,N-dimethyl-3-ammonio-1-propanesulfonate (DDAPS, zwitterionic) was selected because of its low tendency to foul at high salt concentrations.
Derjaguin-Landau-Verwey-Overbeek (DLVO) and the extended DLVO (XDLVO) models were used to quantify the membrane-oil droplet and deposited oil layer-oil droplet surface interaction. The results showed that the SiC-deposited membrane had less membrane fouling and irreversible fouling resistance compared with the Al2O3 membrane when filtrating O/W emulsions stabilized with SDS, APG or DDAPS. The DLVO model estimated emulsion fouling propensity to rise with increasing salinity when dealing with SDS, APG or DDAPS-stabilized O/W emulsions, while CTAB-stabilized emulsion fouling propensity for the SiC-deposited membrane would decrease with the higher salinity.
How can different stakeholders regarding the sustainable transition of the maritime industry be assessed using the discourses of Dryzek?
With a basis of Q-methodology, a list of statements was created that, based on Dryzek’s discourse theory, is then used to judge the respondents on their environmental beliefs. Also the sustainability reports of selected companies where investigated. It was possible to identify the main themes that could count on agreement/disagreement and controversy. Nature and the existence of limits to our activities where rated highly, while the way to solve it remained controversial. People are more imaginative than their company’s current policies indicate. People see the limitations to the systems that surround them. The willingness of the employees is there, or there is a lack between the strategy of the board and the values of the employees. Further action is required to bridge the gap.
It is indeed possible to asses different stakeholders and firms on their discourses and the research shows two methods to do it. The set up of the interview and the statements proved to be able to provide information about the environmentalism of the stakeholder. This information gives an insight into the social context of environmentalism and brought forward interesting observations about the willingness of the employees and the stance of the companies. The reports indicate a gap between what is needed (and wanted) and what is currently being done. The companies should be more radical and imaginative in both their vision and their solutions, instead of focussing on the solutions alone. Vision is often omitted from the reports, an important oversight.
Overarching vision and motivation can be uncovered but the specific points of departure for technological advancement remain hidden. The thesis brings a contribution to investigations on stakeholder alignment in the clean shipping sector and uncovers some important issues. The firms specific operating context remains to be investigated with more detail, as to properly find the barriers that hold back solving wicked problems. However a good effort is made to indicate the grounds for disputes from the actors.
measured with EDS. XRD analysis shows that the compressive residual stress from shot-peening is 1.5 times higher and twice as deep in Gasloos compared to Reference. This is due to the oxide scales, containing 17.49 weight% oxygen, that comprise the surface of Reference, making it very brittle and filled with voids. Gasloos does not have these oxide scales at the surface.","Steel; Martensite; Embrittlement; Grain boundary segregation; Tempering","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:6920a433-459f-4435-a2bb-19c523baad4c","http://resolver.tudelft.nl/uuid:6920a433-459f-4435-a2bb-19c523baad4c","Environmental Analysis of End-of-Life Scenarios for Decommissioned Crystalline Silicon PV Modules","Drop, Kevin (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Photovoltaic Materials and Devices)","Vogt, M.R. (mentor); Weeber, A.W. (graduation committee); Vahedi, H (graduation committee); Eijsbouts, René (mentor); Delft University of Technology (degree granting institution)","2023","Many functional photovoltaic (PV) modules are decommissioned prematurely, often due to the financially motivated repowering of PV systems. This study assesses under which circumstances there is an environmental incentive to reuse these modules as opposed to recycling and replacing them with new, more efficient modules. A life cycle assessment was conducted, covering the end-of-life treatment, manufacturing, transport and use phase of decommissioned and new modules. The decommissioned modules had an efficiency of 14.7% in 2011, the new modules have an efficiency of 19.79%. The analysis covers two different reuse scenarios (local and export) and two different replacement scenarios, based on the quality of the recycling and the manufacturing country of the new modules.
The impacts are quantified in three categories: global warming potential, eco-cost of resource scarcity and total eco-cost. The findings indicate that, because of rapid technological advancements, the recycling and replacement of 10-year old decommissioned modules generally yield greater environmental benefits than local reuse: the net benefit in terms of global warming is greater after only 5 years. In addition, the calculations show that reusing decommissioned modules in a new PV system is only the preferred strategy from a global warming perspective if the modules are less than 5 years old, if that system is intended to have a (financial) lifetime of 10 years or longer.
However, reuse in a selected European Union member state can provide greater benefits in the global warming potential and total eco-cost impact categories than recycling and replacement. The advantage of export is driven by higher annual irradiation as well as a higher emissions intensity of the electricity mix.
These results contrast the conventional belief that reuse is always environmentally preferable to recycling. Based on this research it can be argued that in most cases of premature decommissioning, there is no strong environmental incentive to reuse the modules, provided that new PV modules are widely available or that the materials go directly to the production of new modules. The annual efficiency increase of PV technology was identified as a key parameter for this outcome.","PV panels; Life cycle assessment (LCA); Reuse","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:e5654c1a-7eeb-4133-9419-065fe04349f1","http://resolver.tudelft.nl/uuid:e5654c1a-7eeb-4133-9419-065fe04349f1","Exploring the acceptance of innovation based on standardization in a construction programme: The role of uniqueness bias in the acceptance of innovation","Putu Gandhi Padma, Padma (TU Delft Civil Engineering & Geosciences)","Molaei, M. (mentor); Elmohr, S.E.M.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis investigates the role of uniqueness bias in the acceptance of innovation based on standardization within construction programs, using the Programma Bruggen en Kademuren (PBK) as a case study. The research aims to bridge the gap in the literature regarding uniqueness bias and the acceptance of standardized innovation in the construction industry. Initially, a literature review was conducted, leading to the formulation of two hypotheses. These hypotheses were tested through semi-structured interviews, after gaining insights into the innovation acceptance process within the programme. This involved both document reviews and exploratory interviews with individuals involved in the development of innovation in PBK. The findings reveal that PBK fosters innovation through internal development and external innovation partnerships. This information guided the creation of criteria and questions for the semi-structured interviews. The interviews were analysed using thematic analysis, revealing factors that influence the acceptance of standardized innovation, categorized into preconditions, barriers, and enablers. Specifically, three preconditions, eight barriers, and two enablers were identified. Upon comparing the interview results with the initial hypotheses, no supporting evidence was found for either hypothesis. The identified factors were then used to develop three intervention plans aimed at enhancing innovation acceptance within a programme. These interventions include establishing a clear baseline direction, creating an Innovation section within the programme forum, and implementing contractual flexibility for innovation integration. Each intervention plan is designed to address identified barriers and enhance enablers, thereby fostering an optimal environment for innovation acceptance in public construction programmes","innovation acceptance; construction programme; uniqueness bias","en","master thesis","","","","","","","","2025-12-12","","","","Civil Engineering | Construction Management and Engineering","Programma Bruggen en Kademuren",""
"uuid:8379608c-e790-45cb-918c-c93cead6fb3a","http://resolver.tudelft.nl/uuid:8379608c-e790-45cb-918c-c93cead6fb3a","Serviceability of a Cantilever Grandstand under Dynamic Crowd Loading","Knibbe, Jelle (TU Delft Civil Engineering & Geosciences)","Lourens, E. (graduation committee); van der Meer, F.P. (graduation committee); Steenbergen, Raphael D.J.M. (mentor); de Vries, Rein (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis presents a novel methodology to determine the reliability of cantilever grandstands under dynamic crowd loading. No method currently exists to evaluate this, despite the occurrence of significant vibrations in such structures, for example in the Feyenoord Stadium in Rotterdam. These vibrations can negatively affect both the safety and serviceability of such structures, so a method able to evaluate both is desirable. The methodology consists of four components: a model of a grandstand, a model of the dynamic crowd load, a failure criterion, and a reliability analysis. Of these, the first and third component are case-specific, as a wide range of different grandstands and different criteria could be considered. In this thesis, the methodology is applied on a case study based on the Feyenoord Stadium, with a model of the trusses beneath the main grandstand of this stadium, and a criterion based on the intensity of the vibrations of the upper cantilever of this grandstand, a serviceability issue. The second component represents the most novel aspect of the methodology: a model describing the dynamic crowd load, defined in the frequency domain, and generally applicable regardless of the structure being considered. The core of this model is a parameterisation of the amplitude spectrum of the load applied by a group of jumping spectators. The parameters describing the spectrum are considered as stochastic variables, with distributions fitted using samples from a state-of-the-art database of measured loads. As opposed to the first and third components, this load model should be generally applicable.The parameters of the load model, together with other stochastic variables in the grandstand model, form the input of a Limit State Function. The output of this function determines whether the structure loaded by the crowd meets a certain criterion, and forms the basis of a reliability analysis together with the distribution of the stochastic variables. The probability of \emph{not} meeting the criterion, the failure probability, is calculated through a number of reliability methods in the final component of the methodology. These methods should be generally applicable as well, though which methods fits the best could still depend on the case being considered. This methodology was successfully applied on the case study, and a failure probability was found with two different methods. One of these, Crude Monte Carlo, allowed for a more fluid view of the concept of `failure' when a serviceability criterion based on a subjective limit is considered. The other method, SDARS, returned a slightly larger failure probability, in a much shorter runtime than Monte Carlo. This method is therefore more fit for safety criteria with a small (expected) failure probability, for which Monte Carlo would require an unreasonably long runtime. In addition, the wider applicability of the methodology has been investigated. An important requirement for this is flexibility with regards to the applied reliability methods. Two other methods, Directional Sampling and SDARS, were also attempted but did not yield usable results. This is caused by the manner in which phase angles are defined in the frequency-domain model. Random values are drawn, which causes noise to appear in the limit state function: a constant input does not lead to a constant output. Being able to use different reliability methods is an important requirement for applying the methodology in a wider variety of cases. In order to apply these methods, the phase angles need to be defined in a manner which does not introduce noise. Another important requirement is the ability to consider different failure criteria. While the criterion applied in the case study could be evaluated in the frequency domain, many others will require the results to be transformed to the time domain. Applying this transformation to the frequency-domain results of the models currently does not yield usable results, which is likely also caused by the definition of the phase angles in the load. In conclusion, while the methodology is applicable on the case study, the definition of the phase angles requires attention before it can be applied on other cases.","Reliability; Structural Dynamics; Crowd Loads; Finite Element Model; Serviceability","en","master thesis","","","","","","","","","","","","Civil Engineering","","51.8939035, 4.5231354"
"uuid:2909d997-a983-490a-a588-87119998543a","http://resolver.tudelft.nl/uuid:2909d997-a983-490a-a588-87119998543a","On Forecasting the Rur River: Using hindcasts and forecasts of the 2021 flood event to improve understanding of flood forecasting in the Rur catchment","Hartgring, Sebastian (TU Delft Civil Engineering & Geosciences)","Ragno, E. (mentor); Uijlenhoet, R. (mentor); Mosselman, E. (mentor); Hegnauer, Mark (mentor); Bachmann, Daniel (mentor); Delft University of Technology (degree granting institution)","2023","The Netherlands, Germany, and Belgium were hit by heavy and prolonged precipitation in July 2021. As time passed, weather warnings escalated, leading to evacuations due to predicted floods, including in the Rur catchment. It was difficult to forecast the flooding of the Rur, raising the question of which elements are crucial in a flood predictionmodel for the Rur river. This question is addressed by addressing both a hindcast of the 2021 flood event and creating forecasts based on the weather forecast of July 13, 2021.
The Rur river basin is characterised by topographic and geological variations, with the steep Eifel responding differently than the flat lowlands, and human intervention in the form of reservoirs and lignite mines. A hydrological Wflow_SBM model has been derived for the Rur river basin, encompassing these characteristics, along with a hydrodynamic ProMaIDes model for the downstream reach of the Rur. These models were compared to investigate various aspects: river routing, floodplain flow, tirbutary interactions, the influence of reservoirs, and the impact of reduced groundwater levels.
The results of the 2021 floods indicate that modelling flows in floodplains is crucial to shaping the flood wave, both in tributaries and the Rur itself. Additionally, the reservoir played a significant role in attenuating the flood wave, with the increase in the outflow of the reservoir primarily affecting the tail of the wave. The reduced groundwater level was simulated by adding a leakage termto the saturated subsurface zone, whose indirect effect is significantly greater than the leakage termitself. Moreover, the tributaries Worm and Inde, particularly, are influential in the Rur’s discharge. These characteristics are also evident in the simulated forecasts, although the spatial and temporal resolution is significantly lower for these meteorological predictions.
Finally, the characteristic response of the Rur demonstrates that not everymodel type is equally practical for flood forecasting. The dominant flow from the reservoirs is highly regulated and is unlikely to induce inundations downstream. Complex flow patterns in floodplains only become relevant in the Dutch Rur, which makes two-dimensional modelling particularly valuable here. Therefore, it is recommended to use a one-dimensional discharge model, incorporating delay effects from winter bed flows. When predicted discharges at the Stah station are exceeded, two-dimensional simulations may provide a solution, the model area reduced to the Dutch Rur, focussing on predictions where a critical value related to floodplain capacity (Qlimit = 300 m^3/s) is exceeded.","flood forecasting; Rur river; Rur reservoir; 2021 flood event; ProMaIDes; Wflow; lignite mining","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","","50.6369, 6.4424"
"uuid:5b4d24ef-a2d5-44a4-88c8-dc90d9b3b559","http://resolver.tudelft.nl/uuid:5b4d24ef-a2d5-44a4-88c8-dc90d9b3b559","Acoustic Materials for Wearable Ultrasound patches: Developing an ultrasound skin mimicking phantom","Boertje, Puck (TU Delft Mechanical, Maritime and Materials Engineering)","Dekker, R. (mentor); Kawasaki, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","With today’s aging population and the prevalence of chronic illnesses, healthcare systems worldwide are struggling to accommodate the increasing number of patients. This projected growth and rising demand underscore the importance of advancing wearable devices. In the realm of diagnostic healthcare, the pursuit of non-invasive and safe techniques is paramount. Until now, body patches have predominantly focused on monitoring surface-level body parameters such as temperature, humidity, pH, oxygen saturation, and electric potentials. The introduction of ultrasound patches extends the realm of possibilities, enabling a deeper exploration of physiological processes within the body. Additionally, ultrasound serves as a non-invasive diagnostic technique. To facilitate medical ultrasound imaging, an acoustic interface is indispensable for the unimpeded transmission of waves through the skin and tissue. This interface must maintain proper hydration and adhere to the skin to ensure a conforming acoustic connection. Initially, this MSc. thesis research aimed to conduct lifetime performance tests on promising ultrasound acoustic interface materials. These tests were conducted by placing wearable ultrasound patches with the acoustic interface materials in place on an ultrasound phantom. With the ultrasound transducer, ultrasound images were made over a fixed period. This experiment was done to see to which extent the image quality would degrade over time for the different interface materials. The available ultrasound phantoms did not meet the requirements of skin-mimicking properties, on which the lifetime of the acoustic materials would be tested. Consequently, this research opted to simulate specific skin conditions: temperature, moisture, and acoustic properties like human tissue. Different iterations were made and evaluated during the development of the final ultrasound phantom model. In this thesis, five different models were evaluated, and eventually, the final model was presented: A three-layer model. The phantom model consists of a gel wax filled with scattering objects, visible with ultrasound, at specific depths inside the filling. To mimic the water loss rate of the skin, a hydrating layer of agar was placed on top of the gel wax filling. A PET foil was deployed with a specific number of holes to let water through from the agar layer to regulate the amount of water evaporation over time. To mimic skin temperature, the phantom model was placed in an oven at T = 34 °C. With this final model, the lifetime experiments were conducted with six potential interface materials: AquaFlex (solid hydrogel), HydroAid (solid hydrogel), Ecoflex (silicone), Axelgaard (ECG solid hydrogel), HH5023 (ECG solid hydrogel), and HH5450 (ECG solid hydrogel). The duration of this experiment was eight days, after which the agar layer started to degrade and shrink. The filling and scattering objects of the phantom model are reusable, while the hydration layer has to be replaced or disposed of after five days. Further, acoustic properties, like materials-specific attenuation coefficient, of potential acoustic interface materials and materials used in the phantom were measured using a though-transmission setup. Also, a validation assessment of skin compatibility of the potential interface materials for a long duration of time was conducted. This was done with the consultation of experts in medical devices, medical professionals and literature. With these three different subjects of this MSc. the attenuation coefficient of six different acoustic interface materials are characterized and validated to be compatible with human skin for longer periods. The phantom model developed satisfies the requirements set and, most importantly, mimics skin temperature and water loss rate. One round lifetime (eight days) performance experiments of acoustic interface materials using the phantom model. It is difficult to conclude to which extend the image quality degraded over time for the different interface materials due to the agar layer dehydration after eight days and that the experiment was only conducted once. For future recommendations, it is suggested that the lifetime experiments be repeated using the phantom model for these six different interface materials. It could also be an option to renew the hydration layer every seven days to prevent the agar layer from dehydrating over the acceptable limit if the experiment requires longer periods.","wearable; ultrasound; phantom; skin-mimicing; acoustic materials; interface; acoustic attenuation; skin compatibility","en","master thesis","","","","","","","","2024-12-20","","","","Biomedical Engineering","",""
"uuid:9eabd441-20f0-4071-953e-771bf440d12a","http://resolver.tudelft.nl/uuid:9eabd441-20f0-4071-953e-771bf440d12a","Multi-Agent Task Allocation and Path Planning for Autonomous Ground Support Equipment: Master of Science Thesis","van der Zwan, Manouk (TU Delft Aerospace Engineering)","Sharpanskykh, Alexei (mentor); Ermis, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Many large airports aim to have complete autonomous airside operations in the future. Amsterdam Airport Schiphol (AAS) for example, launched the Autonomous Airside Operations program to achieve this goal. Our main contribution is to present a Multi-agent Pickup-and-Delivery (MAPD) model that uses a centralized task allocation mechanism to improve the performance of integrated task allocation and path planning for autonomous ground handling operations compared to previous research. This study models a global multi-vehicle Pickup and Delivery Problem with Time Windows (PDPTW) for the scheduling of autonomous ground handling tasks. A warm start multi-objective mixed integer linear programming model is proposed to solve the scheduling problem where the initial feasible solution is obtained by an insertion heuristic. This multi-agent task allocation model, when combined with multi-agent path planning, forms a MAPD model for modeling autonomous ground handling operations. Multi-agent path planning is solved using prioritized Safe Interval Path Planning (SIPP). A replanning model is developed to assess the resilience of our model to disruptions of operations. Also, a mixed integer nonlinear programming model, which includes an additional non-linear objective, is proposed to generate more realistic task assignments by minimizing the waiting time of vehicles on the aircraft stands. In this study, a four-hour planning window with three aircraft stands at AAS is used for the experiments. The results show that the proposed approach improves the computational time of the task allocation model with 48% for the normal traffic scenario, compared to the previously published results. The conflict-free routes of all ground support equipment (GSE) vehicles are all successful and close to the shortest path results, with an average increase of 0.04% and 10% for the path length and the duration of the path, respectively. Our model is therefore able to generate complete, high quality solutions in less than three minutes.
The matchmaking system is defined as the framework that ensures matchmaking, which uses a ""key"" generated by the sender to represent the return package. If the receiver can find the key upon arrival of the return package at the depot, the sender can be identified. Seven matchmaking systems were considered. Five alternatives use unique tray identities as key. One alternative uses the load carrier as key and the last alternative uses the return package identity as key. The validation results show that an ""all read"" or ""reading of all individual trays"" is not a requisite for a working matchmaking system. By contrast, as long as a certain ratio of reading at two locations is reached, an all-read scenario can be mimicked. The assessment investigated the instances in which zero mismatch take place. Results show that the higher the data capture capability of both the sender and receiver, the higher the chance a match can take place and the smaller the chance of a mismatch. This thesis creates insights on requirements for enhancing traceability of RTI's in the return chain and developed a matchmaking concept that can address the practical problem of returns without traceability of shop origin. The developed matchmaking concept is the outcome of an analysis of the current state and makes use of data elements that are already being collected in the database, in the case of EPS. The study addresses how collected data can be leveraged for enhanced RTI management in the reverse logistics and may inspire practitioners to face challenges with a similar lean approach.","Returnable Transport Items; Returnable packaging; Reverse Logistics; Traceability; Asset Management; Design Science; Deposit System","en","master thesis","","","","","","","","2025-12-19","","","","Transport, Infrastructure and Logistics","",""
"uuid:186414f8-e034-47f8-99b4-81eba0231577","http://resolver.tudelft.nl/uuid:186414f8-e034-47f8-99b4-81eba0231577","Measuring the social impact of social enterprises on SDG3: An analysis of the perspectives of funders and social enterprises","Huizing, Zoé (TU Delft Technology, Policy and Management)","Hinrichs-Krapels, S. (mentor); Verbraeck, A. (mentor); Gammon, A.R. (mentor); van Smeden, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Social enterprises play a significant role in achieving the Sustainable Development Goals (SDGs). Measuring the social impact of social enterprises presents several challenges, including the complexity of social issues, the need for standardized metrics, and the limited resources available. Obtaining funding is crucial for social enterprises to fulfill their mission. When applying for funding, they often have to report on the social impact they generate, as it is an essential part of the value they create. Another difficulty is that social impact is experienced differently by various actors. The research, therefore, adopts an exploratory approach to understand how funders and social enterprises perceive the impact assessment, particularly for those contributing to SDG 3. Thus, the central question of this research is 'How are funders and social enterprises aligned in measuring social impact on SDG3?'.
This research was conducted in partnership with Unifix Care. Unifix Care is a social enterprise whose mission is to improve access to safe surgical care in Sub-Saharan Africa. The findings presented were derived from a review of the literature, interview rounds, and a survey. The first round of interviews were exploratory interviews with general impact funders. The second round consisted of interviews with social enterprises contributing to SDG and funders funding these social enterprises. The survey aimed to validate statements based on literature and interviews and to give an idea of the data funders and social enterprises use some impact indicators.
A finding of this research is that the change in how the Theory of Change is used, from an internal tool to an external accountability mechanism, indicates a misalignment between funders and social enterprises. While funders may demand accountability, social enterprises initially used it for internal improvement. This shift suggests a divergence in how both parties perceive and utilize this tool for measuring social impact. Social enterprises' power imbalance and dependency on funders can lead to a misalignment in measuring social impact. Contributing to this is the fact that aligning the mission of social enterprises with the strategic goals of funders is considered very important.
A subsequent finding is that there could be a reporting difference between easier-to-measure KPIs from the Theory of Change (input, activity, output) and harder-to-measure KPIs more aligned with the overall goal (outcome and impact). The survey results indicated a mild divergence in opinions between funders and social enterprises on the priority of measuring input, activity, and output indicators versus outcome and impact indicators. Social enterprises were somewhat in favor, whereas funders were somewhat opposed. In terms of quantifying outcome and impact indicators, a simple, state-of-the-art calculation was generally adequate for most social enterprises, in contrast to the preferences of funders. These findings could result in the miscalculation of social impact generated by social enterprises. For this, it is necessary to bring back the Theory of Change as an integrated framework.
Another finding is that both social enterprises and funders focus more on a social enterprise's positive rather than negative impacts. Given that every social enterprise likely has some adverse effects, these should be acknowledged to ensure a comprehensive assessment of the overall positive social impact. Additionally, it is found that the inconsistency in defining 'impact investors' and the varied interpretation of 'impact first' approaches reflect a lack of uniformity in how social impact is perceived and measured. This variability can lead to challenges in aligning the measurement approaches of funders and social enterprises.
The main limitations of this study are related to the small survey sample size, which only provides an indication. More research is needed to draw definitive conclusions. Another limitation is the selection and categorization of indicators in the survey; an attempt was made to do this as generically as possible. However, future research would benefit from validating this selection and categorization by multiple experts.
The following recommendations aim to foster effective collaborations between funders and social enterprises, enhancing transparency and accountability in social impact measurement. A publicly accessible database should be created to share the social impact results of social enterprises. This will allow for establishing normal distributions and facilitate the assessment of the likelihood of success of similar interventions. Therefore, a portion of funding should be dedicated to monitoring the long-term impact of social enterprises. This enhances transparency and allows for a deeper understanding of the effectiveness of various investments in achieving social impact. Funders should also publicize the Theories of Change of the social enterprises in their portfolio. This transparency helps understand how the impact is achieved and mitigates the risk of 'impact washing.' The initiative for these recommendations, particularly the database and publication of Theories of Change, should come from the government or the actors funding the funders. They have the influence to drive more impact-focused decisions and support impact-driven social enterprises. Future studies should incorporate comparative cognitive mapping and game theory to understand better the preferences and incentives of funders and social enterprises. Additionally, using Agent-Based Modelling or System Dynamics with the EMA workbench could yield insights into the effectiveness of specific policies in a complex, uncertain environment.
Recently, the importance of developing spatial thinking has been recognized with the European Union stimulating its development at an early age. Many studies of ways to foster spatial thinking of young children, through online activities as well as embodied activities, have been conducted and provide valuable insights that can be used in preschool settings. In design and engineering, spatial thinking is required to imagine and visualize novel and creative solutions and products. However, design play in preschools has not been researched in the context of spatial thinking so far. Next to this, little is known on individual differences in the way preschools use and develop spatial thinking skills in early childhood. Therefore, in collaboration with Sciencehub TU Delft the aim of this project was to:
-Gain insight into diversity of learners and the way they engage and interact with design activities in a classroom context.
-Develop educational innovations which take the diversity of learners into account, and stimulate spatial learning in the context of Design and Technology Education.
-Develop a tool for educational professionals which communicates the findings of this project.
Methodologies employed
Throughout the project the following methodologies were employed:
Literature research exploring spatial ability in the context of preschoolers, education, design and play.
-Participatory qualitative interviews and observations with preschoolers in their classrooms to explore different play preferences.
-Generative design research methods grounded in ethnographic research and therapeutic practices to discover how preschoolers with different play preferences interact with an empathic, story-based open-ended design challenge.
-Focus group with preservice teachers to explore how they currently take the diversity of learners into account.
-User tests with preschoolers and teachers to test and improve the proposed design interventions.
Research outcomes
Exploring the context of preschooler and teachers resulted in the following summarised research outcomes:
-Important play preferences for preschoolers are: construction play, pretend play, open-ended objects, and defined objects.
-The play preferences of children influence the length and nature of design activities as well as the design outcomes.
-Design activities stimulate children to practice spatial thinking in a creative context.
-Teachers know a lot about their students, so the intervention should allow them to use this knowledge.
Intervention – preschoolers
Based on the research outcomes two variations of assignments, which have the same learning goal, were designed. Each variation focused on a different set of preferences, allowing the preschoolers to interact with the assignment that fits their preferences best.
Intervention – teachers
A booklet summarising the outcomes of this project was made. An actionable step-by-step plan and worksheet were created with which teachers can design their own variations of assignments based on preferences of students in their class.
The interventions were evaluated with preschoolers and (preservice) teachers, and showed promising results. Recommendations regarding improvements and implementation are provided.
Thirteen Dutch military helicopter pilots flew scenarios with six different SD events using an AH-64 Apache flight model in virtual reality in a 6-DoF motion simulator. The SD events used were: “False Horizon”, “Featureless Terrain”, “the Leans”, “Brownout”, “Somatogyral Illusion” and “Night Vision Goggles (NVGs)”. Corresponding scenarios without the SD events were performed to obtain baseline measures of cognitive performance. When performing the scenarios, participants had either the role of pilot flying or pilot monitoring.
To test the cognitive performance, participants performed a mathematical processing task. The corrected reaction time and error rate were significantly higher during the SD events than during the baseline events.
These effects were most prominent in the “Featureless Terrain” and “the Leans” scenarios. The results indicate that SD has a negative impact on the cognitive performance of military helicopter pilots. These findings underline the importance of SD awareness training for pilots, as well as the use of workload management procedures when experiencing SD.","Spatial Disorientation; Cognition","en","master thesis","","","","","","","","2025-12-04","","","","Aerospace Engineering","",""
"uuid:e718cc72-c4e7-45f7-89c4-dd0bda7e79b0","http://resolver.tudelft.nl/uuid:e718cc72-c4e7-45f7-89c4-dd0bda7e79b0","Language Assistance in Reinforcement Learning in Dynamic Environments","van Leeuwen, Sander (TU Delft Electrical Engineering, Mathematics and Computer Science)","Spaan, M.T.J. (mentor); Bohmer, Wendelin (graduation committee); de Vries, J.A. (mentor); Yang, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Language is an intuitive and effective way for humans to communicate. Large Language Models (LLMs) can interpret and respond well to language. However, their use in deep reinforcement learning is limited as they are sample inefficient. State-of-the-art deep reinforcement learning algorithms are more sample efficient but cannot understand language well. This research aims to study whether RL agents can improve learning by utilizing language assistance and how LLMs can help them. A sentence describing the agent's environment is fed into an LLM to create a semantic embedding, which is consumed by a recurrent Soft Actor-Critic (SAC) agent to create an agent that can listen to natural language. This research shows that the best method for the agent to consume the embedding is concatenating it to each observation. Also, LLM-based embeddings lead to faster and more stable learning than non-LLM-based embeddings. The agent is sensitive to noise in the embedding but not to the embedding's dimensionality. The agent can generalize well across sentences that have a similar meaning to sentences seen during training but are formulated differently, but it can not generalize as well across sentences with unknown subjects and needs the subjects of the sentences to be grounded in training. Lastly, this research shows that the proposed architecture supports scaling language assistance to more complex environments.","Reinforcement Learning; Soft Actor-Critic (SAC); language assistance; Large Language Models (LLMs); GPT-4; semantic embedding; transfer learning; meta-learning","en","master thesis","","","","","","Source code and datasets can be found here: https://doi.org/10.5281/zenodo.10322510","","","","","","Computer Science","",""
"uuid:0d6e7834-83d6-49ab-a5b3-e3d5fd9a7612","http://resolver.tudelft.nl/uuid:0d6e7834-83d6-49ab-a5b3-e3d5fd9a7612","Active Mechanical Metamaterial for Tunable Vibration Isolation","Schouten, Koen (TU Delft Mechanical, Maritime and Materials Engineering)","Hunt, A. (mentor); Delft University of Technology (degree granting institution)","2023","Materials and material structures with highly specialised and unique mechanical properties are sought after in order to gain the most performance from a system. Materials generally have fixed mechanical properties and are unable to adapt in the case of new or unforeseen situations. Active mechanical metamaterials are able to alter the fundamental mechanical properties in real-time.
The literature review looks at the state of the art active mechanical metamaterials from the perspective of their control strategies and actuation principles. This is done to get a better view of what materials are out there, what applications are suitable for specific active mechanical metamaterials and what gaps can be explored in the future.
The literature review found that the active mechanical metamaterials can be classified by type of control into closed loop and open loop control, as well as the location of actuation into locally actuated and globally actuated materials. Furthermore, the active mechanical metamaterials are highly specialised for their respective applications.
Controlling the active mechanical metamaterials effectively, especially with a large amount in unit cells is the main challenge for most of the active mechanical metamaterials. For this propose, globally actuated and open loop control principles provide the best opportunities for simple, large scale controllable active mechanical metamaterials, though the actuation domains used for the globally actuated active mechanical metamaterials is less precise than the domains used in the closed loop active mechanical metamaterials.
The main report proposes an approach to place and manipulate the band gaps in local resonance mechanical metamaterials via open loop actuation. The state of the art local resonance mechanical metamaterials are lacking in tunability of the band gaps, even though the ability to change the location of these band gaps is very desirable to alter the dynamics of the system.
The design concept is based on the working principle of a local resonance mechanical metamaterial and incorporates a method for tuning the resonator stiffnesses. The tunable stiffness is achieved through the straining of opposing flexures, which are actuated by externally applying a strain to the unit cell through the use of chevron motion amplifiers.
The fabricated prototype showed comparable stiffnesses to the stiffnesses obtained using the FEM analysis, and showed an increase of the resonator stiffness from 1530 N/m to 3290 N/m. Furthermore, the prototype showed a change in band gap from between 73 Hz and 86 Hz to between 78 Hz and 92 Hz in response to the application of lattice pre-strain of 0.50mm. This mechanical metamaterial is therefore successful in the tuning of antiresonances in local resonance mechanical metamaterials through externally applying a strain to the metamaterial. In the future, mechanical metamaterials with this tunable band gap behaviour can be useful for applications where a lightweight, open loop, tunable vibration isolation approach is required.","Active Mechanical Metamaterial; Antiresonance; Dynamic Metamaterial; Vibration Isolation","en","master thesis","","","","","","","","2025-12-18","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:88047508-796b-44b6-b8dc-962c164bb761","http://resolver.tudelft.nl/uuid:88047508-796b-44b6-b8dc-962c164bb761","How Do Exoskeletons Change Shoulder Biomechanics?: A New Design Tool for “Human-In-the-Loop” Optimization of Shoulder Exoskeletons","ZHOU, SIYANG (TU Delft Mechanical, Maritime and Materials Engineering)","Seth, A. (mentor); Radaelli, G. (mentor); Nejadseyfi, O. (graduation committee); Delft University of Technology (degree granting institution)","2023","Shoulder exoskeleton is a popular solution to work-related shoulder disorders and muscle fatigue. With a wide range of exoskeletons designed, a comprehensive report on how the use of shoulder exoskeletons changes shoulder biomechanics is still missing. In this project, the impact of exoskeletons on shoulder biomechanics was investigated with the musculoskeletal simulation OpenSim. This study proposed a ""human-in-the-loop"" optimization-based design tool for shoulder exoskeletons. This design tool incorporates the predicted biomechanical effects of a shoulder exoskeleton from musculoskeletal simulations into design considerations. This design tool was validated with a case study designing a shoulder exoskeleton based on a compliant beam and testing the design in the musculoskeletal simulation and experiments.
The exoskeleton design tool is a coupling of finite element analysis and OpenSim. OpenSim calculates the deformation of the exoskeleton with human motion, and the finite element analysis calculates the force exerted from the exoskeleton upon deformation. Then OpenSim computes muscle activities under the external force from the exoskeleton. By merging muscle activities and the resultant glenohumeral joint reaction force to an objective function, the optimization-based design loop is closed by looking for the best objective value iteratively.
Several exoskeletons were designed by the new design tool to assist different types of tasks. The design tool exhibited good ability in finding optimal solutions for a range of design choices and design requirements. Simulated tests of designed exoskeletons showed significant effects on reducing muscle activities and good robustness in resisting the influence of perturbed motions in arm-elevated tasks. An exoskeleton was selected to be tested with an experiment set up in the same way as the simulated test. Experiment results supported the performance of the exoskeleton predicted in the simulated test.
This project established a method to comprehensively predict the effect of an exoskeleton on shoulder biomechanics and provided a more comprehensive understanding of biomechanical effects of shoulder exoskeletons. This facilitated the “human-in-the-loop” design process of shoulder exoskeletons which could greatly save money and time investments into prototyping, testing, and validation.
The TSP is a cornerstone in combinatorial optimisation. Specifically, for this research, TSP serves as a means of understanding the challenges and intricacies of inland waterway networks. Employing the GNN model, the research provides insights into potential solutions for TSP within this context. When comparing various TSPLIB instances, the GNN model showcases its efficiency and potential for further refinement, especially in real-world routing and logistics.
Shifting the focus towards production planning, the JSSP emerges as a pivotal problem. It aims to optimise the order and timing of tasks for various ships, ensuring minimal usage of time and resources. By implementing the GNN architecture, the research offers a fresh perspective on JSSP. When applied to real-world scenarios, it is evident that the model can predict optimal scheduling sequences, matching the actual time frames and resource allocation required, thereby promising significant advancements in maritime trade efficiency.
Diving deeper into operations research, the RCPSP surfaces as a challenge that focuses on optimising project schedules, considering resource constraints and task precedents. The research introduces an approach to address this problem, especially concerning cargo operations within port networks. The research promises efficiency, reliability, and adaptability in Inland Waterway Transport (IWT) scheduling practices by integrating renewable resources and managing precedence relationships.
Lastly, the WSSP centres on managing ship movements within a defined time frame, optimising the sequence and timing of vessels to minimise delays and maximise the utilisation of waterway infrastructure and resources. Building upon the foundational work of previous research, this problem was translated and redefined in the context of the Resource-Constrained Project Scheduled Problem. Using this foundation, distinct RCPSP problems were formulated to reflect real-world scenarios, particularly emphasising the port of Duisburg. Drawing upon the results, the GNN model demonstrates high efficiency and accuracy in addressing the WSSP. While traditional tools like OR TOOLS provided optimal results, the GNN model closely mirrored these benchmarks, solidifying its position as a formidable solution for complex scheduling issues, especially given its rapid computation times.
In conclusion, this research presents a cohesive understanding of various optimisation problems within the realm of inland waterway shipping, all while harnessing the power of GNNs. Through systematic exploration and application, the research underscores the potential of GNNs to revolutionise how we approach and solve these challenges, promising a future of enhanced efficiency and reliability in waterway shipping operations.
This project aims to design a power-efficient pulser for an ultrasound transducer, which is adopted in a 2D ultrasound phased-array transmitter (TX). The area of the TX circuit in a 2D phased array is limited to half of the sound wavelength, requiring an area limitation for the circuit. The transducer element used in this case has an area of 100*100 um^2. Thus, the area occupation is also considered as an important aspect through the design process.
This work designs a power-efficient 3-level high-voltage pulser with TSMC 180-nm BCD Technology. The proposed pulser interfaces an ultrasound PZT transducer with a resonant frequency of 8.15 MHz. A bootstrap structure is utilized in this design to double the 10-V supply voltage. Five switches are controlled open and close to determine the voltage level at the output node, where three control signals are needed. The design adopts stacked standard CMOS transistors instead of HV transistors for the switches. As the transistors inevitably act as one of the power-hungry parts in the circuit, a smart design of shortly close one of the switches is proposed to save more energy. The 3 levels of the output voltage level from the proposed pulser are near 0, 8 V and 15 V. Thus, as a reference for comparison, a conventional class-D pulser with a supply voltage of 15 V is also presented. It is worth mentioning that to make the comparison as fair as possible, the conventional pulser is also designed in its most power-efficient condition. The simulation result shows a 35.4% power efficiency enhancement of the proposed design compared with the conventional class-D pulser.","ultrasound neuromodulation; high-voltage pulser; Ultrasound Transducer; Ultrasound transmitter","en","master thesis","","","","","","","","","","","","Electrical Engineering | Microelectronics","",""
"uuid:e25e2eae-d799-49bf-972e-6c7d0050696c","http://resolver.tudelft.nl/uuid:e25e2eae-d799-49bf-972e-6c7d0050696c","A sustainable approach for the tunnel formwork building method","Verkade, Lars (TU Delft Civil Engineering & Geosciences)","Hendriks, M.A.N. (mentor); Schuurman, A.C.B. (mentor); Ramler, J.P.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","The construction sector plays a significant role in the environment, and concrete structures constitute a substantial portion of this sector. The government is actively seeking ways to reduce the environmental impact of construction activities by promoting a more sustainable approach. In the Netherlands, a considerable number of repetitive cellular residential buildings are constructed using the tunnel formwork building method. Although this method can be enhanced in terms of sustainability by utilizing environmentally friendly cement mixtures, it poses challenges, such as an increase in execution time. This research aims to explore a more sustainable approach to the tunnel formwork building method while devising strategies to maintain the same execution time as before.
The tunnel formwork building method operates with a 24-hour daily execution cycle. During the initial 8 hours, the formwork, reinforcement, and installations are set up, followed by pouring concrete at the end of the day. After 16 hours, the concrete attains sufficient strength for the formwork to be dismantled, allowing it to be placed on the next grid. This approach results in rapid construction, high-quality output, and cost-effectiveness. However, a significant drawback is the reliance on CEM I mixtures, which consist of approximately 100\% Portland cement, contributing to substantial greenhouse gas emissions and environmental impact. Blended cement mixtures, such as CEM II and CEM III, offer more environmentally friendly alternatives by incorporating lower percentages of Portland cement blended with fly ash or blast furnace slag. Despite their environmental benefits, these mixtures exhibit a slower strength development, making it challenging to achieve a hardening time of 16 hours.
In pursuit of a dependable and sustainable approach to the tunnel formwork building method that preserves the 24-hour daily cycle, the research question is articulated as follows: ""What concrete mixtures and execution strategies can be applied in the Netherlands to diminish the environmental impact of the traditional tunnel formwork building method, utilizing sustainable cement mixtures, while upholding existing advantages in time, cost, and quality?"" This research question will guide the exploration of optimal concrete mixtures and execution measures for implementing sustainable cement mixtures within the tunnel formwork building method, while ensuring the continuity of the daily execution cycle.
In addressing this research question, an Excel calculation sheet has been developed. This sheet serves to compute the material costs, shadow costs, and formwork removal time associated with specific modifications in the design, concrete mixture, and additional execution measures for the tunnel formwork building method. The calculation sheet offers flexibility with three grid sizes: 4.5m, 6.0m, and 7.2m. It incorporates various concrete properties, such as the cement mixture (CEM I, CEM II, or CEM III), w/c ratio (0.45 or 0.55), aggregate types (fine and coarse), Blaine value (300 or 400$m^2/kg$), and admixtures (basic and additional). Additionally, the calculation sheet allows for adjustments in seasonal conditions, with options for summer (20°C) or winter (10°C)...","Tunnel formwork building method","en","master thesis","","","","","","","","2023-12-18","","","","Civil Engineering | Structural Engineering | Concrete Structures","",""
"uuid:742a9599-b11a-4a38-89d3-52ecfe12c5af","http://resolver.tudelft.nl/uuid:742a9599-b11a-4a38-89d3-52ecfe12c5af","Airtightness in the Retrofit of Historic Buildings: Investigation of a retrofit strategy for historic traditional buildings, optimizing their energy-efficiency, indoor environment quality, and heritage preservation","Ibrahim, Yara (TU Delft Civil Engineering & Geosciences)","Schipper, H.R. (mentor); Huijbregts, Z. (mentor); Labeur, R.J. (graduation committee); van der Aa, Ad (mentor); Delft University of Technology (degree granting institution)","2023","Despite being a critical aspect in improving the building stock’s environmental performance and achieving the global environmental goals, the retrofit of traditional historic buildings is hindered by a lack of comprehensive guidelines tailored to their complex building physics and heritage preservation requirements. The conventional retrofit approach – relying on the combined airtightness and insulation improvements – fail to address two decisive aspects of traditional historic buildings:
First, the air leakage is a core contributor to their bioclimatic systems and building physics balance, making its sealing detrimental to their construction durability and their Indoor Environment Quality (IEQ). Second, their heritage protection requirements restrict the conventional retrofit interventions, and particularly hinder the implementation of the mechanical systems needed to mitigate their associated risks on the building and its occupants. Accordingly, there arose an interest in challenging the conventional depiction of the air leakage as an overhead to be eliminated, and in developing a retrofit approach that preserves breathable buildings’ inherent operations by exploiting their air leakage into achieving their optimal post-retrofit performance, accounting for both energy-efficiency and IEQ.
A potential solution to the feasibility of such strategy considered a natural phenomenon characteristic of the diffuse leakage through breathable envelopes – the infiltration heat recovery (IHR) – that is conventionally neglected in building performance assessments. The intentional and efficient exploitation of this effect results in construction elements, referred to as Dynamic Insulations (DI), in which air leakage could act as a heat exchanger, diffuse ventilation source, airborne contaminant filter, and diffusion barrier. Although their original design and operations were not tailored to efficiently harvest the IHR effect, the existing breathable constructions reveal similarities with DI systems. This suggests the potential of retrofitting them to act as an efficient DI system, thus exploiting the air leakage into the building performance improvements. The present research aimed at identifying the envelope and ventilation retrofit variants that would optimize the IHR utilization through the construction, as to provide for performance improvements comparable to (or better than) the conventional approach while preserving the breathability of the construction and minimizing the heritage disruptions.
The study proposes a comprehensive framework for the assessment of the building’s post-retrofit performance, in terms of its energy-efficiency and IEQ, and investigates the relevant retrofit variants to make performance-based decisions in the retrofit design for traditional breathable buildings. This performance was evaluated using a comprehensive building performance simulation (BPS) model.
For a reliable representation of the complex building physics and air leakage dynamics of breathable constructions, the BPS integrates three sub-models: the building energy simulation (BES) model, the air leakage model, and the dynamic insulation (DI) model. Due to a lack of BES tools simulating dynamic construction properties, the well-established analytical Taylor model was adopted and adapted to the dynamic simulation tool. The analysis was implemented in EnergyPlus, for its integral Airflow Network (AFN) and advanced Energy Management System (EMS) capabilities. The model’s validation process revealed significant limitations and highlighted a need for BPS tools capable of more efficiently incorporating the dynamic behavior of building materials and their interaction with dynamic flows, particularly when seeking the tailored, efficient and non-intrusive retrofit of historic traditional buildings...
The research shows that state-of-the-art neural networks for drone racing can be deployed on nano drones, despite their limited processing power. Nonetheless, the study demonstrated specific limitations, such as the perception network's sensitivity to white pixels in the image reducing its effectiveness when light sources are present in the scene. These findings underscore the importance of dataset composition and the need for diverse training scenarios to enhance the neural network's generalizability and performance in real-world applications.","Reinforcement Learning; Convolutional neural network; Nano Drones; Quantization","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:4c3523d3-5f5a-4293-a7ec-6a0b40a596c0","http://resolver.tudelft.nl/uuid:4c3523d3-5f5a-4293-a7ec-6a0b40a596c0","Protection Study of MTDC power system","Sai Nair, Vishnu (TU Delft Electrical Engineering, Mathematics and Computer Science)","Popov, M. (mentor); Lekić, A. (graduation committee); Liu, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis investigates and compares the performance of HVDC protection algorithms in terms of their sensitivity, selectivity, speed, and robustness. The threshold determination process for each algorithm has been described in detail as well. Each algorithm is tested under various fault resistances, and fault distances to test the sensitivity of the algorithm. The trip time for each case in each algorithm is monitored to analyze the speed of the algorithm. Various external faults have been simulated to test the selectivity of the algorithm. Lastly, the resilience of each algorithm against white noise has been tested. Furthermore, the effect of varying the sampling frequency and the inductance of the current limiting inductors on each algorithm is investigated. The HVDC protection algorithms discussed are - current differential deviation-based protection, ROCOV-based protection, ROCOC-based protection, and DC reactor voltage change rate-based protection. All protection algorithms have been implemented in the PSCAD environment. The noise resilience analysis for each algorithm has been performed in MATLAB.","HVDC; protection measures; Algorithms","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:5a54364d-6642-46f0-929f-0d3ba72c23f5","http://resolver.tudelft.nl/uuid:5a54364d-6642-46f0-929f-0d3ba72c23f5","Auditing Artificial Intelligence","Sewandono, Tijn (TU Delft Technology, Policy and Management; TU Delft Values Technology and Innovation)","Renes, Sander (mentor); Ding, Aaron Yi (graduation committee); Delft University of Technology (degree granting institution)","2023","Recent technological advancements have enabled the development of increasingly impactful and complex Artificial Intelligence (AI) systems. This complexity is paired with a trade-off in terms of system opacity. The resulting lack of understanding combined with reported algorithm scandals have decreased public trust in AI systems. Meanwhile, the AI risk mitigation field is maturing. One of the proposed mechanisms to incentivize the verifiable development of trustworthy AI systems is the AI audit: the external assessment of AI systems.
The AI audit is an emerging subdomain of the Information Technology (IT) audit, a standardized practice carried out by accountants. Contrary to the IT audit, there are currently no AI-specific defined rules and regulations to adhere to. At the same time, some organizations are already seeking external assurance from accountancy firms on their AI systems. AI auditors have indicated that this has lead to challenges in their current audit approach, mainly due to a lack of structure. Therefore, this thesis proposes an AI audit workflow comprised of a general AI auditing framework combined with a structured scoping approach.
Interviews with AI auditors at one accountancy firm in the Netherlands revealed that the demand for AI audits is increasing and expected to keep growing. Clients mainly seek assurance for management of stakeholders and reputation. Furthermore, the challenges the auditors currently experience stem from having to aggregate auditing questions from a range of auditing frameworks, causing issues in their recombination and in determining question relevancy. Subsequently, design criteria for a general auditing framework as well as feedback on a proposed scoping approach were obtained.
Fourteen AI auditing frameworks were identified through a literature search. Following their typology, these could be subdivided into three source categories: academic, industry, and auditing/regulatory. Academic frameworks typically focused on specific aspects of trustworthy AI, while industry frameworks emphasized the need for public trust to drive AI progress. Frameworks developed by auditing and regulatory organizations tended to be most extensive.
Comparison to four common IT audit frameworks and standards showed that AI audit frameworks need to cover a broader range of topics than the traditional IT audit themes. This is a result of the complex socio-technological context involving multiple stakeholders in which AI systems operate. Additionally, it was shown that AI performance monitoring dashboards could cover technical parts of the audit, but that they fall short when it comes to context-dependent topics such as human oversight or societal well-being.
Following analysis of the similarities between the corporate Environmental, Social and Governance (ESG) reporting materiality assessment and the AI audit scoping problem, an ESG materiality assessment approach was translated to a scoping approach for the AI audit. In this translation, feedback from the AI auditors was incorporated. Combined with a general auditing framework, which was built through combination of the fourteen identified frameworks along the obtained design criteria, this formed the basis for the proposed AI audit workflow. The proposed workflow was demonstrated to be executable through a mock case study. Investigation from the data subject perspective for the Public Eye crowd monitoring AI system of the Municipality of Amsterdam resulted in a scoped list of auditing questions relating to privacy, transparency and fairness.
Recommendations for future AI audit workflow designs include exploring the option of incorporating subthemes in the general framework, closer co-development with AI auditors, obtaining insights from auditors at multiple accountancy firms, and automating parts of the audit.","Artifical Intelligence; Auditing; Trustworthy AI","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:f1c1fcb8-6da1-483b-804f-45ff5120b427","http://resolver.tudelft.nl/uuid:f1c1fcb8-6da1-483b-804f-45ff5120b427","Feedering Method Analysis for 20 MW Offshore Wind Turbine Installation in the U.S.","van Wilsem, Rick (TU Delft Mechanical, Maritime and Materials Engineering)","Kana, A.A. (mentor); Jarquin Laguna, A. (graduation committee); Khosravi, M. (graduation committee); van den Munckhof, Sven (graduation committee); Delft University of Technology (degree granting institution)","2023","The Jones Act, limited US port facilities, and absence of Jones Act-compliant installation vessels pose significant challenges for offshore wind farm installations. These factors force contractors to explore new installation strategies, such as feedering. Feedering is an installation strategy where the wind turbine installation vessel remains stationed at the offshore wind farm, while a feeder vessel transports all wind turbine components from the marshaling port to the installation site. With the rise of U.S. wind farm developments on the East Coast, it becomes apparent that alternative vessel designs and strategies will play a vital role in the near future. Therefore it is crucial to gain an understanding of Jones Act complaint vessel designs and strategies.
In this thesis, a new method for optimizing feeder vessel design concurrently with a wind turbine installation strategy is introduced. The approach combines multi-agent discrete-event simulation and design space exploration to define the optimum within the design space. The proposed method facilitates the evaluation and comparison of the operational performance of design configurations using historical environmental data, operating limits, and operational characteristics. Importantly, the proposed approach accommodates for the interdependency of operations making it suitable for the design and evaluation of repetitive multi-tasked operations. This method provides an improvement over the commonly used workability percentage and thereby allows for improved and fit-for-purpose designs.
A case study is performed based on Vineyard Wind WTG installation works that shows the potential of the proposed approach and the impact of vessel size, installation strategy and equipment characteristics on operational performance. This research offers new insights into the optimization of offshore wind farm installation processes and vessel designs paving the way for more efficient and effective installations in the rapidly growing U.S. wind energy sector.
Staphylococcus aureus","van Heuckelum, Joran (TU Delft Applied Sciences)","de Kruijff, R.M. (mentor); Hagedoorn, P.L. (mentor); Apachitei, I. (mentor); Bokinsky, G.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","div>Implant-associated infections by antibiotic-resistant biofilm-forming pathogenic bacteria such as Staphylococcus aureus have become a growing concern as they are difficult to treat and lead to implant revision surgery. Implant coatings consisting of superparamagnetic iron oxide nanoparticles may prevent the attachment to and infection of implants by bacteria. However, these nanoparticles release iron, which is also a nutrient necessary for bacterial growth, and may inadvertently contribute to infection. In this thesis the uptake of iron from iron nanoparticles by S. aureus is investigated.
What are the barriers and success factors in public-private partnerships that focus on experimental development, and how do the participants cope with the barriers, and utilize the success factors?
From the literature a theoretical framework was set up based on four categories of factors influencing the innovation process. The categories are organizational, contextual, interaction-specific, and innovation-characteristic. Literature suggested that interaction-specific barriers were the main source of barriers. The main strategies in literature revolve around interaction-specific solutions to these interaction-specific barriers. A contextual model was set up.
Dutch Ministry of Defence (MoD) and the Dutch Defense industry provided a novel research setting with hard-to-define innovation goals. Individuals from both sides of the PPP with practical experience in PPPs that focus on experimental development were interviewed. Within the Dutch MoD these were innovation coordinators within sub-organizations and within the Defense Industry these were leaders of small to medium-sized companies. The data was gathered through 13 interviews, of which 11 were used as sources of data. The data was first deductively coded through the four categories and then inductively coded based using the terminology of the interviewees.
This coding strategy led to three organizational factors: ‘process rules’, ‘culture, vision & implementation’ and ‘scale-up’, five contextual factors: ‘procurement: general’ ‘procurement: prior knowledge’, ‘procurement: perception’, ‘privacy’ and ‘technology-related’, one innovation-characteristic factor: ‘uncertainty’ and three interaction-specific factors: ‘contact with end-user’, ‘shared understanding’ and ‘expectation management’. The factors were described as ‘barrier’, ‘success factor’, ‘strategy’, and ‘not a barrier’. Organizational and contextual factors were the main factors influencing the success of the innovation process. ‘Process rules’ was named the most influencing factor for the innovation process. ‘Procurement law’ was the most prominent contextual factor. Innovation-characteristic factors were often considered to not be relevant to the cooperative innovation process. Lastly, the interaction-specific factors were occasionally cited as a barrier and often named as a success factor, specifically ‘contact with end-user’. Interaction was key to most strategies employed to overcome barriers.
In conclusion, this study has provided a unique insight into a collaborative phenomenon in a scarcely researched setting. The findings can be used in comparison with other research on PPPs with innovative goals, especially those that have taken place in the Netherlands. Compared to literature, the appearance of interaction-specific strategies is not unique, but in their broad application to overcome a plethora of barriers is. Further research focusing on communication dynamics within PPPs is recommended to enhance collaborative efforts and drive impactful innovations in the Defense sector. In a practical sense, reviewing process and contextual rules and reviewing the accessibility of the PPP to newcomers in the sector would enhance innovation programs, fostering effective collaboration between public and private entities.","Public-private partnerships; innovation; Experimental Development; Barrier; Strategy; Defence","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:7f4fe83d-6e9d-40cd-a303-4c579f02eda1","http://resolver.tudelft.nl/uuid:7f4fe83d-6e9d-40cd-a303-4c579f02eda1","Generating reversible interlocking structures for non-compatible FDM polymers","van Zeijl, Thomas (TU Delft Industrial Design Engineering; TU Delft Sustainable Design Engineering)","Doubrovski, E.L. (mentor); Ozdemir, Mehmet (graduation committee); Delft University of Technology (degree granting institution)","2023","In the field of 3D printing, Multi Material Additive Manufacturing (MMAM) has gained substantial recognition, as it offers interesting new possibilities. MMAM allows the combination of diverse polymers to create products with enhanced, composite properties. However, a significant challenge emerges when chemically incompatible polymers are combined within this technique, which complicates recyclability. This research addresses the issue of connecting these polymers while preserving strength, durability, and the capacity for disconnection, which facilitates efficient recycling at the end of a product’s life cycle.
Polymers, while versatile and widely used, often prove to be chemically incompatible due to their distinct chemical compositions and inherent characteristics. This incompatibility becomes a major bottleneck in the field of MMAM, as it obstructs the effective adhesion of polymers to each other. The result is products that are exceedingly challenging to recycle, perpetuating a long-standing issue in the 3D printing domain. Conventional industry solutions, primarily reliant on mechanical interlocking methods, lack the necessary flexibility for disassembly, rendering the materials inseparable and hindering recycling. This issue has long hampered the establishment of a sustainable 3D printing ecosystem.
To conquer this challenge, this research introduces the “Z-pin” connection, a novel reversible interlocking method. The Z-pin method serves as a bridge connecting chemically incompatible polymers and offers an approach that exceeds current alternatives. The introduction of the Z-pin’s design helps the joining of different polymers while still facilitating their clean separation when necessary.
The Z-pin method is most novel in its remarkable capacity for disconnection. By subjecting the polymer connection to controlled heating, within a range of 60 to 95 degrees Celsius, this method facilitates the simple, clean, and efficient separation of materials. Most notably, this process can be executed with basic tools and, in certain scenarios, by manual disassembly.
The developmental process of the Z-pin method comprised three phases. The first phase involved the initial design and evaluation of a range of connection methods. Two methods that demonstrated great potential were selected for further refinement in the second phase. Here, an optimisation process took place, including geometry, print parameters, and material properties. The third phase was dedicated to implementing the enhancements identified in phase two, culminating in a comprehensive and finalized design. This iterative methodology addressed the extensive range of possibilities uncovered during preliminary research.
The research affirms the performance of the Z-pin method over conventional solutions, including the hacksaw and alternating layer methods. The Z-pin method has an impressive tensile strength, positioning it as a contender within the MMAM domain. Furthermore, its straightforward geometry affords scalability and adaptability, enabling it to meet the specific prerequisites of a diverse array of applications.","3D printing; Multi-Material Additive Manufacturing; non-compatible polymers; PLA; TPU; interlocking; Sustainable; Recycling","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:a98cf8f4-8b52-4bda-bc24-8f4fe4e6d2a1","http://resolver.tudelft.nl/uuid:a98cf8f4-8b52-4bda-bc24-8f4fe4e6d2a1","Integrating Urban Pluvial Flood Mitigation Measures and Systems for Heating and Cooling in the Urban Water System: Design for A Resilient New Theatre in Gouda","Hartmann, Nicole (TU Delft Architecture and the Built Environment)","Offermans, T. (mentor); Hooimeijer, F.L. (graduation committee); Jennen, P.H.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Heavy precipitation results in high pressure on the urban water system. This leads to pluvial flooding and overflowing of the sewer system into the surface water. In order the mitigate the impact of these events, separate sewer systems and water storage facilities are implemented in cities. Surplus water overflowing from surface water or sewer system can be stored in a reservoir for a period of time and later slowly discharged back into the water system via pumping or infiltration. In most cases, the water is not harvested for reuse. However, rainwater has a high potential for reuse. Evaporation and aquathermia are two types of the use of water in systems to cool and heat buildings. Both offer a potential application for the reuse of harvested rainwater. This research paper aims to illustrate the position of rainwater storage and harvesting in the urban water system and investigates the possibility of reusing the harvested rainwater in systems cooling or heating buildings.
In the final project, the findings are implemented in a design for a new theatre in Gouda. The design aims to create a more open, attractive and efficient theatre that will attract a wide variety of audiences. All while dealing with the challenges of the location: subsidence, water nuisance and urban heating. The new building gives space to the theatre and the neighboring community centre. A large underground storage, roof ponds and rain-curtain facades all add to a resilient and characteristic new theatre building and a comfortable indoor and outdoor climate.","Pluvial flooding; Urban Water System; Rainwater harvesting; Rainwater storage; Climate Design; Evaporative Cooling; Aquathermal energy; Thermal Energy Storage; Theatre Design","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","","52.01615366952468, 4.7120583306871895"
"uuid:de7f1d3e-3c86-4886-88ba-82046959da15","http://resolver.tudelft.nl/uuid:de7f1d3e-3c86-4886-88ba-82046959da15","Sense of Place within Transience: Reconfiguring housing efficiency and resilience in Sao Paulo’s peripheral urban condition","Torbica, Angelina (TU Delft Architecture and the Built Environment)","Mota, Nelson (mentor); Mooij, H.A.F. (mentor); Schnater, F.R. (mentor); Delft University of Technology (degree granting institution)","2023","Sao Paulo, Brazil, a megacity home to 22.4 million inhabitants as of 2022 has “one of the most inequitable distributions of wealth in the world” (Caldeira 1996, 303). In the various districts, the inequality either shouts or whispers its presence. An open city, where the street-scape is the backdrop for social convergence, segregates itself in Sao Paulo as a space of clear definition of the haves and have-nots. Within the urban condition “…the physical distances separating rich and poor have decreased at the same time that the mechanism to keep them apart have become more obvious and more complex” (Caldeira 1996, 304). As Brazil’s economic and political conditions exponentially shifted so did the reshaping of the built landscape – with that, the right to the city for the urban poor became intangible. Through the process of ‘autoconstruction’ even the poorest of citizens could gain access to the city. However, due to economic restructuring, new generations are even more at a disadvantage to precarious living conditions.
On the opposite spectrum, for the well-off, the current city skyline is arrayed with inward turned urban enclaves; congruently polarizing amenities once connected to the public street (i.e., parks, residential complexes, schools). These vertical communities retain all that is necessary within a private and autonomous space and can be positioned almost anywhere, independent of their context. In many cases, they are placed at the periphery directly neighboring auto-constructed settlements. As Caldeira coins it, “…Sao Paulo is today a city of walls” as the disparity between classes became something quite defined and accepted with the fear of crime fueling changes to all forms of public exchange (Caldeira 1996, 307). Within this, emerges a dynamic, one of codependence. The poor rely on the city for financial prosperity; the wealthy rely on the labor of the poor.
As the government begins to address housing for the city’s most marginalized through large-scale residential blocks – as a means to domesticate the transient realities of Sao Paulo’s contemporary urban condition - the notions of social segregation are still wide and apparent. As the favela is stigmatized with housing a certain social class, so is the governmental housing, and so is the urban enclave. Each environment has become categorical; of separate and unequal atmospheres devoid of connection. However, is there a way for these atmospheres to communicate? In his book, Building and Dwelling: Ethics for the City, Richard Sennett refers to three types of repair: restoration, remediation, and reconfiguration. In the case of Grajau – a peripheral district of Sao Paulo constituting for a majority of the city’s urban poor – Sennett’s ideas of repair can be disseminated within its changing context. Restoration, looking to accept the exiting approaches to marginalized housing. The second, remediation, looking to build upon existing solutions, interchanging and exchanging certain elements for an improved whole. Lastly, reconfiguration giving space for new typologies with the use of existing forms. This brings into question:
How could a kit-of-parts approach marry the efficiency of a top-down approach & the resilience of a bottom-up approach to enable place-making in self-built settlements of Grajau?
a. How can participatory process be a part of the planning process for social housing?
b. What spatial structures help create/enable the development of social cohesion in self-built settlements?
c. How can a catalogue of dwelling types adapt and meet community needs over time?
Through the combination of architectural and ethnographic research methods, the study uncovers the unique cultural nuances and spatial needs of Ethiopian dwellers. It challenges stereotypes associated with informal settlements, revealing unexpected similarities in how residents creatively appropriate their homes, irrespective of architectural differences. The subsequent design proposal for a housing complex in Yeka Abado integrates formal and informal elements, emphasizing flexibility, communal spaces, and income-generating opportunities.
The thesis advocates for a holistic, inclusive approach in architecture, emphasizing the importance of incorporating cultural heritage and community engagement. The design project serves as a conceptual exploration rooted in extensive research, aiming to bridge the gap between modern design concepts and traditional practices for inclusive and culturally responsive housing solutions.","social housing; Addis Ababa; informality; formality; Sefer; Condominium; incrementally; living culture; modular housing; Compressed Earth Block; Yeka Abado; appropriation; socio-spatial; Ethnography; flexibility","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","","9.005401, 38.763611"
"uuid:329fa452-0a83-4f03-bccb-99107462ae92","http://resolver.tudelft.nl/uuid:329fa452-0a83-4f03-bccb-99107462ae92","Model Predictive Voltage Regulation in Active Medium Voltage Distribution Grids","Zöllner, András (TU Delft Mechanical, Maritime and Materials Engineering)","De Schutter, B.H.K. (mentor); Coster, Edward (mentor); Kers, Bart (mentor); Lekić, A. (graduation committee); McAllister, R.D. (graduation committee); Delft University of Technology (degree granting institution)","2023","The renewable generation capacity, particularly solar and wind power installations, has increased steadily in the Netherlands over the course of recent years. Due to the local, small-scale nature of these power plants (compared to conventional power plants), a large share of this generation capacity is installed into the medium- and low-voltage distribution grids. This trend acts as the source of several challenges for distribution system operators (DSOs) such as Stedin Netbeheer B.V. (a DSO in the Netherlands, supporting this project). One of the main problems is the fact that voltage limit violations, particularly upper voltage limit violations, become more frequent.
Conventional voltage control schemes assume a unidirectional power flow (i.e., consumption only) in distribution grids and are unable to keep the limits in case a large share of generation capacity is installed. This is further complicated by the fact that underground cable networks, typical in Western European electricity distribution grids, have lines with large R/X ratios, which reduces the effectiveness of reactive power injection-based voltage control methods.
This MSc project intends to solve the issue of voltage limit violations with a model predictive control (MPC) policy. The considered control actions coordinated by the model predictive controller are the switching of the on-load tap changer (OLTC) mechanism mounted to the primary substation’s transformer, setpoint adjustments of the low-level OLTC control relay, and the active power curtailment of larger photovoltaic plants. A linear, sensitivity-matrix-based model is used for the grid’s state prediction; and the sensitivity values are re-calculated at each sampling time step of the MPC. To avoid the curtailment of photovoltaic (PV) plants when not justified, a conditional curtailment logic is incorporated into the MPC policy: PV plants are only allowed to be curtailed if their local voltage magnitude is above a tuneable threshold. This logic is described and incorporated into the model predictive controller’s optimization problem with the help of binary variables and mixed-integer linear (MIL) constraints. The benefit of incorporating knowledge about future disturbances (load, generation, and external grid voltage profiles) is also tested, in order to assess the potential benefit DSOs could get from forecasting these quantities.
A case study was conducted in which the considered controllers were tested on a section of a Stedin grid that carries the characteristics of a typical Western European medium voltage distribution grid: large R/X ratios and a large installed PV generation capacity both in the form of household generation and larger PV plants. All controllers were simulated in 4 different test cases: a typical summer day, a summer day with the external grid’s overvoltage, a summer day with 2 out of 3 large PV plants not operating, and a typical winter day. All test cases have the control goals of mitigating limit violations and ensuring that the nodal voltage magnitudes are as close as possible to the nominal 1 per unit throughout the day. The winter day test case has the additional goal of avoiding excessive curtailment as PV energy is worth considerably more during these days. All profile data is based on real Stedin measurements. The designed model predictive control policies are compared to two simple control schemes: current compounding, i.e. when the primary substation’s automatic voltage control relay’s setpoint is adjusted based on the active power delivery through the substation’s transformer, and another scheme when current compounding is combined with local active power curtailment controllers for large PV plants. The most important metrics used for comparison are voltage root mean square error (RMSE), the total voltage limit violation area, the percentage of curtailed PV energy, and the number of tap changes over the considered day. The simulations were carried out using Python and DIgSILENT PowerFactory.
The simulation results show that the MPC policy can perform better than the simple control schemes but only when exact knowledge of future profiles is available. In this case, the MPC results in lower voltage RMSE, smaller violation areas, and lower curtailment percentage values, at the expense of using more tap changes in all 4 test cases. In 3 of the 4 test cases, MPC was completely able to eliminate voltage limit violations, showing clearly the advantages of good quality forecasts on future disturbances. Since this exact knowledge about the near future fluctuations is quite ideal, more realistic MPC policies were also tested with no future knowledge and tightened voltage constraints. These simulations brought mixed results when compared with the simple schemes, performing better in terms of voltage RMSE, but worse in terms of the total voltage limit violation area.
In this thesis, a method is presented to assess the position of airports’ in a European intermodal network. This tool helps identify airports with the potential to facilitate intermodal travel in Europe. The service levels in both the air and the rail network, the physical integration between the modes, and the geographical location of airports are considered in different variables to assess the network. A Principle Component Analysis identifies the shared information between the different variables. The Principle Components are then clustered using an Agglomerative Hierarchical Clustering. With this clustering, airports are grouped based on their characteristics of intermodal factors, and different roles in an intermodal network can be identified. Comparing the descriptive statistics of each cluster helps assign qualitative labels to the cluster to classify the airports’ roles. After creating the benchmark with data from the current network situation, scenarios are constructed to assess the effects of implementing different policies regarding intermodality. Extending rail services and/or reducing short-haul flights are policies formed into scenarios in which the underlying data is modified. The change of clustering and classifications caused by the data modification helps identify potentially interesting airports in the network.
The results show that 20 to 30 of the 113 considered airports show a substantial role in intermodality. Also, the findings identify airports that change their position due to the scenario implementations and offer the potential for a significant role in an intermodal network. Finally, comparing the classifications in the different scenarios shows that the constructed scenarios can enable up to 14.3% extra passengers to travel intermodal.","Airport classification; Intermodality; Air/Rail integration; Hubs; Principle Component Analysis; Agglomerative Hierarchical Clustering; Europe","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:8151c738-b06e-4f9c-aec3-c814f8d61cf3","http://resolver.tudelft.nl/uuid:8151c738-b06e-4f9c-aec3-c814f8d61cf3","Numerical Analysis of Tonal Noise Emissions from Open and Shrouded Contra-Rotating Propellers","Barker, Evan (TU Delft Aerospace Engineering)","Ragni, D. (graduation committee); Zarri, A. (mentor); Delft University of Technology (degree granting institution)","2023","As market growth projections for Urban Air Mobility vehicles (UAMs) skyrocket, their presence in urban environments is likely to become increasingly prevalent, as will their noise. This creates a disturbance to both humans and wildlife, previously unaffected by aircraft noise. Further reinforcing the concern is the new multi-rotor designs, which introduce additional sources of noise.
Research on novel designs provides a limited understanding of the primary noise-generating mechanisms contributing to overall sound production. Among these innovative designs, complex geometries like open Contra-Rotating Propellers (CRP) and Shrouded Contra-Rotating Propellers (S-CRP) emerge. This study focuses on CRPs because they are anticipated to offer increased thrust with the same platform area, crucial for urban UAM operations. Additionally, shrouds are explored for their potential for increased thrust, acoustic shielding, and directivity manipulation, while also offering space for acoustic liners and increasing safety for ground operators.
The objective of this study is to isolate the aerodynamic and acoustic installation effects and identify the noise-generating mechanisms of the CRP and S-CRP configurations.
For this, the aerodynamics and acoustics of six propeller configurations are analyzed, including both the primary CRP and S-CRP geometries and sub-variants thereof. The exploration uses a hybrid numerical methodology, consisting of an aerodynamic flow solver based on the unsteady Reynolds-Averaged Navier-Stokes equations (uRANS) and a Finite Element Method (FEM) acoustic propagation solver. The latter generates acoustic sources from the aerodynamic solution using the source mode formalism.
This not only facilitates an aerodynamic understanding of the acoustic sources but can also detail near-field effects on acoustic propagation. While alternative methodologies achieving similar results necessitate high-fidelity simulations, the uRANS-FEM method can effectively capture aerodynamic and propagation effects within a moderate computational time. However, it also restricts analysis to tonal components of loading noise.
The CRP configuration showcased an improvement in efficiency metrics, marked by a 2.98% increase in FOM and a 57.53% increase in thrust per area, suggesting their higher efficiency and compactness compared to a single rotor. However, this came at the cost of increased noise levels, with amplifications ranging from 10 to 50 dB across various harmonics, attributed to the interaction of the contra-rotating blades. The study further noted a reduction in thrust for both the lead and rear propellers due to the interaction, particularly within the inner 75% radius of the rear propeller. A correlation between the azimuthal angle of the peak thrust and the angle of the highest noise generation was also observed.
When employing a shroud on a CRP, significant modifications in the performance of the shroud were not as anticipated in the literature. The shroud, while contributing to overall thrust, led to a considerable thrust reduction (over 54%) for both propellers due to separated flow. Acoustically, the shroud induced only a minor reduction in noise due to the aerodynamic effects, primarily due to decreased mean thrust. The dominant aerodynamic noise-generating mechanism in the S-CRP configuration is still the blade interaction...
Residents in the peripheral neighborhoods occupy open land in areas of varying environmental risk, susceptible to soil degradation, flooding, landslides, and health risks due to waste discharge. They cannot access publicly supplied water or sanitation and must rely on water cisterns, illegal connections or self-made sanitation disposal to acquire the necessary infrastructure to lead a healthy life. These risks, in addition to the future risks of climate change, disproportionally impact those living in informal settlements, due to their social vulnerability and socio-economic status.
Development-induced displacement of residents within informal settlements is enforced by the São Paulo municipal government, to permit the implementation of public infrastructure such as water lines, sanitation, drainage, street paving, and the removal of housing structures in at-risk areas. While the purpose of displacement contributes towards a positive outcome - upgrading neighborhoods with much-needed services, or rehousing residents to safer environments – the social impact of displacement is palpable. Forced displacement may move residents away from their sources of income and social networks, considerably impacting their mental well-being or exposing them to financial precarity. Readaptation to new neighborhoods or lifestyles can also be difficult for residents.
The project seeks to create a balance between social and climate resiliency to address the challenges of environmental risk and resettlement. The project proposes a new social housing system, built on the principles of clustering and the Radburn Plan, to achieve an urban design which integrates public space with rainwater flows, public infrastructure, and housing. The project location, Jardim Campinas in Grajaú, São Paulo, is used as a framework for the urban system to rehouse displaced residents from various communities onto one site. The socio-ecological design approach is implemented at each scale – urban plan, sector, cluster, and dwelling unit. The design aim is a renewal of the urban fabric, by building upon existing social and ecological networks to reinvigorate social connections and relationships between natural and built environment.","Global Housing; Social Housing; Sao Paulo; climate adaptation; Displacement; Risks; Flooding resilience; urban renewal; social resilience; Brazil","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Global Housing","","-23.77, -46.704"
"uuid:c2e93652-2bb7-4bba-9319-8dcdc5e68835","http://resolver.tudelft.nl/uuid:c2e93652-2bb7-4bba-9319-8dcdc5e68835","In the garden of Újlipótváros: Discovering the potential of an urban block","van Haastrecht, Benkt (TU Delft Architecture and the Built Environment)","Mejia Hernandez, J.A. (mentor); Jennen, P.H.M. (mentor); Delft University of Technology (degree granting institution)","2023","This graduation project investigates the potential of a site in the interior of an urban block in the 19th century neighborhood of Újlipótváros in the north of Budapest. The proposal is to convert this previously private and fenced off underused area into a cultural center within a large collective space. An open space divided in public and private naturally coming forth from the shape of the existing buildings. The cultural center is composed of 3 buildings each in a different relationship to the existing, a theatre build against a party wall, a courtyard of multipurpose spaces squeezed in between the existing buildings around a large existing tree and a free standing tower housing a gallery. All buildings are using the same structural logic, in a system of engineered wooden elements which can be installed without damaging the delicate site. The space in between is the connector of these buildings and is the core of the project, the potential of these inner courtyard blocks is to be a space for retreat and create an space away from the harsh and imposing environment that the surrounding city can be.","Budapest; Cultural Centre; Garden; Urban block; densification; Újlipótváros","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Methods and Analysis | Positions in Practice","","47.5162174907031, 19.05604218924858"
"uuid:ca6455b5-ff96-4db6-9447-56523d308207","http://resolver.tudelft.nl/uuid:ca6455b5-ff96-4db6-9447-56523d308207","Designing Dutch tunnel ventilation systems dominated by an uncertain fire scenario","van der Drift, Sophie (TU Delft Mechanical, Maritime and Materials Engineering)","Noel, L.F.P. (mentor); Delft University of Technology (degree granting institution)","2023","Adequate mechanical ventilation serves as the first and most important life-saving appliance during a tunnel fire. The most severe threat during a tunnel fire is the smoke. Most victims get incapacitated by the smoke, after which they decease from intoxication and/or suffocation. By means of mechanical ventilation, smoke-free escape routes via the central egress corridor are created. Two stages are considered in mechanical ventilation system design. The first stage involves the identification and positioning of jet fans to create a longitudinal ventilation system in the tunnel. The dangerous undesired reverse flow of smoke in the tunnel, back-layering, can be prevented by achieving a minimum critical air velocity. The second stage is a positive pressure establishment in the central egress corridor with respect to the tunnel. This is essential to prevent smoke flow from the tunnel into the central egress corridor through open escape doors. The tunnel ventilation performance is highly influenced by the position and heat release rate of the fire. Given the uncertainty concerning the fire, it is imperative that longitudinal ventilation is designed to consistently meet the back-layering constraint across all possible fire scenarios. For enhanced longitudinal ventilation reliability, jet fan placement at the tunnel entrance is preferable, while positive pressure ventilation benefits from a scattered jet fan layout.
A design approach based on the fundamentals of topology optimization is used to construct a systematic design method. To streamline the design of ventilation within the central egress corridor, optimizing longitudinal tunnel ventilation design while minimizing pressure downstream of the fire in the tunnel is advantageous. To prevent energy dissipation, a secondary objective specified as a penalization objective was introduced to promote the required distance between jet fans. This objective determines the placement of jet fans by considering the positioning of nearby jet fans within a specified distance, influenced by a penalization exponent. To address the fire related uncertainty, a scenario-based approach is applied. This method has the capacity to accommodate multiple fire scenarios simultaneously, where the designer can select the desired quantity.","Tunnel Fire Safety; Uncertainty; Topology Optimisation","en","master thesis","","","","","","","","2025-11-09","","","","Mechanical Engineering","",""
"uuid:ad10f6bc-da0e-446a-a8f2-7eeb5f61fabe","http://resolver.tudelft.nl/uuid:ad10f6bc-da0e-446a-a8f2-7eeb5f61fabe","Transitions: Reviving the historical legacy of swimming in the Danube by redesigning its waterfront","Rio Maior Alvarez e Serra, Mariana (TU Delft Architecture and the Built Environment)","Mejia Hernandez, J.A. (mentor); Havik, K.M. (graduation committee); Jennen, P.H.M. (graduation committee); Wilms Floet, W.W.L.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","In a world that is becoming more virtual by the day, there is a longing for physicality that yearns to be fulfilled. This thesis explores the potential of architecture to evoke diverse behaviors and emotions, drawing from the idea that individual perceptions of space are subjective and influenced by the memories and knowledge ours bodies have absorbed from our unique lived experiences. With Budapest as a dynamic testing ground, the thesis focuses on activating the Danube waterfront.
The proposed project consists of a retreat that transitions the people from Budapest to the water fostering a more symbiotic relationship between the urban community and the river.
The project comprises two parts. The first is a private bathhouse facility, nestled within a once-concrete expanse in a park and sheltered within a public layer. The result is a building that outwardly serves the public, while inwardly housing an introvert private bathing facility. The second is a public floating structure, paying homage to the evocative reminiscences of old pontoon pools with a purpose of re-activating the historical legacy of swimming in the river. By sewing these two worlds together in one area, while bridging the community physically to the river, the proposal becomes a revitalisation of the waterfront.
The goal was to craft an architecture that delivers spaces capable of leaving a lasting impression on the mind and bodies of those who engage and experience them. Architecture is the set for life to happen, and we, as set designers, choreograph and orchestrate different scenarios and environments for different users to engage with - and the play plays out.
Objective: To obtain a robust control signal the study 1) investigated the relation between event-related desynchronization (ERD) and mechanical stretch reflex size in the flexor carpi radialis across four muscle pre-loads consisting of 0%, 5%, 25% and 40% of maximum voluntary contraction (MVC), 2) investigated the ability of three offline signal processing paradigms in distinguishing between periods of rest and activity using EEG data associated with motor execution and motor imagery, 3) built a pseudo-online signal processing paradigm to simulate real-time signal processing based on a single trial and a continuous data stream.
Method: Mechanical stretch perturbations were applied to the wrist under four percentages of MVC during motor execution and imagery conditions in six healthy subjects. The data anal- ysis encompassed signal processing techniques including pre- processing with a large Laplacian filter, feature extraction through autoregressive modelling (AR), power spectral density (PSD), or discrete wavelet transform (DWT), and classification using linear discriminant analysis (LDA).
Results: Mechanical stretch reflex sizes and ERD amplitude significantly increased with increasing percentage of MVC for motor execution trials. For motor imagery trials, no significant correlation was found between the stretch reflex size and ERD amplitude. The offline signal processing paradigms resulted in classification accuracies of 73.55% (PSD), 71.96% (DWT) and 57.13% (AR). The classification accuracies significantly increased with increasing percentage of MVC. The pseudo-online paradigm resulted in a mean classification accuracy of 51.38%.
Conclusions: The EEG-based BCI shows potential for enhancing the functional recovery of patients with motor disorders. The findings demonstrate that feature extraction methods PSD and DWT could effectively distinguish between periods of rest and activity in motor execution data. Nevertheless, for the intended application, including real-time processing based on single trial motor imagery data, BCI performance should be improved. Future research should focus on motor imagery EEG data encompassing motor imagery training and feedback on motor imagery performance.
Public stairs are positioned in the centre of the building, connecting the spaces under and above ground in one big continuous movement. The stairs come up inside a bay window with a view over the water just outside the main building volume, providing a scenic view of the water and connecting the park side to the waterfront. These stairs connect the park and the water as well as the industrial roughness of the lock space with the domestic intimacy of the gallery spaces and form a focal point in the building.
The facade is composed of panels made from offset glass blocks with wooden strips in between. For this facade I designed a new element which doesn't use mortar the connect the blocks and is demountable. Creating a translucent and transparent facade around the building which lets light through during the day and lights up at night.","Museum; Architecture; M HKA; Antwerp; glass block","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Interiors Buildings Cities","","51.2098275876965, 4.388755952738928"
"uuid:ba4bb2fc-e3d5-4029-bab2-1fddb9b249aa","http://resolver.tudelft.nl/uuid:ba4bb2fc-e3d5-4029-bab2-1fddb9b249aa","Design and control of an energy storage system for voltage flicker caused by clouds passing over photovoltaic systems","Wix, Lynrick (TU Delft Electrical Engineering, Mathematics and Computer Science)","Chandra Mouli, G.R. (mentor); van Voorden, A.M. (mentor); Fidder, Henk (mentor); Bauer, P. (graduation committee); Cvetkovic, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","As more and more solar panels are installed on households, a problem arises when the power from the solar panels fluctuating throughout the day due to clouds passing over the solar panels. This in turn causes voltage flickers in the household, which can be visible/irritable to the human eye and damage appliances in the household. These voltage flickers can exceed limits set by the IEC standard 61000-3-7 or the visible flicker threshold on the Low Voltage (LV) network set by Qualtech [1]. This problem can be solved by making use of an Energy Storage System (ESS) which delivers active power in order to reduce and avoid the voltage fluctuations above the visible flicker threshold. In this report, a novel control algorithm is designed and implemented in two different ways to control the active power dispatch of the ESS. The main goal for the control algorithm is to reduce or avoid any visible voltage flickers from occurring and minimizing energy usage asmuch as possible in order to open the possibility for a Supercapacitor Energy Storage System (SESS) application in the future. For this, a power to energy ratio of higher than 70 is needed to achieve this. The primary control algorithm makes use of a moving average with a weight distribution that is optimised for smoothness and accuracy. Furthermore, extra layers of control was added to the control algorithm tominimize energy usage. The first implementation of the control algorithm, called power control, makes use of the Photovoltaic (PV) system output power to dispatch the appropriate amount of power from the ESS. The second implementation, called voltage control, makes use of the measured voltage at Point of Common Coupling (PCC) to dispatch the ESS power. Simulation results using pre-existing PV system data showed that the power control implementation was not able to fully eradicate all of the measured visible and annoying voltage flickers. The voltage control implementation was able to do so within the window of operation. Furthermore, simulation results showed that the energy usage from the control algorithm with a power control implementation uses 87% percent less energy than a control algorithm using conventional moving average. The energy usage from the control algorithm with a voltage control implementation uses 95% less energy than the conventional moving average control algorithm. Furthermore, the power to energy ratio of the control algorithm with a power control implementation was around 98.9 and with a voltage control implementation the power to energy ratio was around 190.2. This shows a clear implementation of a SESS in the future. Two different experimental setups were built and commissioned. The first setup having the Battery Energy Storage System (BESS) connected via an inverter on the same Alternating Current (AC) bus with the PV system. The second setup has the BESS connected on the same Direct Current (DC) bus with the PV system which is then connected to an inverter. Experiment results showed that the DC connected ESS experiment setup operating in power control mode had the best performance in terms of avoiding any visible voltage flickers from occurring. While the AC experimental setup and mode of operation (voltage and power control mode) did not operate properly due to the slow inverter response time. In terms of energy usage, both experimental setups had very low ESS energy usage. Although the results showed that power to energy ratio from both experimental setups using both power and voltage control did not exceed the set goal of 70 due to the limitations of both experimental setups. Finally, a conclusion based on the results is given with future work and as well as recommendations for the continuation on this research topic.","Voltage flicker; Photovoltaic systems; Energy storage systems; Control algorithm","en","master thesis","","","","","","","","2025-11-09","","","","Electrical Engineering | Electrical Power Engineering","",""
"uuid:747e2609-d469-4891-9701-c380a32a56ce","http://resolver.tudelft.nl/uuid:747e2609-d469-4891-9701-c380a32a56ce","The architectural challenge for physically disabled people: A research through the eyes of the physically disabled","Smorenburg, Sanne (TU Delft Architecture and the Built Environment)","van de Pas, R.R.J. (mentor); van Dooren, E.J.G.C. (graduation committee); van den Ban, R.R. (graduation committee); Bilow, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Currently people with physical disabilities do not have the option to be away from home for a short or longer period of time to relieve themselves from the medical situation at home. This creates a feeling that there is currently a building typology missing in society and forms a challenge to be further investigated. The objective is to gather knowledge about how physically disabled adults experience a place and in what way an architectural design can influence this in a positive way.
The focus for this research is on the physically disabled adults who live at home, are dependent on a wheelchair and daily care. The following main research question has been formulated to answer the problem statement above: “In what ways can architecture overcome the limitations that adults with physical disabilities face in daily life?” To be able to answer the main research question literature research was carried out and interviews were conducted among 5 participants. Two physically disabled, one informal caretaker and two medical professionals. All these participants are representative for both the interview as well as physically disabled adults in the home situation. The interview responses showed that there are 4 main important themes: spatial, social, independence and healthcare. This indicates that these themes are important for all participants. To conclude, the current built environment is missing an accessible building typology, a place that provides care without feeling so for both physically disabled adults as for able bodied people to spend time away from home.
Based on this my advice for follow-up research would be how to create awareness among architects about the life of physically disabled adults and how they can design for them?","Accessible architecture; Physical disability; Organic architecture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","",""
"uuid:5f87aca6-7966-4e12-92ea-cd4652fe929f","http://resolver.tudelft.nl/uuid:5f87aca6-7966-4e12-92ea-cd4652fe929f","Local Referendums for Urban Area Development: How public value conflicts contribute to the design and decision-making","den Hartog, Tessa (TU Delft Architecture and the Built Environment)","Ersoy, A. (mentor); Hobma, Fred (graduation committee); Delft University of Technology (degree granting institution)","2023","Local referendums are part of the local democracy and give citizens more direct discission power by their votes.The instrument can be used by the citizens to express their resistance towards the municipal plans or used by the municipality to consult their citizens about their plans. In the Netherlands, local referendums are despite their non-binding character still used nowadays. This instrument is also used for Urban Area Development plans, such as Amsterdam IJburg (1997) whereby citizens and special interest groups were concerned about the loss of nature in the area due to the large-scale land reclamation project. Another example is Arnhem Stadsblokken-Meinerswijk (2016), whereby a group of citizens expressed their concerns about building in the floodplains after the national policy ‘ruimte voor de rivier’ was introduced to make more space for the river instead of building in these areas. Until now, studies have been done about local referendums in general, the topics, about voting behaviour and the frequency of it. In addition, some research has been done on local referendums in urban development areas in Europe and America. However, studies about the added value of a local referendum in the Netherlands specifically about Urban Area Developments are missing. The report answers the following research question: How can a local referendum for urban area development contribute to the design and decision-making? The goal of the research is to gain a better understanding of the added value of a local referendum used for larger urban area development topics and how this influences design and decision-making. The research question will be answered by a literature review and the use of qualitative data, including interviews and archive documents on the case studies of IJburg and Stadsblokken-Meinerswijk. The research output is a list of recommendations for municipalities, on how the local referendum process can be improved specifically for UAD topics. The added value of local referendums for urban area development topics is mainly the contribution to legitimate decision-making. Also, citizens have more decision power next to the regular voting moments. In addition, the arguments on how this plan will support the city become clearer. Besides, the counter arguments and doubts about the plan are better heard than without a referendum. This research shows that conflicting public values, such as environmental values and development values, can by using a referendum create more awareness and could even turn into a positive outcome. However, from the analysis of the interviews, it became clear that there is a desire for an alternative form of referendums for UAD due to its more complex character wherein many interests are involved. The recommendations are mainly focused on the implementation of communication in the process. A participation process included in the referendum process is regarded as an essential part for municipalities and citizens to produce a coherent and feasible solution. This could even avoid conflict and thus corrective referendums.","Local Referendum; Urban Area Development; Design Change; Decision Making; Public Values; Public Value conflict; Nature","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","","51.98019110381755,5.891367844090252"
"uuid:d323a359-b91a-471f-bfde-52de9056c140","http://resolver.tudelft.nl/uuid:d323a359-b91a-471f-bfde-52de9056c140","Cyber Security and Resilience of Distributed Energy Resources Using Blockchain Technology at the Edge of the Smart Grid","Vian, Giacomo (TU Delft Electrical Engineering, Mathematics and Computer Science)","Stefanov, Alexandru (mentor); Palensky, P. (graduation committee); Qin, Z. (graduation committee); Semertzis, I. (graduation committee); Subramaniam Rajkumar, Vetrivel (graduation committee); Delft University of Technology (degree granting institution)","2023","The proliferation of Distributed Energy Resources (DERs) is decentralizing the power system, with more and more capacity installed in the distribution grids. Concurrently, the energy sector is embracing the Internet of Things (IoT) paradigm, resulting in the emergence of the Internet of Energy. However, this transformation introduces new concerns regarding cyber security. As the number of interconnected devices increases, the possible attack surface for malicious actors expands. Recognizing this challenge, researchers are investigating the potential cyber security benefits of applying blockchain in power systems. Blockchain offers some secure-by-design features, such as the immutability of the stored data, that can be leveraged to improve the cyber security of smart grids.
In this work, a blockchain-based application for the monitoring and control of a feeder in the Low-Voltage (LV) distribution grid is designed and tested. A smart contract is created and deployed in a private Ethereum blockchain utilizing the Proof of Authority (PoA) consensus mechanism. The blockchain application enhances the cyber security of the LV distribution system in three ways. First, it detects cyber attacks targeting DERs by comparing the setpoints received by prosumers with smart meter measurements. Second, it prevents cyber attacks by enabling the exchange of measurements and setpoints on-chain and by preventing unreliable prosumers from participating in the voltage regulation market. Third, it mitigates the effects of cyber attacks on the steady-state voltage magnitudes by enforcing a novel voltage regulation mechanism, in which a new metric is proposed to quantify the power-to-voltage relationship while considering the location of the power exchange.
The efficacy of the blockchain application is tested in a co-simulation environment together with a modeled LV distribution network, simulated in DigSILENT PowerFactory. The distribution network model is first used to assess the impact of cyber attacks manipulating the setpoints of Battery Energy Storage Systems (BESSs), which have been identified as the most critical DERs. The simulation results demonstrate that the considered cyber attacks can force the disconnection of inverters by causing violations of the acceptable steady-state voltage magnitudes. One of the scenarios demonstrates that a cyber attack targeting half of the BESSs in a feeder can lead to the collapse of the voltage, causing a local outage. Finally, the results of the co-simulation of the blockchain-based monitoring and control system, achieved by the Open Platform Communications Unified Architecture (OPC UA) communication protocol and by a series of clients managing the data streams, demonstrate its efficacy in detecting cyber attacks and mitigating their impact on the voltage magnitude across the feeder, thus reducing the number of disconnected DERs.
The research was carried out by investigating multiple gridshell node types. For each node type, the required fabrication processes were identified. Carbon data was gathered for all these processes, as well as for the materials making up the structure of the gridshell. The GWP of a gridshell structure was then calculated in Excel per node type, based on design variables. A parametric structural analysis script was made in Grasshopper using the plugin Karamba. This script provides the Excel sheet with the variables, allowing it to calculate, and optimize the GWP value. An existing gridshell made by Octatube was used as a case study throughout this research.
The research concludes that processing has a very limited impact on the GWP of a gridshell structure, with most of the GHG emissions being related to the materials. The choice for node type that is utilized, does influence the GWP significantly. The research has produced a ‘tool’ for assessing and/or optimizing the GWP of a gridshell structure. The tool consists of the Excel sheet and Grasshopper script, and has the potential to be very useful in early design stages to not only assess, but to help optimize the GWP of a gridshell structure.","Gridshell; Embodied carbon; GWP; Optimization","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:17d690ca-159b-483d-b454-b8071043c603","http://resolver.tudelft.nl/uuid:17d690ca-159b-483d-b454-b8071043c603","PUBLIC + BUILDING, A design approach for the new Flemish Museum of Contemporary Art (VMHK) formerly known as M HKA","Manuel, Denzel (TU Delft Architecture and the Built Environment)","Pietsch, S. (mentor); Thomas, A.R. (mentor); Parravicini, M. (mentor); Sepulveda Carmona, D.A. (graduation committee); Rosbottom, D.J. (graduation committee); Pimlott, Mark (graduation committee); De Vocht, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Flemish Museum of Contemporary Art (M HKA) is an institution strongly rooted in the existing fabric and socio-political framework of Antwerp South. The museum is located on the outskirts of the city, which has undergone consistent urban development and urban planning as the city grew into one of the most diverse metropolitan areas in Europe. In this development, the Antwerp Museum of Contemporary Art gradually sought to evolve with society and make way for a larger institutional purpose that pushed the museum agenda towards a more formal and generic interpretation of art spaces and how they are interpreted in light of the current zeitgeist.
In my final project, I revisit the meaning of art presentation in relation to cultural building by reconnecting with MKHA’s historic approach of capturing the essence of conserving and presenting contemporary art, an identity and genesis of an institution that has curated its growing collection between less conventional building layout and architectural fabric.
My design proposal is based on the sustainable idea and design language of reusing existing building tissue, specifically the former courthouse building which is assigned for the new developments of the M HKA or at the time entitled: Vlaams Museum voor Hedendaagse Kunst (VMHK). A building typology that exists of two prefabricated office towers that are connected by a low-rise structural addition and entrance.
Here, the practice of preserving and exhibiting art in an anti-museum condition becomes relevant again through the presence of existing structure and building layout, which, in resemblance to the former MHKA building, reinforces this familiar identity in which exhibiting art bears the title ‘symbiosis between’ anti-museum condition and institutional purpose.
An analytical uncertainty analysis assessment explores the propagation of uncertainties in the employed methods, highlighting the impact of several parameters on the combined uncertainty on the results. Additionally, an analytical expression for the amplitude-dependent errors in dynamic tests is derived, providing a useful tool to predict such nonlinear effects. A simulation study numerically verifies the results from the uncertainty analysis, as well as the solution equations used for the methods.
The methodology's validation is carried out through three consecutive test campaigns. The results demonstrate the capability of the static tests to consistently determine mass and CoG coordinates with limited uncertainties. The BFP method achieves satisfactory accuracy, although unexpected deviations from the numerical predictions are observed. As for the CP method, multiple factors exert a large influence on the accuracy of the final results. Among the ones analyzed in this work are: the length of the ropes, the radius of gyration of the body, and the accuracy in the frequency measurement. Moreover, in both dynamic tests the type of suspension system is found to have an effect on the accuracy of the measurements.
While not all the intended objectives have been achieved, this thesis contributes to the understanding of testing methodologies for rocket stages, and offers insights into achieving accurate and precise results with simple and cost-effective methods.","","en","master thesis","","","","","","","","2025-11-08","","","","Aerospace Engineering","",""
"uuid:6af29452-e644-4e77-a20c-a53bd9706f7b","http://resolver.tudelft.nl/uuid:6af29452-e644-4e77-a20c-a53bd9706f7b","Monolithic Spaces: Life in the shadows of fast urbanism","Al-Muraikhi, Reem (TU Delft Architecture and the Built Environment)","Alkan, A.S. (mentor); van der Meij, A.M.R. (mentor); Fokkinga, J.D. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Transitional Territories","",""
"uuid:99e5c44d-03f7-4769-95c1-4a3e37e23bc7","http://resolver.tudelft.nl/uuid:99e5c44d-03f7-4769-95c1-4a3e37e23bc7","A-peel, A foraging enrichment device: Designing a foraging enrichment device for the primates of ARTIS zoo","Hack, Roos (TU Delft Industrial Design Engineering)","Schifferstein, Hendrik N.J. (graduation committee); Flint, Govert (mentor); Delft University of Technology (degree granting institution)","2023","► Introduction & Client | Over the last decade, there has been a notable increase of interest in discussions surrounding animal welfare, driven by a deeper understanding of the mental states of animals. This growing awareness has triggered a global push to improve laws and regulations in animal welfare, prompting zoos worldwide to increase their efforts in enhancing the quality of life for their captive animals.
Environmental enrichment has emerged as a crucial tool in increasing animal welfare, supported by studies showcasing its positive impact on reducing aggression and abnormal behaviour in captive animals. ARTIS Zoo actively applies environmental enrichment into its practices and collaborates with the University of Amsterdam to delve deeper into the behaviour and needs of their animals. Scientific research at ARTIS Zoo includes an ongoing exploration into whether primates can recognize time intervals.
► Project Scope | In an effort to further enhance the lives of their animals, ARTIS Zoo has joined forces with the faculty of Industrial Design Engineering of TU Delft to design a versatile device serving as both an enrichment tool and a research device. The project focusses on designing a feeding solution that mimics the natural foraging behaviour of the yellow cheeked gibbon and black crested macaque, taking into account both physical behaviour as well as cognitive abilities.
► Literature research | Literature research has delved deeper into animal welfare, environmental enrichment, and primate behaviour, resulting into important insights. Primates can forage efficiently because of their physical advantages over other animals while also using the WWW-memory (What, where and when). Primates use synchrony, temperature, solar cues and sensory cues to locate ripe fruit. Yellow cheeked gibbons forage in the high trees for fruit, while black crested macaques forage mostly on the ground between bushes and grass.
A vision has been shaped: The product should give the primates more autonomy over their feeding process while triggering the cognitive memory, decision making and problem solving abilities.
► Requirements | The design considerations for the device were carefully outlined, addressing the distinct needs of stakeholders:
Primate Usage: Concentrated on promoting foraging behaviour to reduce inactive periods.
Zookeeper Usage: Prioritizing a user-friendly design to maximize usability.
Researcher Usage: Enabling dual-purpose functionality for both enrichment and research, with remote control capabilities.
Safety Considerations: Ensuring material, assembly, and food safety through detailed specifications.
► Design | The final design (Figure 1.1) takes the form of a fruit, which needs to be peeled by primates to reveal food inside. The device closes automatically and can be locked and unlocked remotely. Iterative design improvements enhance user usability, material sturdiness, and food safety.
► User testing | User testing with gibbons at ARTIS Zoo showcases significant interest and interaction, supported by observational data indicating heightened engagement between gibbons, increased foraging activities, social behaviour and extended active periods in the presence of the device.
Activation of the somatosensory cortex is clearly visible. The visual cortex is often localized, but lacks power in some settings. Activity during the torque task can be localized, but not conclusively to the motor cortex. In all, the experiment was a success, as it was able to induce verifiable different brain states. Hypothesized task contrasts contained different activity distributions. Improvements can be made by generating a more detailed leadfield and by applying a linear manipulator","EEG; fMRI; Source Localization; Haptic; MR compatible Robot","en","master thesis","","","","","","","","","","","","Biomedical Engineering","4D EEG",""
"uuid:0e0f87a3-ac28-42ec-9579-117f84c359bb","http://resolver.tudelft.nl/uuid:0e0f87a3-ac28-42ec-9579-117f84c359bb","The Things We Have In common: The role of landscape architectural design in transforming landscape edges into commons","van Halsema, Jantine (TU Delft Architecture and the Built Environment)","de Wit, S.I. (mentor); Staničić, Aleksandar (graduation committee); Delft University of Technology (degree granting institution)","2023","Over the past decade, within many fields, there has been growing interest in thoughts and theories from the commons. In commons theory, the conceptualisation of something as a commons, is a way in which a common resource can be protected from enclosure, overexploitation or neglect by connecting it to a community which uses, manages and (re)produces the resource in a sustainable and socially just way, creating added value for the community as well. With the commons being originally connected to landscapes and natural resources, but the way we experience, use, and value landscapes has been changing, it is relevant to explore a contemporary relation between landscape and commons, and possibly using commons theory within landscape architecture as well.
This thesis explores the role of landscape architectural design in transforming landscapes into commons, with the particular focus on landscape edges. The study is focussed on the city of Den Helder which, being surrounded by the North sea and Wadden sea, has a valuable and intriguing land-water edge. However, this landscape as a resource is under threat of enclosure and neglect since the design and occupation of this edge limits its use by both humans and non-humans.
This research provides a framework for contemporary landscape commons consisting of ‘Landscape as Commons’ and ‘Commons in the Landscape’, and defines important factors and values to create landscape commons for both human and non-human communities. From a design exploration in the Wadden sea coast of Den Helder, general principles are derived to transform landscape edges into commons for both human and non-human species.
From the combined research can be concluded that ¬the landscape architectural design predominantly works on the level of resources, and has limited influence on the components of community and social practices. Through landscape architectural design, suitable conditions can be created for human and non-human communities to experience, make use of, appropriate and manage landscape edges as commons. This provides an important starting point for the transformation of landscape edges into commons, and provides a framework that balances different landscape values that need to be considered for landscapes to operate as commons.
To investigate the impact of moving objects in dynamic indoor environments, we first benchmark representative visual (dynamic) SLAM approaches, complemented by robustness assessments for preliminary insights. During this process, we adopt challenging sequences from GRADE, an ideal platform for simulating dynamic indoor scenes. Notably, the mainstream of dynamic SLAM methods employs detection or segmentation techniques as solutions. To explore the correlation between detector accuracy and overall SLAM performance, we integrate a series of trained YOLOv5 and Mask R-CNN models, each with varying accuracy levels, into dynamic SLAM systems. Subsequently, we evaluate these configurations on the TUM RGB-D sequences. Contrary to common intuition, the experiments indicate that more accurate object detectors do not necessarily lead to improved visual SLAM performance. This benchmarking process also illuminates several inherent limitations of current dynamic SLAM techniques, underscoring the imperative for further advancements.
Building upon these insights, we introduce DynaPix SLAM, an innovative visual SLAM system for dynamic indoor environments, where participation of visual cues (e.g., features) is weighted based on per-pixel motion probability values. Our approach consists of a semantic-free pixel-wise motion estimation module and an improved pose optimization process. In the first stage, our motion probability estimator employs a novel static background differencing method on both images and optical flows to identify moving regions. These probabilities are then incorporated into the map point selection and weighted bundle adjustment for backend optimization. We evaluate our DynaPix SLAM and its variant, DynaPix-D, in comparison with ORB-SLAM2 and DynaSLAM. These assessments are performed on both TUM RGB-D and GRADE sequences, with additional tests on the static versions of the GRADE ones. The results demonstrate that DynaPix SLAM consistently outperforms the other methods, showcasing reduced localization errors and longer tracking durations across various scenarios.
In this research, various design strategies were analyzed. Based on the findings, a design strategy is developed to design and analyze Moonshot. A combination of Ulstein Design and Solution B.V.’s Controlled Innovation process and Blended Design were used and extended to develop this new concept. First, the important functions and design aspects of the design were established using Controlled Innovation. Blended Design was then used to create a design space of the design configurations and to explore multiple market scenarios to establish optimal ship parameters for further development. As part of the research, the existing design process and model were modularized and new features were developed to suit wind turbines, assess seakeeping behavior, and explore the design space of the future wind turbine installation vessel.
The results of this research aim to elucidate optimal design parameters across certain market scenarios. Results show how optimizing the design for financial performance, seakeeping behavior, or a combination of the two, influences the optimal design point. With the optimal design ranges, the initial design parameters for the next stage in the design of Moonshot are established. Finally, Blended Design is used to benchmark Moonshot against existing wind turbine installation solutions to assess its performance. A version of Moonshot is developed as a direct competitor for the largest jack-up design available, the NG-20000X. Benchmarking with the jack-up, an SSCV, and Huisman’s WIV concept showed that Moonshot would be a more efficient solution, capable of installing a larger number of turbines per year at a considerably lower cost per megawatt compared to the other solutions.
In summary, this research concludes Moonshot as an innovative concept to address the evolving challenges of offshore wind turbine installation. By combining innovative design strategies, extensive assessment, and optimization, Moonshot emerges as a promising contender in the quest for effective and cost-efficient installation solutions for offshore wind.","Offshore wind turbine installation; Early stage design; Complex design methodologies; Ship design; Concept development; Design Space exploration; Financial performance; Seakeeping performance","en","master thesis","","","","","","","","","","","","Marine Technology | Ship Design","",""
"uuid:cc9e67b4-6bde-4114-97c0-43b11b4a48ef","http://resolver.tudelft.nl/uuid:cc9e67b4-6bde-4114-97c0-43b11b4a48ef","Auralisation of Modelled Wind Turbine Noise for Psychoacoustic Listening Experiments: Development and Validation of the Wind Turbine Auralisation Tool WinTAur","Pockelé, Josephine (TU Delft Aerospace Engineering)","Merino Martinez, R. (mentor); Bertagnolio, Franck (graduation committee); Fischer, Andreas (graduation committee); Ragni, D. (graduation committee); Schmehl, R. (graduation committee); Delft University of Technology (degree granting institution); Technical University of Denmark (degree granting institution)","2023","Wind turbine noise is one of the grand challenges in the public acceptance of onshore wind farm projects. The field of psychoacoustics identifies the auralisation of wind turbine noise as a link between technical design and annoyance estimation. There is currently limited work on the auralisation of wind turbine noise, and none targets an application in psychoacoustic research.
This work investigates the auralisation of the aeroacoustics output of DTU's HAWC2 for use in annoyance estimation. A Gaussian beam tracing approach propagates the frequency domain output to observer locations. The resulting spectrograms are converted into sound signals by applying random phase and the inverse short-time Fourier transform. This work includes a binaural rendering module to enable future VR applications. The methodology's implementation results in the Wind Turbine Auralisation tool, WinTAur.
The noise signal output of WinTAur is validated using the HAWC2 model of a stall-controlled NTK 500/41 wind turbine and corresponding acoustic field measurements. Psychoacoustic sound quality metrics show significant differences between the auralised and measured noise. In the overall psychoacoustic annoyance metric, these differences mainly depend on the observer's position around the turbine. All metrics show this directionality dependence, while the loudness, sharpness and tonality metrics also indicate a dependence on wind speed. Differences in fluctuation strength show a minor dependence on the simulation case but are difficult to relate to a specific simulation parameter.
Spectral analysis of the simulation output samples reflects the limitations of HAWC2, demonstrating that it is the primary source of discrepancy. The analysis especially highlights the inaccurate prediction of the directionality and stall noise of the HAWC2 code. The choice of ground type is another probable source of discrepancy, as it does not accurately represent the measurement setup.
A subjective listening experiment demonstrates the significance of these discrepancies in human perception with generally high difference ratings between the simulated and recorded noise. The results illustrate a dependence on wind speed and the position around the turbine. These dependencies match well with the findings from the numerical validation.\\
Future work should focus on a sensitivity analysis of WinTAur since the case-independent parameters may be additional sources of discrepancy. Another recommendation is to investigate the unveiled errors in the underlying methodology. Lastly, better propagation modelling concerning the wind turbine wake and turbulence should be part of future wind turbine noise modelling.
Overall, using modelled wind turbine noise for the auralisation in psychoacoustic research has shown promising results. Validation with sound quality metrics provides good insights into the discrepancies found in subjective listening experiments. Eliminating the existing discrepancies through modelling improvements will allow this work to be applied in a fully modelled approach to estimate wind turbine noise annoyance.","Wind Energy; Auralisation; Noise; Wind Turbine Noise; Renewable energy; Acoustics","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM) | Rotor Design Track","",""
"uuid:5d602f53-1fb3-41a0-81dd-28ffd0d20787","http://resolver.tudelft.nl/uuid:5d602f53-1fb3-41a0-81dd-28ffd0d20787","Online state migration in modern stream processing engines","Veneti, Theodoros (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Web Information Systems)","Katsifodimos, A (mentor); Decouchant, Jérémie (graduation committee); Delft University of Technology (degree granting institution)","2023","Stream Processing Engines (SPEs) are called upon to help solve problems around big and volatile data, while satisfying the needs for near real-time processing. In order for such systems to be considered effective solutions to such problems at scale, efficient elasticity and non dataflow-disturbing reconfiguration operations within are a necessity. To that end, we visit the problem of online state migration, as the biggest obstacle in achieving such a desired behaviour, in SPEs that support stateful functions. We make an attempt to formally define the problem and associated sub-tasks, compare existing solutions and identify key aspects, as well as design and implement our own solution. Our testing shows that the lazy-fetch online state migration process proposed, outperforms a simple baseline state migration design by orders of magnitude in end-to-end latency observed, scales much better under increased workloads and relies on consistent design concepts to claim exactly-once semantics.","SPE; stream processing engines; state migration; online state migration; fetch on demand; reconfiguration","en","master thesis","","","","","","","","","","","","Computer Science | Data Science and Technology","",""
"uuid:14bf31b5-0d8f-44df-8da7-5fa99c0ae452","http://resolver.tudelft.nl/uuid:14bf31b5-0d8f-44df-8da7-5fa99c0ae452","On design of tunable leaky waveguide antennas for a large format lens based focal plane array with wide scanning capabilities","Zhang, Muhan (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Tera-Hertz Sensing)","Llombart, Nuria (mentor); Dabironezare, Shahab Oddin (mentor); Kooij, B.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Recently, there has been an increasing demand for security in public places. As a result, non-destructive and fast millimetre-wave and submillimetre-wave imaging systems have gained more and more attention.
This project is based on Concealed Objects Stand-off Real-Time Imaging for Security (CONSORTIS), which is a European next-generation airport security imaging radar system published in 2017. In this project, we will discuss the design of the lens antenna illuminated by a leaky wave waveguide antenna for a large format focal plane array with wide scanning capabilities in three typical cases. The Coherent Fourier Optics (CFO) and leaky wave antenna design methodologies are used in this project. The system will be analysed in reception mode and then validated in transmission mode. We have a very promising performance with an aperture efficiency of about 80% in the centre and 47% at the edge of the array with a shaped top and AR coating. The directivity of the antenna at the edge is about 50.2 dB. And the scan loss is about -2.3 dB, which means it can scan about 10,000 beams in total.
The research explores the feasibility of adopting FRP in the main load-carrying system of pedestrian bridges and develops a framework for the concurrent geometry and material architectural optimisation of said structures. The study aims to achieve significant cost- and carbon footprint reductions in
monocoque FRP bridges by employing a numerical optimisation approach.
The optimisation tool utilizes the computer-aided geometric design (CAGD) software Rhino® and its parametric interface, Grasshopper®, to concurrently optimise the shape and material architecture of the bridges. Through the use of genetic algorithms, the framework overcomes FRP’s poor stiffness and
stability, and maximizes its unique advantages, including lightweight and high-strength properties, enabling free-form designs. This feat is achieved by implementing hybrid sandwich panels, comprising glass fibre-reinforced polymer (GFRP) and carbon fibre-reinforced polymer (CFRP) face sheets.
Satisfactory stiffness is ensured by defining deflection constraints, whereas constraints on the fundamental frequency and critical buckling load factor ensure adequate stability.
The research demonstrates promising results, showing potential cost reductions of up to 17% and carbon footprint reductions of up to 27.4% compared to a real case design carried out by FiReCo. However, certain limitations and areas for improvement are acknowledged, including the required run-time and the complexity of the solution space. Suggestions for enhancing the framework’s efficiency are proposed, including implementing orthotropic failure criteria and reducing the solution space through adjustments to ply thicknesses and foam core configurations.
Overall, the developed optimisation tool provides valuable insights and serves as a valuable resource for researchers and practitioners seeking sustainable and economically viable bridge designs. By embracing innovative solutions and eco-friendly materials, this study contributes to global efforts towards carbon neutrality and sustainable infrastructure development in the built environment.
electron microscopy (SEM), and profilometry. Post-test analysis was carried out by optical microscopy and X-ray Photoelectron Spectroscopy (XPS). An intensification of surface cracks was observed for the K2CO3-rich sample before testing and its initial discharge capacity is 27 mAh/g less than a sample without pore former, but passivated later than the pristine sample in the full cell. Amongst the additives, the highest cycle of 100% capacity retention was marked at cycle 41 for FeS and for Bi2S3 at cycle 29 in the full cell, both showing 100% retention in the half cell up to 36 cycles. FeS might benefit from its readily available soluble reservoir of S2− ions. Bi2O3 showed the lowest capacity retention which might be explained by low conductivity, low solubility and/or lack of beneficial role of S2− ions. However, the additive Bi2O3 showed great reversibility of discharge products in the CV, confirmed by the lower O1s peaks and the lower respective Fe2O3 and FeOOH peak in XPS spectra. The pristine sample showed in the CV over the cycles increased current density and slope near the HER potential, with low reversibility. Apart from Bi2O3 and ZnS, the pristine sample showed lower capacity retention than FeS and Bi2S3, confirming the effective working of these additives. This systematic study portrays a good starting point for further studying porosity and additive effects on the electrochemical behaviour in hot-pressed anodes for the upcoming Iron-Air battery. Improvements can be assigned to cell design, electrolyte control and further detailed porosity characterization. Another type of current collector
might withstand higher current densities and anode thickness reduction can lead to higher discharge capacities over its lifespan.","","en","master thesis","","","","","","","","2025-11-07","","","","Materials Science and Engineering","",""
"uuid:5e7f939a-f746-488f-b57d-0531c3192d9a","http://resolver.tudelft.nl/uuid:5e7f939a-f746-488f-b57d-0531c3192d9a","Future scenarios for sustainable flat glass use: An exploration of material flows, aesthetics, and policy","Snip, Felicia (TU Delft Industrial Design Engineering)","Tempelman, E. (mentor); Sprecher, B. (mentor); Delft University of Technology (degree granting institution)","2023","Float glass is a largely unexplored material flow in the context of circularity. As the Dutch government wants construction to be fully circular by 2050, new ways have to be found to deal with float glass. Five scenarios for sustainable glass handling are discussed: what would happen if all available secondary windows would be recycled, remanufactured, reused, repaired, or if glass use would be reduced? The effects on material demand, energy use, value chain processes, and product are explored and compared. Finally, policy options are proposed to stimulate these sustainable strategies.","","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:6548d010-ba2a-4e4a-8aca-cc9ae3b4e2c4","http://resolver.tudelft.nl/uuid:6548d010-ba2a-4e4a-8aca-cc9ae3b4e2c4","Local ownership: Does It Matter?: A Cross-Case Institutional Analysis of 14 Onshore Wind Farms in the Netherlands","Brouwer, Bas (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hoppe, T. (mentor); van Bergem, R. (mentor); Renes, Sander (graduation committee); Kamp, L.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Wind energy is deemed important in the Netherlands in sustainable energy transitions. While Commercial Wind Energy Project Developers (CWEPDs) bring professionalism, Local Energy Cooperatives (LECs) promote local renewable initiatives. The Dutch Klimaatakkoord aims for 50% community-owned renewable electricity, but real-world implementation of community involvement is unclear. The Institutional Analysis and Development (IAD) framework helps understand 'rules-in-use' for decision-making. This study explores how LECs and CWEPDs interact with these rules, focusing on Dutch onshore wind farm projects. Using 14 case studies, the research compares organisational forms in project outcomes, employing interviews and written sources for data collection and statistical testing and QCA for analysis. Key findings include LECs completing projects faster and with fewer objections than CWEPDs and the importance of transparent information sharing. The study suggests policy and development implications, highlighting the need for more inclusive and transparent decision-making in wind energy projects.","Wind Energy; Netherlands; Onshore Wind Farms; Commercial Wind Energy Project Developers (CWEPDs); Local Energy Cooperatives (LECs); Local Ownership; Institutional Analysis and Development (IAD); Qualitatative Comparative Analysis (QCA); Stakeholder Involvement; Decision-Making Processes","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:323f5836-e73a-4bab-83bb-045803f25ffb","http://resolver.tudelft.nl/uuid:323f5836-e73a-4bab-83bb-045803f25ffb","Malleable Kernel Interpolation for Scalable Structured Gaussian Process","Ban, Hanyuan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Rajan, R.T. (mentor); Fioranelli, F. (graduation committee); Giovanardi, Bianca (graduation committee); Delft University of Technology (degree granting institution)","2023","Gaussian process regression (GPR), a potent non-parametric data modeling tool, has gained attention but is hindered by its high com- putational load. State-of-the-art low-rank approximations like struc- tured kernel interpolation (SKI)-based methods offer efficiency, yet lack a strategy for determining the number of grid points, a pivotal factor impacting accuracy and efficiency. In this thesis, we tackle this challenge.
We explore existing low-rank approximations that facilitates the computation, dissecting their strengths and limitations, particularly SKI-based methods. Subsequently, we introduce a novel approxima- tion framework, MKISSGP, which dynamically adjusts grid points us- ing a new hyperparameter of the model: density, according to changes in the kernel hyperparameters in each training iteration.
MKISSGP exhibited consistent error levels in the reconstruction of the kernel matrix, irrespective of changes in hyperparameters. This robust performance forms the bedrock for achieving accurate approx- imations of kernel matrix-related terms. When employing our rec- ommended density value (i.e., 2.7), MKISSGP achieved a comparable level of precision to that of precise GPR, while requiring only 52% of the time compared to the current state-of-the-art method.","Gaussian process regression; Low-rank approximation; Structured kernel interpolation; Grid points; Density","en","master thesis","","","","","","","","2024-05-07","","","","Electrical Engineering","",""
"uuid:18cde7be-bc64-4dcf-8c05-2997b274ba21","http://resolver.tudelft.nl/uuid:18cde7be-bc64-4dcf-8c05-2997b274ba21","TAKE [A] PART: Urban Design of Physical Public Spaces from a Social Perspective","Boersma, Charlotte (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Newton, C.E.L. (mentor); Balz, Verena Elisabeth (graduation committee); Korthals Altes, W.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Social cohesion is perceived to be under pressure in the Netherlands, especially in its larger cities. The Covid-19 pandemic has reinforced and deepened the socio-spatial divide between vulnerable urban areas and the rest of the city, which not only affects the residents of the area and of the city, but also starts to affect the cohesion of the society as a whole. In light of the large scale spatial transitions that will also need to take place in these vulnerable urban areas, the Dutch government has issued the National Programme for Liveability and Safety, a long term, integrated and multidisciplinary urban regeneration approach to tackle the liveability, safety and social issues within the vulnerable urban areas. To achieve the overall improvement of the quality of life of the residents, it is stated in the Programme that interventions in the spatial domain should reinforce policy objectives in the social domain and vice versa. The objective of this graduation project is to explore to what extent urban design of physical public spaces in urban areas can facilitate the formation of social cohesion. To do this, literature research was conducted to define social cohesion, and to translate the concept to the local community level into specific urban design objectives. For this, the Social Cohesion Radar of the Bertelsmann Stiftung was taken apart and operationalised into requirements and a description of the ideal situation. From this, it was concluded that the design objectives consist of certain types of social interactions with specific characteristics. Furthermore, using relevant theories from environmental psychology and sociology, it was researched how the environment affects human behaviour. Combined with urban design theories the findings from the theoretical exploration and the operationalisation were used to develop a framework to analyse a physical space from the lens of social cohesion. In this analytical framework, the central point through which urban design interventions connect to social cohesion is through social interactions. Through the design of specific elements the defined social interactions could be directly facilitated, or would be facilitated via the improvement of characteristics of the space. The type of space that is analysed sets the expectations for the interactions that are likely to occur, and sets expectations for the characteristics and elements to be found there. For the implementation of the framework a guideline was developed, as well as an overview of typical settings to be found in Dutch urban areas, and a set of possible design interventions for social cohesion. The use of the framework to analyse a physical public space in an urban area should provide an urban designer with a decent understanding of the potential of the space to facilitate social cohesion, and provide the designer with possible spatial improvements. The framework provides the urban designer with an instrument to develop explicit and specific hypotheses regarding the facilitation of social cohesion through urban design.","Social Cohesion Radar; Physical Public Space; Urban Design; Behaviour Settings; Social interactions","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","",""
"uuid:ddc4a5d7-190d-4135-8768-7c63a1ce3de9","http://resolver.tudelft.nl/uuid:ddc4a5d7-190d-4135-8768-7c63a1ce3de9","Living With Data","Bakker, Yannick (TU Delft Architecture and the Built Environment)","van de Pas, R.R.J. (mentor); de Krieger, J. (mentor); Warries, G.Y. (mentor); Lub, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The research and design hypothesize how data centers can complement the urban environment rather than make a negative impact, both environmentally and spatially. Data centers consume and produce a variety of valuable flows, ranging from electricity, cooling/heating and water use. The aim of the research is to discover whether it is possible to create synergy between these flows in a mixed-use building that contains both data center, residential and greenhouse program. All flows for the different programs are analyzed, quantified and visualized. Through the findings, the flows and programs are linked together. This in turn creates possibilities to redesign and optimize the processes of these flows and reduce the amount of resources needed (input) while at the same time reducing waste (output). Based on the research, a mixed-use building is proposed for the Sluisbuurt neighborhood in Amsterdam, The Netherlands. Both a data center, residential program, greenhouse program and a diverse public program are combined.","architecture; data center; energy; water; metabolic flux analysis; housing; greenhouse; amsterdam; sluisbuurt","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:b91c91e6-6250-4eba-ab44-8ab486176a1d","http://resolver.tudelft.nl/uuid:b91c91e6-6250-4eba-ab44-8ab486176a1d","Investigating the anion doping effect on the conductivity and stability of cost-effective halide solid electrolytes","Bouillez, Matéo (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft RST/Storage of Electrochemical Energy)","Cheng, Z. (mentor); Wagemaker, M. (mentor); Wang, Xuehang (graduation committee); Eijt, S.W.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Batteries play a vital role in the ongoing energy transition, driving the demand for safer, energy denser and higher performing energy storage solutions. This has propelled research of solid-state batteries. Halide electrolytes, with high ionic conductivities and high oxidation stabilities, have attracted tremendous interest. Currently, the main challenge is that most promising halide solid electrolytes are reliant on expensive and scarce metals, hindering their application at an industrial scale. Therefore, it is of great significance to develop cost-effective halide electrolytes. Zr-based electrolytes show great promise due to their cost-effectiveness (ZrCl4 = 12.5 USD/kg) and high abundance in the earth's crust (165 mg/kg). However, so far their conductivity have been unsatisfactory, falling below 1 mS/cm. Anion doping with elements like Cl, Br, I, and O has demonstrated to be effective in improving the conductivities of sulfide solid electrolyte. In this work, the O-doping effect is investigated in Zr-based halide solid electrolytes with Li2xZrCl4Ox. By using various analysis techniques such as X-ray diffraction, electrochemical impedance spectroscopy, and cyclic voltammetry, this study explores the relationship between compositions, conductivities, and phases within the Li2xZrCl4Ox system. The findings reveal that, for each Zr-based oxyhalide composition, varying ball milling times result in different phases, with the most amorphous phase displaying the highest ionic conductivity. Specifically, for x = 1, Li2ZrCl4O reaches 1.60 mS/cm after 17.2 hours of ball milling, characterized by a structure featuring 61% amorphous content. Additionally, it demonstrates good performance as an all-solid-state battery with LiNi0.8Mn0.1Co0.1O2/ Li2ZrCl4O/ Li6PS5Cl/Li-In, achieving an initial capacity of 125.6 mAh/g at 0.5C and retaining 67.47% capacity after 1000 cycles. Moreover, the impact of I-doping is further explored in Li3YCl3Br3-xIx, another cost-effective halide solid electrolyte (YCl3 = 330 USD/kg and 33 mg/kg). The Li3YCl3Br3-xIx electrolyte displays tunable conductivity and stability characteristics with an excellent conductivity of 3.55 mS/cm for x = 1 compared to 1.94 mS/cm for x = 0 but with a trade-off in oxidation potential of 3.474 V to 3.59 V. This study provides insights into novel cost-effective electrolytes and exhibits the potential of anion doping in enhancing and tuning both conductivity and stability. These electrolytes hold a serious potential as a solid electrolyte in solid-state batteries.","Li-ion battery; Solid state battery; Halide electrolytes; Cost-effective; Energy Storage","en","master thesis","","","","","","","","2025-11-07","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:c96c4ed5-2a03-4145-a011-0b16446457b5","http://resolver.tudelft.nl/uuid:c96c4ed5-2a03-4145-a011-0b16446457b5","Design of a compliant locally resonant metamaterial unit cell for low-frequency vibration attenuation","Mian, Osama (TU Delft Mechanical, Maritime and Materials Engineering)","Hunt, A. (mentor); Hassan HosseinNia, S. (mentor); Herder, J.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Vibrations have been studied in various engineering fields due to their detrimental and even destructive effects on structures. Suppressing low-frequency vibrations has been a research challenge for decades and is mostly achieved by implementing active vibration control techniques. In the last two decades, the emergence of locally resonant metamaterials has sparked the interest of several researchers to create passive vibration attenuation structures as an alternative to active vibration-suppressing techniques. This research focuses on developing a local resonator-based unit cell that is able to attenuate vibrations at a wide range of low frequencies. A literature research is performed on the state-of-the-art in the field of locally resonant metamaterials and their wave-suppressing properties. Several wave directions and types are considered,
while low-frequency vibration attenuating structures are actively discussed. A comparative study is done on current local resonator-based structures from which a novel compliant metamaterial is proposed that can suppress a wide range of low-frequency vibrations. The proposed novel compliant negative stiffness local resonator (NSLR) unit cell has the ability to attenuate low-frequency vibrations at a wide range of frequencies while exhibiting a large load-bearing capacity. This concept is based on compliant mechanisms and relies on the snap-through motion of buckled beams for its negative stiffness, whereas folded beams are used in the design as load-bearing positive stiffness components. The stiffness and load-bearing capacity of the unit cell is determined by analytical and FEM-based numerical models, while dispersion relations and transmissibility functions are used to identify the dynamic vibration attenuation behavior. A prototype is produced by FDM additive manufacturing and tested in an experimental setup to verify the load-bearing capacity. An analytical and numerical load-bearing capacity between 100 N and 102 N, and 111 N to 115 are established, respectively, with an effective vibration
attenuation displacement range of 2.5 mm. The FEM simulated band gap of a single unit cell ranges from 6.0 Hz to 64.8 Hz, while the analytical model shows a band gap from 9.6 Hz to 59.5 Hz. The manufactured NSLR prototype features parasitic resonator rotations, requiring additional stiffness constraints to test its vibration attenuation properties in practice. These analyses show the promising capabilities of the NSLR unit cell as a building block in metamaterials to protect
structures from low-frequency vibrations at a wide range.","Locally-resonant metamaterials; Bandgap; Passive Vibration Isolation; Compliant Mechanism","en","master thesis","","","","","","","","2025-11-07","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:d04a082a-4721-4126-821c-f5044cbcd93f","http://resolver.tudelft.nl/uuid:d04a082a-4721-4126-821c-f5044cbcd93f","Bewogen Ruimte: architectuur door de ogen van een filmmaker","Haak, Melle (TU Delft Architecture and the Built Environment)","van de Pas, R.R.J. (mentor); Vink, M.G. (mentor); Hehenkamp, E.W.M. (mentor); Snijder, A.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Hoewel ik tijdens mijn opleiding leerde ontwerpen, voelde ik dat ik maar weinig grip kreeg op de dynamiek waarmee we dingen beleven. We ontwerpen gebouwen van steen en hout, die stilstaan; toch lijkt alles wat zich tussen de muren van onze huizen en steden afspeelt bewegelijk en tijdelijk. We nemen de materiële wereld allemaal ongeveer hetzelfde waar met onze zintuigen, toch beleven we alles anders. Soms beweeg ik me vluchtig en onverschillig en soms langzaam en aandachtig. Soms brengt een geluid of geur me in vervoering en vergeet ik voor even de wereld om me heen. Soms verdwaal ik in een dagdroom; en terwijl mijn lichaam zich nog altijd begeeft in dezelfde wereld, reis ik in gedachten door verschillende plekken en tijden tegelijk.
Ik stelde aan het begin van dit jaar de vraag: wat is de geleefde ruimte? Een ruimte die gekleurd lijkt door onze herinneringen, associaties, verbeelding en onderbewustzijn. Ik richtte me in mijn onderzoek op film, omdat filmmakers - meer dan architecten - een taal hebben ontwikkeld die op expliciete manier uitdrukking geeft aan de belevingswereld van de toeschouwer. Filmmakers zijn zich ervan bewust, hoe je met montage - een nauwkeurige samenstelling van licht, geluid, schaal, beweging en ritme - de emotie van de toeschouwer kan sturen. Door het scheppen van relaties en met behulp van suggesties en associaties ontstaat nieuwe betekenis.
Aan de hand van drie cinematografische ordeningsprincipes, bestudeerde ik de effecten van een architectonische montage op de belevingswereld van de gebruiker. Ik zocht naar een nieuwe taal om de dynamiek van licht, geluid, geur en beweging op een expliciete manier te integreren in het ontwerpproces.
This research aims to gain insight into key stakeholders’ perspectives regarding current data exchange practices. The guiding research question for this thesis is “How can the data accessibility and interoperability of Material Passports be optimised in order to improve the effectiveness of its implementation within practice?” It is answered with the help of four sub-questions. The first sub-question aims to gain an understanding of the key concepts and characteristics of MP data exchange with the help of a literature review. This is followed by the second sub-question which explores the data exchange roles of key stakeholders per building lifecycle stage. The third sub-question seeks to gain an understanding of the main challenges faced by key stakeholders regarding MP data exchange through the use of semi-structured interviews. This is followed by a case study which seeks to examine the TU Delft’s perspective as a public client and data owner regarding making data more accessible and interoperable for other stakeholders through the use of semi-structured interviews.
It is found that although ample data on materials and components exist, they are currently not accessible or interoperable for stakeholders across the sector. Stakeholders can be data providers or data extractors, however the responsibility for making data accessible and interoperable lies with the data owner who in most cases is the client. A lack of; standardised data, a government initiated centralised platform, data structure, and standards and norms are found to be the major challenges associated with data exchange of MPs. From a public client (TU Delft) perspective, it is found that although there is an understanding of improving data exchange, data privacy is a major hurdle that needs to be overcome before data can openly be shared with all stakeholders in the sector. In order to enforce a shift in the working methods of the building sector, more clients need to start demanding data from stakeholders.","material passport; Data exchange; Stakeholders; accessibility; interoperability; Circular economy (CE)","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:27a49715-f5df-439e-bf9c-ebfd1c8b80db","http://resolver.tudelft.nl/uuid:27a49715-f5df-439e-bf9c-ebfd1c8b80db","Public Interior Wunderkammer","Bernátek, David (TU Delft Architecture and the Built Environment)","van de Pas, R.R.J. (mentor); Harteveld, Maurice (mentor); van de Voort, J.A. (mentor); Mulder, André (graduation committee); Delft University of Technology (degree granting institution)","2023","Our cities are densifying to accommodate the growing urban population within the existing urban fabric. More and smaller homes are being built. This appears to be the result of an ongoing global housing crisis. Therefore, good quality public space becomes even more essential, as it acts as the expansion of our living rooms. It is the immediate living environment, where we live in, use, appropriate, and experience it together (Harteveld, 2020).
The growth of private spaces within our city limits, a reaction to the housing crises, should also lead to the expansion of our public space network. Especially if new building mass is introduced within city limits by filling up gaps, leftover spaces, or public spaces, which is then substituted by a great amount of private spaces. This ‘eating up’ of public spaces is f.e. happening with the planned projects of Kavel 6/7 and Habitat Royal, which are expanding the private areas of Amsterdam Zuid into the Beatrixpark, or Rotta Nova in Rotterdam, which is replacing a publicly used green space with a great amount of private spaces. These approaches of densification make the public space network of our cities shrink. Losing public space and not giving much more back than winning new private spaces. The logical outcome would be to reintroduce public and collective space (public interiors) as part of those projects to equal up for the deduction of public space, however, in these examples, it is not happening.
A merge of public space with private buildings as a response to densification could be achieved with public interiors. These expand the network of public space into the insides and outsides of private buildings. They are a vital component of the extension of the public space network and can become part of our everyday urban lives (Harteveld, 2014).","Densification; Public interior; Public space; Private space; Twin phenomena; Hybridity; Architectural Tools; Toolbox; Secondary public space; Stacking the city","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","","52.339179, 4.877971"
"uuid:6e923b63-0fc1-45d4-abc4-475b6eb3f80d","http://resolver.tudelft.nl/uuid:6e923b63-0fc1-45d4-abc4-475b6eb3f80d","Diagnosis Methodology for STT-MRAM: Defect Identification and Classification","Aouichi, Ahmed (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Computer Engineering; IMEC)","Hamdioui, S. (mentor); Taouil, M. (mentor); Gao, C. (graduation committee); Kim, W. (mentor); Rao, S. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis focuses on identifying and classifying defects in STT-MRAM technology using novel and machine learning approaches. The thesis discusses the basic principles of STT-MRAM and the semiconductor chip manufacturing process and test stages. The research aims to develop novel methods and explore machine-learning approaches to diagnose defects in STT-MRAM devices. The current defect identification methodologies have shown certain cost, speed, and scalability limitations. The thesis presents DAT-based and ML-based Diagnosis methodologies to identify and classify STT-MRAM unique defects to address these challenges. The methods are evaluated and validated on experimental wafers performed at IMEC in Leuven, Belgium.
DAT-based Diagnosis involves automated defect identification in STT-MRAM based on identifying features automatically extracted from specialized measurements targeting the unique defects, Pinhole, Intermediate State, SAF Flip, and Back-Hopping. ML-based Diagnosis uses machine learning techniques to classify defects using MTJ features extracted from low-cost measurements. Data collected from electrical measurements on experimental STT-MRAM devices serve as the basis for evaluating the developed methodologies. The thesis also discusses data analysis, including data visualization, feature correlations, and outlier analysis for future research. Furthermore, a machine learning training process is performed, including hyperparameter optimization and evaluation using F-score and B-accuracy metrics to assess the model's performance and the ability to generalize on unseen data.
DAT-based Diagnosis aims to maximize the defect detection accuracy at the expense of measurement costs. In contrast, ML-based Diagnosis minimizes the measurement cost while maximizing the detection accuracy for robust and balanced classification. However, the DAT-based Diagnosis is not verified using PFA to validate the defect types identified by the developed methodology. Furthermore, the ML-based Diagnosis uses training data labeled by the unverified DAT-based Diagnosis approach to train machine learning models. Despite these limitations, the results have shown valuable insights into defect identification and classification, proving a robust framework for diagnosing STT-MRAM devices. Additionally, a scientific paper is submitted on march-based diagnosis, adapting the DAT-based Diagnosis method to industrial chips that are limited in extracting the identifying features.
The transition to an MTH aligns with the global trend of promoting sustainable transportation alternatives, emphasizing the importance of integrating various transport modes to create efficient and user-friendly connections. Royal Schiphol Group (RSG) is committed to develop the world’s most sustainable and high-quality airports, reflecting the societal push for responsible transport systems.
The mobility industry’s increasing focus on the intersections of various transport subsystems emphasizes the need for integrated services and a seamless journey experience. By adopting the role of an MTH, Schiphol can meet the evolving expectations of travellers.
However, implementing a multimodal service and infrastructure is complex, and understanding passenger dynamics, constraints, and needs during intermodal transfers is crucial. Schiphol already serves as a hub between various transport modes, but there is a lack of insights into non-air travellers, including as bus and train travellers.
To bridge this knowledge gap and enhance rail-bus transfers, this project aims to design a support system for the S&AP department of RSG. This system will provide valuable insights into traveller needs and desires during rail-bus transfers. These insights will serve as argumentation for decision-making in construction projects. Through these insights, Schiphol can make well-informed decisions to enhance passenger satisfaction, streamline the rail-bus transfer process, and ultimately solidify its position as a customer-centric MTH.
The core objective of this project is the development of a support system that utilizes analysed data to present traveller preferences. To achieve this goal, various aspects require comprehensive understanding, which is categorized into three key themes,
First, the support system needs to create value for RSG by providing insights relevant to the organization and ensuring these insights contribute to the company’s objectives.
Secondly, the support system must be optimized for usability and interaction, ensuring that RSG employees can easily access the desired insights.
Lastly, there needs to be a seamless integration of the support system into RSG’s workflow, system operation and digital environment.
The support system should provide valuable insights but must avoid overloading users with information. Therefore, it focuses on three key aspects: differences between travellers, their needs and desires, and the transfer direction between bus and train. The system’s architecture enables users to specify their preferences and receive insights tailored to their criteria. To strike a balance between providing in-depth insights and maintaining a clear overview, the system categorizes traveller needs and desires into six main categories, with the option to explore detailed insights within each category.
The system design has been validated through usability studies and consultations with relevant departments, aligning with the organization’s workflow. In conclusion, the project has successfully met all criteria, indicating that the support system adds substantial value to RSG.","Transfers; Schiphol Airport; Multimodal Transport Hub; Rail-Bus; Royal Schiphol Group; Transfer insights; Support system","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:14cb7e8c-dfaf-410c-a4f3-08f7e2c31f7c","http://resolver.tudelft.nl/uuid:14cb7e8c-dfaf-410c-a4f3-08f7e2c31f7c","La Solidarite de Fatima","Bokzini, Souad (TU Delft Architecture and the Built Environment)","van de Pas, R.R.J. (mentor); van de Voort, J.A. (mentor); van Dooren, E.J.G.C. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","",""
"uuid:67f78225-c0dc-4d04-ac7b-088cddf23cbb","http://resolver.tudelft.nl/uuid:67f78225-c0dc-4d04-ac7b-088cddf23cbb","Enhancing Energy Efficiency and Sustainability in Healthcare: Implementing a Heat Exchanger for Carbon Footprint Reduction","Alkemade, Selwin (TU Delft Mechanical, Maritime and Materials Engineering)","Horeman, T. (mentor); van Straten, Bart (graduation committee); Delft University of Technology (degree granting institution)","2023","This paper addresses the urgent need to optimize the GreenCycl facilities energy utilization, by harnessing heat from the effluent of three thermal washing-disinfection machines into the preheating of cold clean water. Currently for every washing-disinfection cleaning program, 60 times a week, 105 liters of water with a temperature of 60 \degree Celsius is discharged in the drain. This is not in line with the goals setup by the government and the mindset of GreenCycl.
The study begins with a comprehensive analysis of the current situation, while documenting the properties and conditions. Subsequently concepts are generated with help of a morphological chart and a Harris profile is employed to select the most promising concept, this is further elaborated. Computational Fluid Dynamics (CFD) simulations are conducted to optimize the performance of the heat reclaiming system. Finally, the results of the CFD simulations are validated with experimental research.
By reintroducing 67\% of the effluent back into the heat exchange system, a continuous flow across the heat exchanger of 35 liters per minute can be established with an operation duration of 2.5 minutes per washing-disinfection machine. Resulting in the heat reclaiming system to only operate when cold clean water is flowing through the HEX. If implemented at the GreenCycl facility, this innovation could potentially save approximately 7600 kW of electrical energy and reduce Carbon Dioxide emission by 1129 kg annually.
This study not only addresses a pressing environmental concern but also offers an easy and efficient solution with significant economic and ecological benefits.","Healthcare; Carbon emission; Heat Exchanger; Sustainability; Washing machine","en","master thesis","","","","","","","","","","","","Biomedical Engineering","Evaluawaste",""
"uuid:485ce46b-a4fb-4122-abc5-b1275347d94a","http://resolver.tudelft.nl/uuid:485ce46b-a4fb-4122-abc5-b1275347d94a","Landscapes of Power: Reconfiguring the energy production landscape of Western Macedonia","Kalligeri Skentzou, Anna (TU Delft Architecture and the Built Environment)","Furlan, C. (mentor); Dabrowski, M.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The beginning of the 21st century is defined by geopolitical tensions around resources, an expected shortage of fossil fuel resources and the emerging climate crisis, amplifying the urgency of the transition to renewable energy sources. This energy transition has been at the forefront of public discussion, framed by the 2016 Paris Agreement and the 2019 European Green Deal. In this context, European member states must accelerate the decarbonisation of their industries and the transition to renewable energy sources. As each member state attempts to deal with this challenge, issues associated with social and spatial justice in coal-intensive European regions arise, calling for a coordinated, inclusive and collaborative plan aiming at a just transition.
This thesis uses the coal intensive region of Western Macedonia as a case study and proposes the reconfiguration of the energy landscape by formulating a territorial vision, based on an analysis and the evaluation of scenario building. More specifically, it develops a series of spatial and non-spatial strategies aimed at restoring ecological integrity, diversifying the energy production, re-using heritage spaces and promoting governance collaboration and social inclusivity. By examining the vulnerabilities, potential, and opportunities present in the territory of Western Macedonia, this thesis seeks to promote the reconfiguration of Western Macedonia, embracing principles of regenerative development, adaptive re-use, participatory planning and collaborative governance.","energy transition; energy landscape; Western Macedonia; regional design; adaptive reuse; Regenerative Design","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","40.581199, 21.659957"
"uuid:1bdef8d0-71ff-42ef-a66c-e31686b227bf","http://resolver.tudelft.nl/uuid:1bdef8d0-71ff-42ef-a66c-e31686b227bf","The line in the Landscape: Exploring the social and spatial opportunities of implementing innovative high speed railroad technology in the formation of transport flowscapes in the Netherlands","Credendino, Giordana (TU Delft Architecture and the Built Environment)","Cannatella, D. (graduation committee); Verschuure, G.A (mentor); Delft University of Technology (degree granting institution)","2023","In the past decade, many discussions on the need to reduce our carbon footprint have led the EU to open a public discourse on the applicability of high speed railroad travels within the continent. But spatial plans regarding the implementation of said infrastructure are lacking and the topic of emerging railroad technologies has not surfaced in our media yet. The opportunities behind creating a sustainable European network are endless, but where is the research? This thesis aims at opening the discourse on alternative railroad infrastructure technology in the fields of social and spatial acceptance based on the frameworks of flowscapes (operative infrastructure as landscape and landscape as infrastructure) and the three dimensions of perception, function, and symbolism in order to assess the spatial and social opportunities of designing with innovative railroad technologies. This visionary research sees the cultural landscape of the Netherlands as initiator of this development, in which the new infrastructure aims at connecting the landscape, focusing on the character and values of the province of Overijssel, based on an analysis of technology through art. As a result of the research, the technology proves its position as a guiding Line in the Landscape, providing readability in the three dimensions in terms of narrative, landmark and connection.","Landscape architecture; Railroad Technology; Social Value; Innovative Infrastructure","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","",""
"uuid:850a64df-46e1-477b-b1bd-c066eca5e24e","http://resolver.tudelft.nl/uuid:850a64df-46e1-477b-b1bd-c066eca5e24e","Energy-efficient and 'healthy' offices: An approach for office renovations focused on energy efficiency and Indoor Environmental Quality","van der Ham, Anja (TU Delft Architecture and the Built Environment)","Qian, QK (mentor); Straub, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Renovation of existing buildings is proposed as a solution for buildings that do not fit the Climate Target Plan 2030. In the Netherlands, there is a new mandate requiring Dutch office buildings to attain a minimum EPC class C rating by January 1st, 2023. Recent studies indicate that 10% of Dutch office buildings are currently rated at EPC class D or lower, while numerous existing office buildings have yet to obtain an EPC rating. This situation necessitates a surge in renovation projects in the near future. However, a complex relationship exists between energy-efficient measures and Indoor Environmental Quality (IEQ) post-renovation. Healthy buildings, characterised by adequate IEQ, contribute to heightened overall satisfaction and productivity among their occupants. This research is centred on crafting an advisory report aimed at guiding the decision-making process preceding office renovations for owners of Dutch owner-occupied offices. The following main research question relates to the goal of this research: “How can the renovation decision-making process, prior to renovation, of private owners of Dutch owner-occupied office buildings be guided with a focus on improving energy efficiency and Indoor Environmental Quality?”. The objective is to surpass the EPC class C requirements while concurrently prioritising IEQ enhancements to boost employee satisfaction and productivity. To achieve this, several case studies were conducted through in-depth interviews with stakeholders involved in the renovation projects. This approach sought to gain insights into the decision-making processes of office owners prior to the execution of renovation practices. The findings of this research hold significance for those initiating future office renovation projects, project managers, and public authorities seeking strategies to accelerate the rate of energy-efficient and healthy office renovations. The study’s outcomes indicate that the decision-making process preceding office renovations can indeed be steered towards achieving both energy efficiency and improved IEQ. This can be accomplished through a comprehensive and cooperative approach that emphasises awareness, education, regulation, and financial security.","office renovations; renovation guide; Indoor Environmental Quality; healthy offices","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:23cd7a48-b475-4a14-96c0-f3cc0ba2e7fc","http://resolver.tudelft.nl/uuid:23cd7a48-b475-4a14-96c0-f3cc0ba2e7fc","Enhancing Musculoskeletal Injury Rehabilitation and Prevention in Rural Areas: Exploring Vibrotactile Feedback Usage in Rural Areas","Singh, Dinesh (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Biomechanical Engineering)","Prendergast, J.M. (mentor); Abbink, D.A. (mentor); Vardar, Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","Shoulder injuries, prevalent worldwide, often occur from ageing and accidents. In Western countries, these injuries primarily afflict the elderly population, while in rural regions of Bangladesh, Iran, India, and Pakistan, they affect younger individuals who are often the family's primary earners. Due to that, preventing and aiding the recovery of shoulder injuries is crucial. To address this, strain maps with vibrotactile feedback, emerge as a promising solution. However, the feedback system must be affordable, compact, comfortable, user-friendly, easily understood, and portable to suit the local environment. Vibrotactile feedback appears promising but can distract the user from work. Hence, this study seeks to investigate if vibrotactile feedback can be paired with strain maps to guide users in maintaining healthy postures and reducing the risk of shoulder injuries in rural areas, where visual feedback is used as a benchmark. To provide feedback using strain maps, shoulder angles are determined using Python's OpenCV and MediaPipe libraries. PyGame is utilized to display the strain maps, and OpenCV helps delineate boundaries between regions of high and low strain within the shoulder. Visual feedback is integrated into the strain map display, while vibrotactile feedback is delivered through a wearable haptic device. Despite challenges related to axial rotation accuracy and the camera-dependent nature of shoulder angle measurements, user experiments, conducted independently for shoulder elevation and planar elevation, reveal that vibrotactile feedback shows better performance compared to visual feedback. Consequently, this study concludes that vibrotactile feedback has the potential to prevent shoulder injuries with strain maps, but also still needs to improve for future work.","Shoulder Injuries; Vibrotactile Feedback; Visual Feedback; Rural Areas; Strain Maps; MediaPipe; OpenCV","en","master thesis","","","","","","","","","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:0c8b7c51-f894-4a3d-b48f-27c2653f3048","http://resolver.tudelft.nl/uuid:0c8b7c51-f894-4a3d-b48f-27c2653f3048","Finding Common Water: Empowering localized autonomy of dike management for an adaptive Rhine River.","Berkien, Jens (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Balz, Verena Elisabeth (mentor); Aalbers, K.P.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Rhine River distributes water to more than 60 million residents, vital industries, and important agricultural sites across a total of eight countries. However, due to climate change, the availability of water resources has become increasingly dependent on seasonal supply and internal distribution (UNESCO, 2009). Moreover, extended periods of high river flow have the potential to pose threats to the urbanized regions along the Rhine (IKSR, 2011; Rottler et al., 2021; Stahl et al., 2022). In light of these developments, questions arise concerning the rights to use and manage the water. Simultaneously, water governance along the Rhine remains weak, with limited coordination between nation-states, sectors, and other relevant stakeholders, resulting in minimal influence at the local level (Rietveld et al., 2013; Akamami, 2016; Moodie, et al., 2022). Against this backdrop, this project focuses on the spatial element of the ""dike"" as a mechanism to control water flows and simultaneously restore the lost connection between local stakeholders and the Rhine.
The project, titled ""Finding Common Water,"" employs the new governance principle of Active Subsidiarity to prioritize local and regional autonomy in water management and identify issues that can only be addressed at higher levels of governance. Its goal is to investigate the potential for delegating the decision-making process of dike management to local levels of society. By enabling self-organizing capacity at lower levels of government, independent stakeholder systems can be synchronized to support adaptive water governance and localized protection.
The methodology of the project comprises several components. It includes literature review studies to enhance understanding of changes in governmental schemes in the transition to adaptive water governance. Additionally, a socio-spatial analysis is conducted on the Rhine River to assess current conditions related to drought, water discharge trends, water usage, and water management. To assign water management to local levels of society, the areas surrounding the Rhine are viewed as hydro-social territories. These territories are based on the mutual relationship between ""water"" and social, political, economic, cultural, and biophysical systems at a particular scale.
Drawing from the Dutch water management, a roadmap is created, following the principles of Active Subsidiarity toward localized dike autonomy. This roadmap empowers local stakeholder groups to evaluate their capacity to self-organize their relationship with the river through the spatial element of the dike. An assessment of indicators is made to evaluate the potential for localized dike autonomy. This is divided into four main subcategories: The Authoritative Region, The Dike, The Suitability Conditions, and The River Dynamics. By creating a calculation formula for these four main subcategories, potential locations along the Rhine suitable for localized dike autonomy can be identified. Finally, a test case is utilized to demonstrate the potential spatial outcomes of local dike autonomy.. Finally, a testcase is used to show the possible spatial outcomes of local dike autonomy.
The research addressed four sub-research questions that collectively provided answers to the main research question: \textbf{\textit{""What characteristics of an Appropriate Medical Equipment label would facilitate the intended use of medical equipment in low- and middle-income countries?""}} To answer the main research question, a design approach was employed, proving to be a valuable problem-solving method for this multifaceted problem. The design approach encompassed four phases: exploration, ideation, prototyping, and prototype review. In the exploration phase, four key steps were undertaken. Firstly, a literature review was conducted to investigate the current usage and experiences related to labels and certificates for medical equipment as well as labels in general, with a focus on experiences pertaining to medical equipment labels and certificates. Secondly, scientific literature was used to identify system factors influencing the life cycle of medical equipment. Insights from this literature were translated into IDEF frameworks, which formed the foundation for subsequent interviews. These IDEF frameworks served as structured way of showing information and were used as boundary objects throughout the research and interviews. Thirdly, interviews were conducted with experts, validating the information obtained from the literature and enhancing the IDEF framework. The fourth step was consolidating the insights to formulate a problem statement. This problem statement encapsulated key stakeholders' needs, requirements, desires, and dilemmas. Following the accumulation of knowledge from the exploration phase, the ideation phase began. During the ideation phase the design space matrices were developed for each of the categories. This design space matrix showed the decision making process between categories and means. The third phase, prototyping, involved synthesizing the knowledge gathered from the previous phases to create a prototype. Lastly, in the review prototype phase, the prototype was discussed with experts who provided feedback and opinions. Following the prototype review, consideration was given to future steps, determining the best approach for addressing this complex problem.
The study concludes that to facilitate the intended use of medical equipment in LMICs, a product label should incorporate essential characteristics, identified through a comprehensive review of literature and expert interviews. These characteristics encompass safety, design orientation, training, finance, maintenance, spare parts, service, usability, transparency, and end-of-life considerations. By prioritizing and integrating these features, the label has the potential to indirectly improve the overall life cycle of medical equipment in LMICs. The requirements for such a product label are identified through expert interviews, encompassing training, technical aspects, and safety considerations.
Beyond individual characteristics of the label itself, this study also explored the success of label implementation for medical equipment in LMICs. Several concerns require attention for a successful label implementation. The concern covered in this research is the potential misalignment of values and agendas among stakeholders can hinder commitment to a new product label and its prototype. To address this, incentives should be tailored to meet the specific needs of each stakeholder. Research indicates a preference for the bottom-up approach in label development due to its effectiveness in managing complexity and enhancing project success rates. Starting with end-user testing and progressing upward to demonstrate the label's value and encourage adherence to its standards can motivate manufacturers. By integrating the label's unique characteristics with advocacy efforts and a bottom-up approach, it has the potential to facilitate the intended use of medical equipment in low- and middle-income countries, enhancing the crucial role of medical equipment in healthcare systems in these regions.
The findings in this thesis hold significant importance for individuals seeking solutions to reduce the high rates of unused or non-functional medical equipment in LMICs. This thesis explores the distinctive characteristics of a label and how these characteristics can address the challenges present in the medical equipment life cycle. By gaining a clear understanding of these issues, organizations and experts can leverage these insights when developing solutions to reduce high percentages of unused and non-functional medical equipment, such as the product label. Lastly, the thesis introduces an initial prototype for a product label for medical equipment in LMICs. This prototype is a start for future study and can be used as a starting point for the actual development of the product label.","LMICs; Appropriate Medical Equipment; Low and Middle-Income Countries; Labeling; Characteristics; Prototype label; IDEF framework; Design; Medical equipment; Challenges","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:a8c53a21-d461-4d77-bfd9-d37dca1e42c5","http://resolver.tudelft.nl/uuid:a8c53a21-d461-4d77-bfd9-d37dca1e42c5","Accelerating MA-XRF Data Acquisition by Exploiting Local Spatial and Spectral Relations within a Hyperspectral Datacube: An Approach through Wavelet Denoising","Huijbrechts, Maartje (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Delft Center for Systems and Control)","Van de Plas, Raf (mentor); Alfeld, M.W.E.M. (graduation committee); Myers, N.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Macro X-ray fluorescence (MA-XRF) is a recently developed technology allowing to obtain elemental information from cultural heritage objects. This information can, for example, be used to identify pigments used in a painting. Yet, the extended period of time it takes to scan an object is a major issue within MA-XRf. For instance, it took about 60 days to scan the Ghent Altarpiece. The long scanning time is a consequence of the necessary dwell time per pixel to create a robustly interpretable spectrum: the higher the dwell time, the higher the signalto-noise ratio (SNR), hence, the easier to detect elements. This thesis explores a possible solution for this problem using a denoising algorithm that increases the signal-to-noise ratio post-acquisition by exploiting the similarity between neighbouring pixels and spectra. To this end, a customized method of wavelet filter bank denoising is proposed. Current thresholding methods used in wavelet filter bank denoising are not suitable for filtering MA-XRF data, therefore, a novel thresholding method is introduced. Here, the widely used universal thresholding method is used as a basis, for which the formula for calculating the standard deviation of the detail coefficients of a channel is altered. Several design parameters of wavelet filter bank denoising were evaluated using a synthetic dataset, for which the performance quality indicators root mean square error (RMSE), mean absolute error (MAE) and SNR were determined. The parameters for which we optimized were the mother wavelet, the number of decomposition levels, and the number of neighbouring channels used for determining the standard deviation σ for thresholding. Good performance was obtained with the haar, db2, and coif1 wavelets, all at 3 levels of decomposition. A suitable number of neighbouring channels depended on the decomposition level and was determined to be 3 (on each side of the channel). Herewith, the signal-to-noise ratio was improved for both the average pixel spectra and the sum spectrum. The filtered synthetic dataset simulated to have a dwell time of 0.5 seconds had a SNR approximately equal to the raw synthetic dataset simulated to have a dwell time of 0.75 seconds. Hence, the algorithm succeeded in lowering the necessary dwell time. A case study of a daguerreotype was used to test the proposed denoising algorithm.","MA-XRF; Filter; Wavelet; Filter Bank; denoising","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:a9f3ac01-edfb-4c07-bcfe-4998aeaa3aed","http://resolver.tudelft.nl/uuid:a9f3ac01-edfb-4c07-bcfe-4998aeaa3aed","Brainwise with the Self-portrait app: Providing child patients with a meaningful translation of their test-results","Plat, Benthe (TU Delft Industrial Design Engineering)","Gielen, M.A. (mentor); Melles, M. (mentor); van Veelen, M.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","This report delves into the challenging process of translating complex tests from the Child Brain Lab into a design that is both accessible and engaging for children. The Child Brain Lab, part of the Erasmus MC Sophia Children’s Hospital, conducts research on brain development to gain a better understanding of the course of brain disorders and improve treatments for children with brain disorders. In return for children’s participation in the lab, the hospital aims to provide them with a child-friendly patient dossier: The Self-portrait. The goal of this project is:
To design a digital solution that translates the results from a selection of tests that are performed in the Child Brain Lab, into a meaningful contribution for children (developmental age 6-12 years old) with brain disorders, that will increase their participation in their care path, and positively support their developing self-image.
To design a solution that caters to the needs of the child patients, first the problem space was explored, through literature research and interviews with stakeholders. Within the age range of the target audience, children develop their language skills and transition from imaginative thinking to more logical reasoning. These cognitive abilities influence the formation of their self-image, which led to the framework for contributing to self-image: ‘Look what I did’ - ‘Look how I did it’ - ‘Look how I did it and what I learned from it.’ This framework was used to adapt the app to the needs of the target audience. In addition, the participants’ brain disorders present additional challenges, such as poor eyesight and a need for predictability.
The tests for which a translation is being made in the app are the EEG, IQ test, and walking mat. Each of these tests comes with its own set of challenges. For example, the data from the EEG test is complex and elusive for children, and the analysis is quite intricate for physicians. The results of the IQ test are highly valued.
The discovery phase revealed five trade-offs, such as the balance between providing honest information or protecting a child by sharing only positive information. The insights led to the design goal:
Create a personally rewarding visualization of the test-data of the EEG, IQ-test and walking mat for each child that visits the CBL, by highlighting their personal achievements and empowering children to become aware of and accept who they are, in a form that is integrated in the clinical workflow of the Pediatric Brain Centre, and supportive during the full care journey, so that HCPs see the Self-portrait as an enrichment to their work.
The result is an interactive prototype of The Self-portrait, inviting children to explore the world of their own brains. Each test is developed with its own character. For instance in the case of the walking mat, animals are used to give children insights into their walking patterns, envisioning enriched dialogues between children and physiotherapists, potentially leading to improvements.
Throughout the app’s development, all stakeholders have been involved multiple times, ensuring the right balance is struck in the design between presenting accurate information aligned with clinical practice while also appealing to children.
Through this endeavor, the project aims to bridge the gap between complex medical data and child-friendly interpretations, fostering a better understanding and engagement with one’s health metrics.
More sustainable transport like cargo bikes or light electric vehicles could play a role in solving these problems on the relatively short term. However, because they typically have smaller capacity and have smaller ranges than the vans that are currently used they are not the default solution for consignment in the city; distribution centres nowadays typically lie too far away from the end consignee to effectively employ these type of vehicles.
The fact that distribution centres lie so far away from the city stems from more latent problem of the last mile; its inaccessible and un-communal nature.
In this project I propose 7 ‘design activities’ in response of the aforementioned problems: cooperation, incentivisation, standardisation, decentralisation, integration (in the city), automation and consolidation. Based on these design activities I proposed three types of urban consolidation centres that facilitate smaller types of transport while at the same time considering the aforementioned design activities.
For this, literature research was conducted. First an understanding of the current landscape of features of music streaming service was created. This led to the conclusion that personalised recommendations were the most relevant for this project, as they are driven by recommender systems. Next, different personalised recommendation features were linked to wellbeing. It was established that mood-based recommendations have the potential to contribute to hedonism, that activity-based recommendations have the potential to contribute to personal functioning and that discovery recommendations have the potential to contribute to personal development.
Given the alignment with the broader concerns of recommender systems, the project continued to focus on discovery features and personal development.
By analysing how current recommender systems operate in these feature, it was determined how that the recommender system of the new intervention should implement an ‘exploration mode’ approach and gradually introduce users to new items, allowing them to slowly acclimate to new genres over time.
Next, the design focus was narrowed down to: promoting personal development through expanding user’s musical horizons by introducing them to diverse music that differs from their current listening behaviour, fostering greater understanding of others and oneself. This design focus let to two different concepts. The first concept is ‘Discover More’, which adopts a similar approach as Discover Weekly, an automatically created playlist that gradually introduces users with new genres. The other concept is ‘Explore More’, a concept in which users are encouraged to actively explore new genres themselves. After evaluation it was decided to continue with Explore More. This concept was further developed and after evaluation of this concept, an improved version of the concept is proposed for the next cycle.
The concept highlights the gap between the vast musical landscape and the music the user listens to, creating awareness of their musical bubble and inspiring them to start exploring new music genres. Additionally, Explore More helps users gain deeper awareness and understanding of their own preferences. This is achieved with the help a guided self-reflection. Furthermore, the feedback on the reflection is utilized by the AI in selecting next genres and aims to align with the mood and taste of the user. After several exploration journeys, Explore More provides a recap—an opportunity to revisit the musical discoveries and providing insights into remarkable trends, such as specific elements that repeatedly spoke to the user, contributing to self-awareness.
Lastly, the report ends with additional suggestions that could be taken into consideration during the next cycle.
start=6.65 GHz and fstop=7.58 GHz, the tuning range is calculated to be 13 % with the Phase Noise@ 1 MHz(normalized to 10 GHz) varies from -128.1 dBc/Hz to -130.4 dBc/Hz and Figure of Merit varies from 188.0 dBc/Hz to 190.0 dBc/Hz, consuming average power of 95 mW and the fcorner is 250 kHz. Since the chip is still in fabrication and the final result only includes the simulation result. Further work involves the measurement and performance validation.","CMOS; oscillator; phase noise; voltage-controlled oscillator","en","master thesis","","","","","","","","2025-11-03","","","","Electrical Engineering","",""
"uuid:b6482ee5-9947-4b46-bd87-1b0091099635","http://resolver.tudelft.nl/uuid:b6482ee5-9947-4b46-bd87-1b0091099635","The Untapped Potential of Video in Architectural Design","Althuis, Jonas (TU Delft Architecture and the Built Environment)","van Dooren, E.J.G.C. (mentor); Hehenkamp, E.W.M. (mentor); Klitsie, L.M. (mentor); Delft University of Technology (degree granting institution)","2023","The Untapped Potential of Video in Architectural Design is a research and design project that explores the application of video-based techniques in the architectural design process. The project started with an experimental and applied research phase diving into video-based techniques at different stages of the design process, followed by my own design project where I could further apply and test these techniques. Due to the time-intensive nature of video production, it turned out to be difficult to use video at certain stages of designing, specifically the phase of generating and developing design ideas. In other phases, such as at the beginning and final representation, the use of video was very fruitful. The same pattern emerged when I continued to use video in my own design process; the application in the earlier research phase and the final representation phase of my design project, worked well. The use of video in the intermediate phase of generating and developing ideas less so. All of the videos that I made throughout the project, including most importantly the Research Film containing all of my research compiled together, as well as my final design videos, are available on my YouTube channel at the link below.","video; film; architecture; design process; design tools; port of rotterdam; material reuse","en","master thesis","","","","","","https://youtube.com/playlist?list=PL2ENgCey4Guf-TMdG_jBc-7YS8kSQGqeJ&si=njxY_ NBG1pD09bgg Link to my playlist of videos on Youtube, containing all of the videos I created throughout the research and design phase of my graduation project.","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","","51.901946, 4.221340"
"uuid:47856dba-96d5-42d3-a31e-68492b893a23","http://resolver.tudelft.nl/uuid:47856dba-96d5-42d3-a31e-68492b893a23","Polymeric micelles and the Dy-166/Ho-166 generator: A study of the loading mechanism of Dy/Dy-166 and Ho-166 into PCL-b-PEO polymeric micelles for imaging and cancer treatment","Smit, Rinus (TU Delft Applied Sciences)","Denkova, A.G. (mentor); Wang, R. (mentor); de Kruijff, R.M. (graduation committee); Eelkema, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","A radioisotope used in radionuclide therapy is Holmium-166 (Ho-166). The treatment effectiveness of Ho-166 could be improved by the use of a so called in vivo Dysprosium-166(Dy-166)/Ho-166 generator. The application of this generator is hindered by an effect called internal conversion (IC). This affect can arise after the decay of Dy-166 to Ho-166, which can cause separation of Ho-166 from its carrier.
Polymeric micelles might form a solution in the application of the Dy-166/Ho-166 generator in radionuclide therapy. The main goal of this thesis was to investigate and understand the loading mechanism of metallic species and polymeric micelles with a focus on the loading of Dy/Dy-166 and Ho-166.
It was found that it was not effective to load metallic species (Dy/Dy-166) as free ions or as solid precipitates. Loading metallic species as aqueous hydroxides showed to be crucial for achieving a good loading and high stability. The second goal was to study if polymeric micelles were able to retain Ho-166 inside their core under the effects of internal conversion. No additional losses of Ho-166 were found when Dy/Dy-166 and Ho-166 were loaded into the micelles. It was concluded that the PCL-PEO micelles prevented the loss of Ho-166 under internal conversion effects.","Radionuclide therapy; Polymeric micelles; Dysprosium-166; Holmium-166; Gallium-68; Loading mechanism","en","master thesis","","","","","","","","","","","","Applied Sciences","",""
"uuid:fc8270d1-057a-4ec6-9577-dd16417bc5b0","http://resolver.tudelft.nl/uuid:fc8270d1-057a-4ec6-9577-dd16417bc5b0","Hip implant force measurement: Design of an intraoperative hip joint 3-DOF force measurement system","Mol, Tessa (TU Delft Mechanical, Maritime and Materials Engineering)","Horeman, T. (mentor); Wei, J. (graduation committee); Hunt, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Achieving appropriate soft tissue tension around the hip joint is an important factor for achieving hip stability after Total Hip Arthroplasty (THA). Hip instability leads to dislocations, pain, and is a common reason for early revision surgery. The current soft tissue tension assessments available during surgery rely on experience, and dislocations occur twice as often after THAs performed by inexperienced surgeons compared to more experienced surgeons. This paper presents a new mechanism which measures and displays hip force in Three Degrees Of Freedom (3-DOF) during THA. A prototype measured axial and normal force components up to 75 N with a sampling frequency of 14.6 Hz, an accuracy up to 11 N, and a Root Mean Square Error (RMSE) of 4.0 N (axial) and 3.0 N (normal). Additionally, it measured the normal force direction with an accuracy up to 7.1∙10^(-2) π rad and RMSE of 7.1∙10^(-2) π rad. Data needs to be collected to build a predictive model which estimates the required hip force range and distribution to achieve a stable joint. When combined with such a predictive model, the proposed design is a promising assistive surgical tool.","hip arthroplasty; intraoperative; 3-DOF; hip implant; instrumented prosthesis; hip joint force","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:edfb694f-f016-4452-8086-f7ff79138069","http://resolver.tudelft.nl/uuid:edfb694f-f016-4452-8086-f7ff79138069","Model-Driven representation of the Infrarium Serious Game: From the Network of Puzzles concept to the Unified Modelling Language notation","Żurawska, Paulina (TU Delft Technology, Policy and Management)","Nikolic, I. (mentor); Bekebrede, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Serious Games can be used for many purposes, including studying complex, socio-technical systems. They are claimed to provide new opportunities by bringing different knowledge and fields of expertise to the table. Combined with simulations that allow for observation of the evolving infrastructure under deeply uncertain conditions, they have the potential to be an effective research tool. An example of such a serious game is Infrarium - an adaptive cyber-physical game that addresses the challenge of collective decision-making toward emission neutrality in the energy transition process within the port. The enormous space of paths that players could follow and the complexity of interactions available raise the question of whether it is possible to create such an intervention method that would allow for steering the gameflow. This research addresses this question by introducing the concept of a Network of Puzzles based on which the Infrarium model is built. After concept evolution was done while searching for a suitable tool, the System Modelling Language (SysML) was chosen. The game was successfully represented in the conceptual model that captures the system's complexity sufficiently enough to provide the coherent structure of the game within which the clarity and traceability of connections provide a design space for future development as a tool for shaping the game flow. The results are presented in the form of SysML diagrams followed by a discussion about SysML as a supporting tool in model-driven game design, within which the possibility of further vertical elaboration with requirements and limitations is justified. We have proven that further development of the game elements should not jeopardize the existing functional level of the model. The SysML model in the presented form could be used to design an intervention method to shape players' experience during gameplay.","Serious Games; Systems Modeling Language; Evolving Infrastructure; Decision making process; Complex socio-technical systems; Deep Uncertainty","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","Infrarium",""
"uuid:89dcc431-70d7-473e-8957-1310056142e3","http://resolver.tudelft.nl/uuid:89dcc431-70d7-473e-8957-1310056142e3","ASMITA modelling of the Wadden Sea with focus on the Groningerwad: Assessing how the Groningerwad will respond to accelerated sea level rise","Albers, Thomas (TU Delft Civil Engineering & Geosciences)","Wang, Zhengbing (mentor); Herman, P.M.J. (graduation committee); Huismans, Y. (graduation committee); Lodder, Q.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Some of the sediment which is eroded from the Dutch coast ends up in the Wadden Sea. Because of this the morphological development of the Wadden Sea is important, not only for the Wadden Sea itself but also for the maintenance programs of the adjacent coastlines. This is one of the reasons that the morphological development of the Wadden Sea is extensively studied. Some of these studies use the ASMITA model to make predictions of the morphological development with accelerating sea level rise. The Groningerwad is a part of the Wadden Sea consisting of a number of smaller tidal basins which has not been modelled with ASMITA. It has not yet been necessary to structurally nourish the coastlines surrounding the Groningerwad. However, as sea level rise increases it might well be possible that the coastal profiles surrounding the Groningerwad require nourishment. Therefore this thesis aims to study the Groningerwad with ASMITA to make a prediction of how the area will develop with accelerating sea level rise. To do this a morphological study is performed to determine the current morphological developments. This morphological study, based on available literature and bathymetry measurements of the area, finds that the Groningerwad is a highly dynamic area. It also determined the area and characterizing volume of each of the tidal basins, which have been used to set up the ASMITA model. For each basin in the Groningerwad an ASMITA model is set up using the information from the morphological study. The ASMITA model is used to make predictions for the development of the intertidal, channel and delta volumes of each of the Groningerwad its basins. The required parameters for the model have been derived from relevant formulas and the assumption that the Groningerwad is currently in a morphodynamic equilibrium. This was done because the time period for which bathymetrical measurements are available are to short to allow for a proper calibration procedure for these parameters. With this setup the ASMITA models show that all basins will lose intertidal sediment volume with rising sea levels. The larger basins of the Groningerwad also will not reach a new dynamic equilibrium state with large levels of sea level rise rate increase. When comparing these results to other basins in the Wadden Sea, it appears that the basins in the Groningerwad respond a lot slower than other Wadden Sea basins. Given the difference between the Groningerwad and the Wadden Sea and the fact that the time period over which bathymetrical data is available was to short to fully calibrate the model the recommendation is made to revisit this study when more data is available and it is possible to calibrate the relevant parameters.","ASMITA; Wadden Sea; Morphological development; Sea level rise; Groningerwad","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:7befc349-6f7b-48fd-bcb5-955504ec7530","http://resolver.tudelft.nl/uuid:7befc349-6f7b-48fd-bcb5-955504ec7530","Landscape Inside: The Indoor Green Condenser","Schippers, Tjalling (TU Delft Architecture and the Built Environment)","Bultstra, H.J. (mentor); Eckardt, H.F. (mentor); Corbo, S. (mentor); Meijer, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","By bringing landscapes inside, this project is opening up nature to the people of Berlin, with a multi climate approach in the city. This prototype for condensed public green, is the starting point for creating a new modular building type with integrated urban gardening. Other Berlin neighbourhoods already have temporary communal gardens and commons as a central meeting place. With a permanent building as a centre, a neighbourhood garden’s position can be guaranteed for a long period of time.
In this indoor green condenser a combination of multiple green related functions and gardens can be enjoyed all year round. To make that possible, the site gets divided into acres through a grid of 10m by 10m. This grid is both suitable for landscape architecture as for open plan buildings with a column-structure. Every segment of the grid is then programmed with building functions or as part of the landscape around those functions. To every function one or more indoor green typologies are applied. These are then modified to fit in the grid. That way a patchwork of gardens and modules starts to take shape.
A great variety of landscaping and functions will give the users an experience that exceeds the lack of green in the city. The components of this prototype can be applied to a location where there is active demand for an expansion and condensation of greenspace in densifying cities, specifically in post-war neighbourhoods. It can be tailored to the proportions and the climate zones of the locations where the concept is applied. Thereby, Landscape Inside outlines an answer to the reality we all face in the unstoppable urbanisation of the future.","Indoor landscaping; Public condenser; green architecture; Public greenspace; community gardens; Densification; Privatization; Berlin","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","52.514962,13.432189"
"uuid:862cae57-1247-4134-8fed-6d9be7aaf086","http://resolver.tudelft.nl/uuid:862cae57-1247-4134-8fed-6d9be7aaf086","Cross-Sectional Analysis Of The Mechanical And Physical Properties Of Spruce Foundation Piles: An investigative analysis of moisture content, density, compressive strength, and modulus of elasticity across the cross-section of spruce foundation piles, with an emphasis on the predictive proficiency of micro-drilling methods","Lee, Michael (TU Delft Civil Engineering & Geosciences)","Pagella, G. (mentor); Mirra, M. (mentor); van de Kuilen, J.W.G. (mentor); Ravenshorst, G.J.P. (mentor); Gard, W.F. (mentor); De Vries, P (graduation committee); de Vries, P.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Summary
Numerous ancient historical constructions worldwide depend primarily on an extensive array of wooden foundation piles, as they are subject to loading conditions governed by the superstructure above. Wooden foundations transfer loads through a combination of compression and lateral resistance. The inherent strength of wood handles compressive forces, while stiffness and soil friction counteract lateral loads. Proper arrangement and maintenance ensure even load distribution. Careful design, wood quality, depth, and protective treatments are essential for longevity and load-bearing efficiency.
Amsterdam, the Netherlands' capital city, renowned for its rich artistic heritage, intricate canal infrastructure, and slender architectural dwellings, originated as a modest fishing hamlet that underwent remarkable development into a prominent global European city. During this urban transformation, less visible engineering elements, such as wooden foundation piles, were overlooked, despite their critical significance. In Amsterdam's historical core, the majority of structures including buildings, bridges, and quay walls, rely on these wooden supports. Noteworthy, the city estimates that 12 million such piles are still active. These structural components have consistently demonstrated economic efficiency and reliability. Nonetheless, the aging process affecting these foundations, with some dating back up to 500 years, introduces complexities when assessing their current load-bearing capacities and the ensuing reliability of the structures they support.
The lack of knowledge and inspection techniques of the mechanical and physical properties of these timber piles hinders a proper evaluation of the remaining life span of the foundations which could lead to possible irreplaceable structural damage to these structures. This body of research evaluates the physical and mechanical properties such as the actual moisture content, density distribution, compressive strength, and modulus of elasticity through the cross section of Spruce (Picea abies) foundation piles. Therefore, the overarching research question has arisen:
“How do the variations of mechanical and physical attributes manifest across the cross-sectional profile of both degraded and non-degraded spruce foundation piles and how can micro-drilling techniques be utilized to assess these characteristics?“
This will be achieved by means of small-scale compressive experimental testing of five prisms extracted from each cross-section (3 separate locations along the length of the pile) of foundation piles never driven into the soil and piles that were retrieved under bridges in the historical centre of Amsterdam that were planned to be demolished. These aforementioned retrieved piles had a service life between 100 years and 300 years, always under the water table, presenting mechanical degradation due to loading over time and in addition possible bacterial degradation of the cross-section peripheral regions.
Initially, micro-drilling techniques were employed to ascertain the drilling amplitude. This step served to assess the initial quality of the wood under examination. Additionally, it aided in identifying specific points of interest for specimen extraction, including degraded wood in the peripheral regions, sound wood in the internal section, and the pith. Subsequently, the acquired data underwent thorough analysis. This analysis, combined with the micro-drilling measurements, enabled an assessment of the potential applicability of drilling amplitude in predicting the mechanical and physical properties of the pile. This sequential approach ensured a systematic and scientifically rigorous evaluation of the wood's characteristics and its implications for pile performance. The investigation was conducted to enhance the understanding of the structural performance and material characteristics of spruce foundation piles, while also evaluating the applicability of micro-drilling methods as a predictive tool in engineering assessments...
The study commenced with an extensive literature review, drawing from a diverse range of sources, including reviews, offshore guidelines, research papers, and expert interviews. The literature review was conducted to enhance the understanding of the use of helical piles in the offshore industry, encompassing their fundamental characteristics, current applications, and potential contributions. For this investigation, a Tension Leg Platform (TLP) featuring a 15 MW wind turbine, engineered by Heerema Engineering Solutions (HES), was employed. Time-domain simulations, accounting for environmental conditions, were carried out using the OrcaFlex software. These simulations led to the determination of the mooring line tensions, with the maximum value identified as the design load case. During the helical pile geometry optimization process, which focused on maximizing uplift capacity, it was established that a single helical pile in dense sand can achieve a maximum uplift capacity of 7.91 MN, while taking into account geotechnical, structural, and installation constraints. As a result, considering the significant uplift capacity demands of TLPs, it is essential to employ helical pile grouping, necessitating a minimum of four helical piles. Consequently, the design of the helical pile group anchors confirms their ability to meet the uplift and lateral capacity requirements of TLPs. Furthermore, the exploration of variations in equipment, steel strength, helical pile geometry, and soil conditions has yielded promising results. It is important to note that achieving the required installation depth for these group anchors involves a significant force and torque during the installation process, presenting potential challenges. Consequently, the development of equipment capable of meeting these installation requirements is vital for ensuring the feasibility of these helical pile group anchors.
The performance of helical pile group anchors was analysed from economic, technical, and environmental perspectives, with a comparison to suction and driven pile anchor concepts. The economic evaluation showed that the estimated total costs for the 4-pile helical group anchor, utilizing a singlepile installation method, are notably higher when factoring in the costs related to developing helical pile equipment, making it less financially attractive compared to the developed suction and driven pile anchor concepts. However, when excluding these equipment costs, the 4-pile helical group anchor ranked as the second-most financially attractive option, regardless of the installation technique, with only the single driven pile anchor being less expensive. Notably, it was discovered that, in a scenario where structural, geotechnical, and installation constraints are disregarded, the single pile helical anchor emerges as the most financially attractive among all anchor types, even surpassing the single pile driven anchor. This suggests that overcoming challenges related to scaling up helical pile dimensions, like enhancing their structural integrity, and reducing installation requirements through innovative designs, could make the use of fewer piles in a helical pile group anchor a feasible choice. In addition to these quantifiable factors, helical piles offer the advantage of a low-noise installation method, which becomes increasingly important due to the growing noise disturbance legislation in certain regions. Furthermore, their adaptability to various ground conditions through flexible installation techniques makes them a convenient choice for sites with limited access or specific inclination requirements...
This research aims to create a machine learning (ML) method that categorises reconstruction kernels from various vendors into groups based on their sharpness. This categorisation will rely on image features extracted directly from real patient scans with diverse scan parameters.
Two distinct methods were explored to achieve the objective, each utilising different image features and applied to a selected subset of the CT datasets from the National Lung Screening Trial (NLST) and the Lung Image Database Consortium image collection (LIDC-IDRC). The first method focused on noise features, specifically the standard deviation (SD) of the most homogeneous region of interest (ROI) to measure CT scan noise magnitude and the central frequency (CF) derived from the noise power spectrum (NPS) to represent scan noise texture. These noise features were used as input for a linear support vector machine (SVC), creating the $SVC\_noise$ model. Additionally, an approach that incorporated radiomic features was explored. These radiomic features were extracted from 30-pixel-sized ROIs selected from the ten most homogeneous patches. The radiomic feature sets were then used to train a random forest classifier (RFC), creating the $RFC\_radiomics$ model. The models were evaluated using accuracy and Receiver Operating Characteristic Area Under the Curve (ROC AUC) scores. McNemar’s test was employed to determine if one model significantly outperformed the other. Evaluating the categorisation results presented a significant challenge due to the lack of a ground truth. Consequently, a subset of the smoothest and sharpest kernels from each manufacturer was selected to train, validate, and test the models. Subsequently, the models were applied to the remaining kernels, and ground truth was established for each kernel by identifying the predominant class within each one.
Both models demonstrated strong performance when applied to 270 cases featuring 37 distinct reconstruction kernels. The $SVC\_noise$ model achieved an impressive ROC AUC score of 0.97 and misclassified eight of the 270 cases based on its smooth and sharp categorisation definition. The $RFC\_radiomics$ model achieved a slightly lower ROC AUC score of 0.96, with ten misclassifications out of the 270 cases. McNemar’s test indicated that the difference in performance between the two models was not statistically significant. Moreover, the ground truth approach, applied manually, resulted in only one inconsistent kernel between the two models; specifically, the determination of the ground truth of kernel “$B50s$” differed.
In summary, the $SVC\_noise$ and $RFC\_radiomics$ models displayed promising performances, with neither significantly surpassing the other. Both models exhibited the capacity to effectively identify sharpness-related patterns within the two classes while disregarding the noise caused by variations in scan parameters and patient characteristics in real patient data. This capability offers valuable insights that can bridge the divide between research and clinical applications. However, it is important to note that the findings from this research are preliminary, and caution should be exercised when applying these results to broader contexts, including newer reconstruction kernels and techniques.
To improve the accuracy of the PMI Academic Medical Centre (AMC) in Amsterdam, TU Delft, the Dutch Forensic Institute and the Dutch police initiated the Therminus project. Using the Wilks Model [1]with specialised equipment can increase the accuracy of the PMI by up to a 15-minute margin of error under ideal circumstances and up to 3.2 hours under non-ideal circumstances.
For the Wilks model, the weight of the deceased remains an important input and the QuickScale was developed as a specialised tool to provide this information to forensic investigators at the crime scene. This report contains the development of the second iteration of the QuickScale, the QuickScale 2.0. Objectives of this development were:
1. To Finish the QuickScale prototype, design the modules needed for building in the electronics and for adding user-friendly, intuitive controls.
2. To design and conduct usability studies with forensic investigators and use the obtained information to further improve the QuickScale construction, electronics and usability.
Using a design analysis and usability engineering approach for the QuickScale design and user interface respectively. The QuickScale design was calibrated, and validated and possible improvements were identified. Three different user interfaces were developed and usability studies were conducted for groups of students and forensic investigators. New design requirements were derived from both the design analysis and usability studies.
The resulting QuickScale 2.0 design incorporated the user interface that resulted in the least user errors. It met 27 out of the total 30 design criteria and contains:
• An ambidextrous user interface.
• Correcting springs for the non-linearity of the load cell when measuring weights below 20kg.
• Handlebars with improved grip which can easily be extended to accommodate more users.
• Safety labels with an abbreviated guide on the field use and stickers indicating the controls
The un-met design criteria were an indicator of remaining battery life and the possibility that both units can display a difference in weight larger than 0.5 kgs, especially at the start of weight measurements. As this design still needs to be produced, it needs to be tested and evaluated. Special care should be taken when calibrating the QuickScale 2.0 and altering the calibrating method might be necessary. Furthermore, it is recommended to integrate the QuickScale 2.0 with other Therminus equipment in future evaluations.
All in all the QuickScale 2.0 is a user-oriented step toward more accurate post-mortem interval estimation.
This research underscores the importance of financial literacy within design innovation. By enhancing designers’ financial competence, it shifts their focus from exploratory innovation towards strategic innovation. This transition involves combining elements of exploratory, design, and viable innovation, aligning innovation efforts with long-term project goals. This shift becomes possible by leveraging financial knowledge, resulting in choices that provide a competitive advantage, adaptability to changing market conditions, and entry into new markets (Lusardi & Messy, 2023).
While acknowledging the organisational influence on financial literacy, this research focuses on its significance at a project level, particularly during the fuzzy front end of innovation. The study adopts the double diamond approach, a popular process among design practitioners (Brown, 2009; Martin, 2009; Liedtka, 2014), to evaluate financial literacy's impact on the innovation process.
The culmination of this research is the Design Innovation Viability (DIV) Dashboard, an innovative tool that guides designers and professionals through the intricacies of financial literacy in design innovation. It features a series of 16 learning modules, each focusing on specific components of financial literacy, and provides a structured learning assessment to gauge one's financial competence, by use of Bloom’s Taxonomy.
The implications of this work are substantial, equipping designers with comprehensive financial literacy, enhancing design innovation competence, and enabling effective stakeholder communication. However, it is important to recognize the study’s limitations. it is essential to further explore and expand the understanding of financial literacy in design innovation. Moreover, a more comprehensive framework for presenting a Value Case or Business Case in the final stages of design projects is needed (Duréndez et al., 2023).
In summary, this research pioneers integrating financial literacy with design innovation, making financial competence a fundamental element. The findings resonate with the importance of financial literacy for designers and professionals, reinforcing the significance of making informed financial decisions, assessing viability, and fostering strategic innovation. This work serves as an inspiration for future research in the domain of financial literacy in design innovation, ultimately advancing design education and practice.","Financial literacy; design innovation; learning module; innovation framework; viability; Bloom's taxonomy; design thinking; strategic design; Finance innovation; finance; design education","en","master thesis","","","","","","https://www.figma.com/proto/AswUllKnTzTKUAC8lAjylW/Graduation-Report?page-id=401%3A2320&type=design&node-id=424-1571&viewport=219%2C429%2C0.02&t=nzTc9IK4h4F5YUZc-1&scaling=scale-down&mode=design Design Innovation Viability (DIV) Dashboard - Learning Modules Made with Figma prototyping function.","","","","","","Strategic Product Design","",""
"uuid:4b8ed913-ce28-4b86-829f-6ac8596644bc","http://resolver.tudelft.nl/uuid:4b8ed913-ce28-4b86-829f-6ac8596644bc","A Door-to-Door Multimodal Simulation-Based Framework for the Integration of Advanced Air Mobility Design and Operations","Berger, Menno (TU Delft Aerospace Engineering)","Sharpanskykh, Alexei (mentor); Shiva Prakasha, Prajwal (graduation committee); Naeem, Nabih (graduation committee); Delft University of Technology (degree granting institution)","2023","This study presents the development of a multimodal System of Systems (SoS) framework, to assess the impact of top-level aircraft requirements in providing advanced air door-to-door mobility. The field of Advanced Air Mobility (AAM) is rapidly evolving, and while current research assesses these vehicles from
both aircraft design and operational perspectives, a system of systems perspective combining both domains is required to find the best overall vehicle design and operational concepts. In this work, aircraft design is assessed by its effectiveness in the operating environment, considering the perspective of the stakeholders of passengers, operators and European policy makers. This is done through combining aircraft design in an agent-based multimodal simulation framework, covering both surface and air transport. Doing so allows us
to analyse the impact of top-level aircraft requirements on door-to-door travel time, AAM mode share and energy consumption per passenger. Using this framework, it was found that the most impactful parameter on AAM utilization is the passenger’s mean value of time. Additionally, several SoS effects could be traced to changes at the agent level, where giving passengers more travel options leads to 16% higher AAM adoption, but at shorter (-8.2 %) average trip distances due to preferences for shorter and cheaper flights. Lastly, the
relevance of considering operations for aircraft design was seen, where the best theoretical design for energy efficiency performed 10% worse than the best design considering operations, as the average mission profile in operation is different to the designed profile. In conclusion, the developed framework demonstrates the need for combining operations and aircraft design, and can be used to explore the best concepts in both fields.","","en","master thesis","","","","","","","","2023-11-15","","","","Aerospace Engineering","",""
"uuid:d43d12e0-28f9-4a5e-9069-f14eea3a0a5f","http://resolver.tudelft.nl/uuid:d43d12e0-28f9-4a5e-9069-f14eea3a0a5f","Nature-positive Architecture: A Regenerative Design Approach of a Winery in the Quarry of the St. Pietersberg","Verduin, Liam (TU Delft Architecture and the Built Environment)","Parravicini, M. (mentor); Koskamp, G. (graduation committee); Tillie, Nico (graduation committee); Delft University of Technology (degree granting institution)","2023","Sustainability in its development is increasingly focusing on nature. However, this research shows that through positive development, benefits are not only gained in nature, but are actually viewed as a common good. From this emerged regenerative development and design, in which there is a judgement from a whole system perspective. Through the knowledge of place, a strategy is first developed within the design process, in which oak regeneration can respond to the respective site patterns. This knowledge should then be used to design in harmony with the larger patterns of place. This is achieved through a partnership between the place (as a living being) and other various living systems. Herein, such a partnership is presented between place, humans and oak forest regeneration. This partnership brings forth new activities and growth through co-evolution, and is made permanent by bridging with viticulture. The regeneration of wood is passed down over several generations, using it not only as a building material, but also with the manufacture of wine barrels. In doing so, this research shows how this material works and is produced, and what other uses, if any, are available at the time the material depreciates.","Local resources; Nature-positive; Renewable materials; Regenerative design; Oakwood; Wine barrels; Winery","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","","50.824213,5.682589"
"uuid:3a2dbc59-f4ea-4223-ad42-802b55ee5e44","http://resolver.tudelft.nl/uuid:3a2dbc59-f4ea-4223-ad42-802b55ee5e44","The (Re-)Model Market: Street Food Market as Urban Restorative Entities","Dosheva, Ana (TU Delft Architecture and the Built Environment)","Fokkinga, J.D. (graduation committee); Kuijper, J.A. (graduation committee); Alkan, A.S. (mentor); Delft University of Technology (degree granting institution)","2023","Street Food markets have been a key ingredient of the urban life for centuries. In many cases the direction and intensity of urban expansion was strongly reliant on the emergence of the street food market and vice-versa. These link between the two has been inevitable historically until now and will probably continue as such in the future. However, the city changes on daily basis and, being a reflection of it, so does the market. While the former speeds up, becomes more erratic and stressful, the latter slows down, transforms into a space of gather and pleasure, exceeding beyond its food trade function. Therefore, their relationship also changes, with the market becoming an instrument of healing for both the city and the individual. London, being a distinct example of the contemporary megapolis and having a key role in the historic evolution of street food markets, was chosen as a test bed for the research to untangle what is the situation of the street food market today as an urban and experiential entity and question its future potentials.","street food; market; urban well-being; restorative environments; food in the city; nature in the city; material cycles; urban behaviours; affective atmospheres","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Design Crossovers","",""
"uuid:3ed86d63-8466-4ed7-a66f-1d7c7ee78003","http://resolver.tudelft.nl/uuid:3ed86d63-8466-4ed7-a66f-1d7c7ee78003","Representations of DNA Sequence Context and Mutational Spectra for Prediction of Repair Deficiencies","Borg, Jonathan (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Pattern Recognition and Bioinformatics)","P. Gonçalves, Joana (mentor); Martinez, Jorge (graduation committee); Seale, C.F. (graduation committee); Delft University of Technology (degree granting institution)","2023","Double-strand break (DSB) repair is a critical cellular process which repairs breaks in both strands of the DNA double helix. Different repair mechanisms are tasked with repairing such breaks. Predicting deficiencies in repair mechanisms has been widely used for therapeutic purposes, such as targeting cancer cells that have specific DNA repair deficiencies. DSB repair, however, is not error-free, resulting in mutations. These mutations are also influenced by the DNA sequence surrounding the break site. To the best of our knowledge, sequence representations have not been considered when predicting DNA repair deficiencies. We hypothesise that higher-order information can be extracted from sequence representations. In this study, we research the problem of predicting Non-Homologous End Joining (NHEJ) repair deficiencies. Initially, we evaluate how accurately we can predict NHEJ repair deficiency using only the mutational outcome frequencies (mutational spectra). Afterwards, we examine how combining mutational spectra with representations of the sequence surrounding the break site can improve the prediction of NHEJ repair deficiency. We demonstrate that adding DNABERT sequence representations to mutational spectra features significantly improves prediction accuracy from 94.44% to 96.12%. We also show that even simple sequence representations, such as 1-mer frequencies, can lead to significant improvements. Our findings highlight the importance of including sequence representations with mutational spectra in repair deficiency prediction.","DNA Double-Strand Break; Repair Pathway Deficiency; Mutational Spectra; DNA Sequence Representation","en","master thesis","","","","","","","","","","","","Computer Science | Bioinformatics","",""
"uuid:5757907b-e786-4775-b085-694ecf8c9e3b","http://resolver.tudelft.nl/uuid:5757907b-e786-4775-b085-694ecf8c9e3b","A Symbiotic Architecture along the edge zone of the Marmara Sea: A shift towards an ecocentric architecture fostering a deeper connection between humanity and nature","Wientjes, Carmen (TU Delft Architecture and the Built Environment)","Rommens, O.R.G. (mentor); Koorstra, P.A. (mentor); Koskamp, G. (mentor); Delft University of Technology (degree granting institution)","2023","In the urban and coastal region surrounding the Marmara Sea, a detachment between human life and the ocean is emerging that seems primarily caused by the consequences of post-industrial anthropogenic processes. The effects are seen in the form of a mucilage plague in the waters, posing a threat to marine life, and thereby emphasizing the urgent need for intervention. This project, strives to unveil the interplay between the natural dynamics of the Sea of Marmara and our human relationship with this vital, pulsating ecosystem.
At its core, the architectural intervention proposes a symbiotic architecture, positioning itself as a mediator that is bridging the widening gap between humanity and nature: An eco-centric approach that dissolves the conventional demarcation lines between land and water. By showcasing the various activities occurring in our oceans, the project seeks to enhance the visible connection and experience, developing a strengthened collective awareness of the ecological body we are part of. A carefully curated journey through an architectural landscape aims to create a deeper understanding of the interconnectedness and cyclical rhythms inherent to the surrounding landscape. Drawing from the insights of J. Bloomer, who challenges the conventional notion of coastlines as mere edges, the project embraces the concept of interrelational edge zones. Here, the terrain is seen not as a binary transition but as a dynamic and continuous process.
In essence, the project aspires to not only address the immediate ecological concerns surrounding the Marmara Sea but also to spark a shift in our collective consciousness. By connecting architectural innovation with ecological principles, it strives to establish a harmonious co-existence between humans and the natural world, fostering a deep ecological awareness that transcends traditional boundaries.
hyperspectral mineral exploration method in underground environments is needed. This study configures a Cubert X20P hyperspectral camera (VNIR) and VLP16 LiDAR on the versatile Boston Dynamics Spot robot, creating a multi-sensor robotic platform for data acquisition in underground mining environments. A data workflow is proposed and applied to the granite greisen rocks of the Zinnwald/Cinnovec mine (Germany). Combining hyperspectral and geometric data provides unique 3D hypercloud results interpreted for mineral
and structural features. Hyperspectral analysis successfully identifies iron and clay minerals along with multiple vein and fault structures. A remote mineral exploration method in underground mines significantly improves safety by keeping the operator away from hazardous areas. The proposed platform and workflow show potential to contribute to underground mineral exploration, especially if future improvements in data quality and autonomous capabilities are made.","Hyperspectral Imaging; Remote Sensing; Robotics; Mining; Exploration; Geology; LiDAR; Sensors; Mineral identification","en","master thesis","","","","","","","","","","","","Applied Earth Sciences | European Mining Course","",""
"uuid:3934e1cb-eb44-498c-86d5-79e0420b2db0","http://resolver.tudelft.nl/uuid:3934e1cb-eb44-498c-86d5-79e0420b2db0","Detection of Distractions in Human Manual Control Tasks Using Machine Learning","Li, David (TU Delft Aerospace Engineering)","Mulder, Max (mentor); Pool, D.M. (mentor); Delft University of Technology (degree granting institution)","2023","Technological devices are ubiquitous, think of for example smartphones and in-vehicle information systems. Both can contribute towards distracted driving where the visual field of the human controller is shifted away from the primary control task. In this paper a neural network model is trained using the InceptionTime architecture and used to detect distractions in pursuit and preview tracking tasks. For this purpose an experiment has been designed to collect data in which participants are distracted using a visual distraction called the Surrogate Reference Task (SuRT). It was found that distractions are easier to detect in tracking tasks with pursuit displays instead of preview displays. This is because in preview displays the future target trajectory is shown to the human controller, resulting in a lower tracking error compared to pursuit displays. Apart from the tracking error, the InceptionTime neural network was also trained using the time-series data of the control input and system output. Important characteristic of distracted data found were a reduced control input and higher tracking errors, which may have helped in detecting distractions. The classification models were able to predict data samples correctly with an accuracy of 80.78% and 61.66% in pursuit and preview tracking tasks with distractions, respectively. Lastly, individualised models showed better performance when compared to 'one-size-fits-all models'. Results show clear opportunities for applying neural network models in real-time to detect distractions for increasing safety in human operated machines.","Cybernetics; Manual Control; Tracking Task; Detection of Anomalies; Eye Tracker; Machine learning","en","master thesis","","","","","","","","2025-11-01","","","","Aerospace Engineering","",""
"uuid:b245d49c-ff64-40cf-9b8d-1b83083df7fd","http://resolver.tudelft.nl/uuid:b245d49c-ff64-40cf-9b8d-1b83083df7fd","Modelling reservoir dynamics in a data scarce semi-arid region","van Welsenes, Erik (TU Delft Civil Engineering & Geosciences)","Hrachowitz, M. (mentor); van de Giesen, N.C. (graduation committee); Zuijderwijk, Michel (graduation committee); Te Witt, Daan (graduation committee); Delft University of Technology (degree granting institution)","2023","In order to evaluate measures to increase water availability in and around reservoirs it is necessary to have reliable reservoir water storage models. For that reason, it is necessary to assess the validity of these models. The aim of this research is to do this assessment of two models in data scarce semi- arid regions. The Nakamb ́e catchment is used as a case study. The study covers important aspects of hydrological modelling, such as model input (data) selection, hydrological model choice, calibration, model performance testing, parameter sensitivity, and reservoir water storage simulation.
For the selection of the optimal model forcing data diverse precipitation products are reviewed: CHIRPS, ERA5, and local measurements. Among the evaluated datasets, CHIRPS emerges as the superior choice validated against the local measurements. With respect to the potential evaporation, the combination of ERA5 and local measurements results in the most suitable potential evaporation data, leveraging the temporal and spatial aspects of ERA5 and the absolute values of the local measurements.
Comparing a lumped hydrological model (HBV) with a distributed model (SBM Wflow) in simulating river discharge reveals that the HBV model outperforms its counterpart in simulating discharge. This contrast in performance is attributed to potential overparameterization in the Wflow model, coupled with the complexities of parameter estimation in data-scarce areas. The HBV model, while bearing simplifications, benefits from a more comprehensive calibration process. The model performance is strongly influenced by the calibration efficiency, where the significantly shorter simulation time of the HBV model facilitates an extensive Monte Carlo sampling-based calibration, in contrast to Wflow’s time consuming manual parameter adjustment.
Additionally, the sensitivity analysis showed that in the HBV model, the parameters affecting actual evaporation are the most sensitive one. This emphasizes the importance of accurately simulating this component for the proper model performance. The Wflow model exhibits strong equifinality due to the many parameters within the model. The complexity of this model made it impossible to test all parameters and therefore only some parameters are tested.
Both reservoir water storage models studied, the HBV Reservoir Water Storage Model (HBV RWSM) and the Wflow reservoir module, can effectively simulate reservoir water storage fluctuations, although they differ in how the components are calculated. Due to data limitations, it is impossible to determine which, if any, of the models is correct. However, based on the downstream discharge the HBV RWSM displays a more promising performance.
In conclusion, the HBV model outperformed the SBM Wflow model in simulating discharge due to its simplicity and ease of calibration. Sensitivity analyses highlighted the significance of accurately representing actual evaporation. Both water balance models, the HBV RWSM and the Wflow reservoir module, performed similarly concerning the NSE values. The fluxes contributing to the water balance in the two reservoir water storage models differ significantly. The lack of data on these fluxes makes it impossible to determine which models performs best. Data limitations remain a significant hurdle in model evaluation, emphasizing the need for additional data collection, particularly upstream and downstream of the reservoir, to enhance reliability and reduce uncertainties.
In this thesis, we continue to explore various approaches to improve the predictive performance of TrajGRU. Our research has first investigated spatially and temporally enhanced loss functions to address the two remaining issues: data imbalance and double penalty. The second part of our research focuses on manifold optimizations within the model network, such as incorporating additional model inputs or increasing batch size, to understand the model’s limitations.
Our results reveal that enhanced loss functions did not lead to predictive improvements and even resulted in undesired checkerboard patterns. Changes to the model network make a difference in the image sharpness and predictive rain evolution. Our visual analysis indicates that a larger batch size generates sharper rain field edges; predictions by using multiple parameter groups exhibit more rain dynamics. The incorporation with other transformed datasets introduces finer structures within rain fields. Although the blurriness has not been completely resolved, our study recommended future work can continue exploring the optimization in the TrajGRU network.","Precipitation; Nowcasting; Deep learning; Weather Radar; Blurriness; GRU","en","master thesis","","","","","","","","","","","","Water Management","",""
"uuid:e201a387-3526-4d17-bad8-8faa6f3038a4","http://resolver.tudelft.nl/uuid:e201a387-3526-4d17-bad8-8faa6f3038a4","SymbioSys: A Low-tech, Three-dimensional, Circular, Façade Cladding System which Utilises Waste Materials and Fosters Local Urban Biodiversity","Nouws, Marilse (TU Delft Architecture and the Built Environment)","Ioannou, O. (mentor); Tillie, Nico (mentor); Jenkins, A.J. (mentor); Rocco, Roberto (graduation committee); Delft University of Technology (degree granting institution)","2023","The negative effects of global climate change are experienced more clearly every day, meaning that significant alterations across all causing sectors are necessary. However, the influence of the building industry as one of the most polluting sectors, is immense, but therefore this industry also has a high potential in mitigating the greenhouse gas emissions by applying circular design principles, such as circulating products and materials, and thereby designing a more sustainable, circular and healthy living environment. Additionally, increasing the amount of nature in the built environment will also contribute to this manner, nonetheless the ongoing trend of urbanisation causes a challenging dilemma between facilitating more residences and adding extra nature to the cities. Hence, new strategies of greening cities are essential to resolve this problem, at which utilising building envelopes as hosting surfaces for fostering vegetation and fauna form a highly potential solution.
Therefore, the main objective of this thesis is to design a low-tech, three-dimensional, circular façade cladding system which utilises waste materials and fosters local biodiversity in urban areas. To properly design and develop this cladding system, research has been conducted through literature and case study review in the fields of circular design and biodiversity implementation in the façade industry and by physical and digital design experimentation and modelling.
Whereas, the research phase resulted in various potential low-tech manufacturing techniques, suitable reclaimed materials, modular and Design-for-Disassembly design principles and a selection of building-reliant flora and fauna species to implement in the design of the system, collectively facilitating the guidelines for the design phase. Finally, after an extensive design process a three-dimensional façade system derived consisting of three main modular elements, constructed from merely five unique planar components. Through the principle of rotation, a total of nine variations of the modules are generated, which facilitates not only the implementation of local biodiversity, but also creates an intriguing architectural language.
From this thesis, various conclusions have been drawn, including that in order to optimise the circular value of the design, the decision has been made to select the majority of the waste materials based on their local availability whenever the system is implemented in a certain location and at a specific timeframe. Moreover, the low-tech design strategy contributes to the involvement of the system’s end-users, eventually accelerating the transitioning process and furthermore increasing people’s awareness, knowledge and interest regarding circular, sustainable and nature-inclusive design subjects.
Academic research has lacked a specific information system design to directly monitor emission reduction at the source to award carbon credits efficiently. This research proposes a solution that includes distributing clean cookstoves and creating a digital platform to facilitate carbon credit recording and distribution, along with an integrated payment mechanism. The information system architecture plays a pivotal role in scaling sustainable cooking projects and combating climate change.
To address the research question, ""What is a possible design for a digital multi-actor platform for the issuance of carbon credits?"" a hybrid approach integrating Peffers's design science research framework and a system engineering methodology is employed. The study comprehensively analyses the Rwandan context, identifying 15 functional and 22 non-functional requirements for the system. A functional and logical system architecture is then presented with detailed interfaces. The system architecture's evaluation includes verifying functions and logical system elements against requirements and validating the system through expert interviews.
This research fills a gap in the literature by offering a systematic design cycle for tailored information systems in clean cooking projects, aiding stakeholders in context analysis, requirements elicitation, and system architecture design. It introduces an architecture valuable to information systems researchers and practitioners, especially in sustainable finance projects. The design cycle is a practical guide through various development stages, facilitating comprehension of essential requirements. These findings benefit researchers delving into information system design for clean cooking and sustainable finance initiatives. Furthermore, the adaptable system design provides a valuable resource for the FairClimateFund and related initiatives, supporting the adoption of information systems and issue resolution in sustainable finance, furthering the sustainability agenda.","Carbon credit; Clean cooking; Information system; design science research","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:da742090-800c-44c7-88fe-8dc5e1dee1c5","http://resolver.tudelft.nl/uuid:da742090-800c-44c7-88fe-8dc5e1dee1c5","Dynamic Simulation of Green Ammonia Synthesis Plant","Kambhampati, Sampreeth (TU Delft Mechanical, Maritime and Materials Engineering)","Goetheer, Earl (mentor); de Jong, W. (graduation committee); Ramdin, M. (graduation committee); van Zee, Gerard (mentor); Delft University of Technology (degree granting institution)","2023","The carbon emissions from human activities are causing significant harm to the planet, leading to increased temperatures, melting of polar ice caps, rising sea levels, and other negative impacts on the environment. One promising solution is the use of green hydrogen as a fuel source, which could have a much lower carbon footprint than traditional fossil fuels. The production of hydrogen can be achieved through various methods, including the electrolysis of water, which splits water molecules into hydrogen and oxygen. To mitigate these effects and ensure a sustainable future, countries are taking various measures to reduce their carbon footprint, including increasing the use of clean energy sources and improving energy efficiency. Hydrogen storage and transportation pose major challenges since it is the one of the lightest gases leading to low energy densities.
Ammonia is emerging as a hydrogen carrier due to its high gravimetric storage densities of hydrogen. It is produced through the combination of hydrogen and nitrogen using the Haber-Bosch process. Ammonia can then be used as a clean and efficient fuel for various applications, such as transportation and power generation. Fluctuations in the hydrogen feed flow rate, resulting from variations in renewable energy sources can significantly impact the pressure and operating temperature within the system.
}Morocco holds significant potential for renewable energy development due to its favorable geographic location and natural resources. The geographic location situated close to Europe makes Morocco well positioned for exporting green hydrogen to European markets. The chosen location for the ammonia plant is Boujdour in Morocco due to its excellent wind capacity factor of 67%.
Modern ammonia production plants employ control systems to maintain stable pressure. When there is a reduction in hydrogen feed flow rate, these reductions result in severe pressure reductions which would lead to metal fatigue and damage the entire production unit. Hence, these control systems respond by adjusting parameters to sustain pressure within the system. Aspen Plus Dynamics has been used in the present thesis work to model the dynamics of the ammonia synthesis plant. The varying hydrogen feed flow rate is a consequence of renewable energy fluctuations, which is served as the basis for modeling three distinct scenarios involving a 20%, 50%, and 70% reduction in hydrogen feed flow rate. Three distinct control strategies were developed where each control strategy, based on controlling the cooling duty of the condenser, manipulating the brake power of the recycle compressor, and regulating the nitrogen feed flow rate, demonstrated effective stabilization of the system's pressure, even during dynamically changing input conditions. Both linear and step reduction in hydrogen feed flow rate have been considered to gain understanding of the dynamic the behaviour of the system.
Significant outcomes were found when a reduction in hydrogen feed flow rate is imposed on all three control strategies. For a 20% reduction in hydrogen feed flow rate, the condenser's duty reduced from -1.2 MW to -1.05 MW, while the brake power of recycle compressor reduced from 12.5 kW to 5.5 kW. Furthermore, the stoichiometric ratio of H2:N2 changed from 3 to 2.8. These changes successfully stabilized the pressure in the ammonia synthesis plant under varying hydrogen input flow rate...
This thesis aims to investigate whether deep learning models are able to increase segmentation accuracy as well as localization accuracy in 2D ultrasound images, specifically focusing on introducing spatial attention and optical flow information into U-Net backbone. Spatial Mask Attention U-Net (SMA-UNet) and Optical Flow Attention U-Net (OFA-UNet) were therefore proposed. The hierarchical experiments were designed to evaluate the effects of training loss, mask width and optical flow methods, and then select an optimal configuration for the segmentation models. Furthermore, U-Net, Attention U-Net and two proposed models were validated on datasets collected from pork and beef phantoms, as well as patients. The evaluation results indicate that OFA-UNet has significant improvement in terms of segmentation metrics and geometrical errors compared to the U-Net baseline and the U-Net only considering the mask attention. Specifically, the model achieved Dice of 86.7%, IoU of 88.2%, Precision of 88.6%, tip error of 2.7 mm and angular error of 0.002 radians on the pork dataset. Furthermore, the OFA-UNet shows robustness and consistency in evaluation metrics across three different datasets, indicating its ability to adapt to varying complexities of US datasets.","Ultrasound; needle insertion; Deep Learning; spatial attention mechanism; temporal information","en","master thesis","","","","","","","","","","","","Mechanical Engineering | BioMechanical Design","","52.0126341,4.3555860"
"uuid:b41c0e77-53aa-49b5-b95d-08ad4a60383b","http://resolver.tudelft.nl/uuid:b41c0e77-53aa-49b5-b95d-08ad4a60383b","Digital design workflow using fabrication - aware configurators","Vimalanathan, Kaviarasu (TU Delft Civil Engineering & Geosciences; White Lioness Technologies)","Schipper, H.R. (mentor); Janssen, Patrick (mentor); Coenders, Jeroen (graduation committee); van Nederveen, G.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The construction industry has undergone a profound transformation in recent years, driven mainly by integrating advanced digital tools. One such tool is configurators, advanced digital platforms that enable the integration of diverse knowledge domains, allowing architects, designers, fabricators, contractors, and engineers to explore many design variations and assess them against various parameters such as sustainability, cost, and manufacturability. However, Building Information Modelling (BIM) stands out as a game-changer, revolutionising how stakeholders collaborate and execute projects. Generally, a building configurator is made for product-specific criteria involving only one stakeholder. As to developing a multi-party configurator, this research delves into the transformative role of configurators in streamlining design workflows and enhancing collaboration among stakeholders in the construction sector.
The Design-Bid-Build (DBB) system, a predominant project delivery method in construction, operates under a linear workflow where the design and construction phases are distinct and sequential. During the bidding phase of this system, once the design is finalized and approved, it is put out to bid, inviting contractors to submit their proposals. To formulate their bid, contractors review the design documents and calculate the overall cost, considering labour, materials, overhead, and profit. This phase is characterized by competitive bidding, where multiple contractors vie to offer the most cost-effective solution to secure the project. This method allows owners to select a contractor that best aligns with the project’s budgetary and quality requirements.
In a conventional design workflow, the conceptual design undergoes numerous iterations of design and detailing before advancing to the fabrication phase. This iterative cycle, albeit integral to building planning, is notably time-intensive. Given this context, integrating manufacturing insights early in the design phases has been contemplated to expedite the overall design workflow.
Given the considerations above, the project’s proposal was focused on creating a prototype tool capable of executing the competitive bidding process involving contractors and subcontractors. It also translates the manufacturer’s expertise into a digital model. The example of the curtain wall system as a prototype was chosen and modelled as a solution to the proposed workflow. As an assumption, Two curtain wall systems, stick and unitised, are built by two fabricators. This proof of concept would enable the design of a curtain wall façade to be ready for the schematic phase after the bidding.
The foundational knowledge and information for developing this tool were sourced from the literature survey. The tool entered the modelling phase after the initial study of design workflow and façade elements. The configurator aimed to highlight the tool's user and developer and their impact during the modelling. The initial step involved setting the input parameters, using the knowledge from the manufacturer needed for the bidding, enabling the designer to create a model that aligns with the manufacturer’s capabilities. Two bidding fabrication-aware configurators were formulated based on the tool's back end.
Following the development of the two configurators, the project advances to the validation phase, structured into two distinct processes. Initially, the process was tested for its feasibility and workability of the configurator by various iterations of the input parameters. The outcomes of this process ensure the critical support requirements for the proof of concept.
Subsequently, the emphasis shifts to the professional validation of the configurator, a crucial step in the practicality of the solution. Four key validations were analysed in this step with professional feedback from the demonstration. The derived results offer insights into various aspects of the design’s effectiveness, productivity, functionality and market viability, providing pivotal information that can significantly influence the refinement and finalization of the project. The validation results are instrumental in making informed adjustments and optimizations, ensuring the proposed design workflow meets the intended objectives and specifications.
Adopting configurators in the construction industry is instrumental in addressing the challenges of increasing design complexities and the demand for sustainable, high-performance buildings. By providing a platform for real-time feedback and multidisciplinary collaboration, configurators allow for informed decision-making, optimizing designs for human comfort, environmental impact, and structural integrity. The exploration of configurators in this paper underscores their significance in advancing digital design workflows and highlights their potential in shaping the future of construction, marked by innovation, sustainability, and enhanced interoperability.
This report introduces a novel strategy aimed at bridging the gap between municipal sustainability goals and the interests of rooftop stakeholders. The strategy leverages pattern language principles, providing a flexible framework for tailoring rooftop solutions to diverse scenarios. It not only assists stakeholders in conceptualizing rooftop designs but also aligns them with their values. To illustrate the strategy’s effectiveness, it is applied to a neighbourhood case study, wherein various rooftop types are designed in alignment with the proposed principles.","Rooftop; Pattern Language; Urban Physics; Rotterdam","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","",""
"uuid:743a370a-ba66-40c9-a88c-cbbe34baf9fc","http://resolver.tudelft.nl/uuid:743a370a-ba66-40c9-a88c-cbbe34baf9fc","The effect of microstructure design on the oxidation and reduction behaviour of iron electrodes: Improving the performance of iron-air batteries","Kamp, Harry (TU Delft Mechanical, Maritime and Materials Engineering)","Gonzalez Garcia, Y. (mentor); Yilmaz, A. (mentor); Santofimia, Maria Jesus (graduation committee); Delft University of Technology (degree granting institution)","2023","Pursuing sustainable and efficient energy storage technologies has led to advancements in iron-air batteries. Understanding the intricate relationship between the microstructural features of iron electrodes and their oxidation and reduction behaviour is crucial for optimizing battery performance and lifespan. This thesis aims to investigate the impact of microstructural characteristics, such as phases, grain size, and defect density, on the formation of stable iron oxide/hydroxide compounds and the evolution of hydrogen gas (HER), using cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) experiments in 6M KOH. The influence of an electrolyte additive, sodium stannate trihydrate, and an iron foam functioning as alternative electrode material are also examined.
Hot-rolled, pure iron samples were subjected to annealing heat treatments, resulting in different grain-sized specimens. A dual-phase steel, DP1000 steel composed of ferrite and martensite phases as well as hot-rolled and cold-rolled iron electrodes, completed the materials that formed this study’s basis. Initial surface identification via optical microscopy (OM), scanning electron microscopy (SEM), electron backscatter diffraction (EBSD), atomic force microscopy (AFM) and X-ray diffraction (XRD) have been performed.
Results indicate a relatively lower formation of FeOOH for a DP1000 steel anode compared to cold-rolled alpha iron over 12 cycles. A marginally larger decline in HER kinetics is observed for a hot-rolled small grained anode compared to a coarse grained anode, while a clear effect of grain size on the development of Fe3O4 and FeOOH could not be established. An iron foam electrode showcases greatly enhanced anodic and cathodic current densities in comparison to solid sheet iron electrodes, due to its cellular structure. The effect of 0.01M sodium stannate added to the electrolyte illustrates a significant reduction in HER intensity for both foam and solid iron samples.
Detections were done on two homogenous isotropic turbulence (HIT) direct numerical simulation (DNS) datasets with Taylor Reynolds numbers of 175 and 1131, respectively. For both cases, the detected structures contained most of the volume and kinetic energy in the domain and were of the integral length scale. However, for the high Reynolds number, there were relatively half as many structures and the structures were roughly 4 times larger compared to those found in the low Reynolds number case.","large-scale structure; U-net; integral length; Reynolds scaling; PCA","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:7fac9792-7c0b-4d2c-af91-db35ec93dc8f","http://resolver.tudelft.nl/uuid:7fac9792-7c0b-4d2c-af91-db35ec93dc8f","Co-creating narratives about migration: A strategy to connect migration research and native citizens in the context of the paces project","empirio, ariele (TU Delft Industrial Design Engineering)","Mulder, I. (mentor); Brouwer, Willemijn (graduation committee); Vezzoli, Simona (graduation committee); Delft University of Technology (degree granting institution)","2023","In recent years, the EU and its member states have perceived migration as a significant challenge, leading policymakers to adopt prediction and control strategies that fail to consider the nature of migratory patterns (PACES Consortium, 2022). Research on migratory patterns in social science is extensive but not widely used in policy development, highlighting a significant gap between scholarly discussions and their impact on policymaking. PACES is a research project aiming to bridge the gap between social science research on migration patterns and policy development in the migration sector. In doing so, PACES aims to involve multiple participants in discussions about migration policies, as it appears that the lack of citizen involvement is a factor contributing to the inefficiency of migration policy. This project’s goal is to help PACES empower citizens and promote dialogues to create more informed opinions. The objective of this thesis is to facilitate a two-way information exchange between PACES and native citizens. PACES provides scientific knowledge on migration and policies, while citizens contribute their perspectives. The aim is to foster evidence-based opinions among citizens to achieve greater engagement and participation in in the public debate. To approach this objective, an analysis of the context of the public debate on migration was initiated, and system mapping was used to better define the stakeholders and dynamics between them. This analysis highlighted the lack of a direct connection between the world of research and citizens, who struggle to form opinions based on evidence, as the knowledge they receive is often shaped by political and media narratives. To better understand this distance, a smaller group of citizens was selected, specifically Italian emerging adults, to explore their perception of the public debate and their experiences in staying informed. Subsequently, a group of experts in the field of migration was consulted to understand their perception of the role of research and expert knowledge in the debate. The empirical exploration revealed that both experts and Italian emerging adults agree on the need to shift the way migration is portrayed in the debate, but they also agreed on the presence of a gap between research and citizens. To bridge this gap and support Italian emerging adults in forming opinions on migration based on scientific knowledge, this thesis developed a workshop that PACES could use to “co-create narratives about migration” with Italian emerging adults. In this workshop, knowledge is shared by focusing on different perspectives on a chosen topic and assisting Italian emerging adults in developing their own point of view and reworking it into new narratives to share with their peers The results of the workshop include an increase in the participants’ awareness of migration patterns and information that can help PACES in further research development that takes into account the point of view of native citizens. These results become the basis for initiating dialogues about alternative migration policies with policymakers, representing the perspectives of citizens on migration matters developed from scientific knowledge. The workshop can be further developed in the future to reach a broader audience and increase participation in the discourse on migration policymaking. In conclusion, the value of this project lies in its representation of the public debate, providing a simplified yet complex representation of the debate while placing citizens at the center. It also offers a strategy that PACES can scale further to lay the groundwork for a more open discussion on migration policy based on expert knowledge and resilience to social changes.","Migration; Participatory City Making; Delft Design Labs; Participatory","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:3ca75a9e-6c93-4955-9913-cee95acde0d8","http://resolver.tudelft.nl/uuid:3ca75a9e-6c93-4955-9913-cee95acde0d8","Constraint-Driven Deep Learning for N-k Security Constrained Optimal Power Flow","Giraud, Bastien (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cremer, Jochen (mentor); Rajaei, A. (mentor); Fosso, Olav Bjarte (mentor); Delft University of Technology (degree granting institution); Norwegian University of Science and Technology (NTNU) (degree granting institution)","2023","The transition to green energy is reshaping the energy landscape, marked by increased integration of renewable energy sources, distributed resources, and the electrification of other energy sectors. These changes challenge grid security, particularly regarding the N-1 security criterion, a crucial factor in preventing blackouts. Furthermore, climate change is contributing to the growing frequency of extreme weather events, which constitute the second major cause of blackouts. As grid complexity keeps on increasing, the need for N-k security, where k lines fail simultaneously, and increased resilience against extreme weather events is becoming increasingly evident. This necessitates studying the security constrained optimal power flow (SCOPF) problem considering multiple line outages (N-k). Current methods exhibit poor scalability as k increases. In response to the challenge of limited scalability, this thesis proposes a constraint-driven machine learning approach to approximate N-k SCOPFs.
The proposed approach relies on the linearized direct current optimal power flow. The approach utilizes a neural network to map power system loads to generator setpoints. A feasibility restoration layer is employed to restore base case infeasible predictions. By incorporating line outage distribution factors (LODFs), all post-contingency flows are computed. The loss function utilized to train the neural network draws inspiration from the penalty function method. Lastly, a copula analysis computes joint outage probabilities for k \textgreater 1 enabling a probabilistic security assessment. The first academic contribution of this thesis is the development of a constraint-driven approach to approximate N-k SCOPFs considering all contingencies using LODFs. The second academic contribution is the formulation of a N-k risk based security criterion, providing an alternative to the current deterministic N-1 security criterion.
The approach shows promise in its ability to scale effectively to N-k contingencies. Using LODFs, the approach effectively computes all post-contingency flows for up to k = 3. Moreover, case studies show the constraint-driven approach's effectiveness in identifying violating post-contingency cases, with up to 173$\times$ speedups and close to optimal dispatch costs. However, the consideration of N-k contingencies holds combinatorial complexity, and more efficient methods need to be developed for the computation and storage of all LODFs, and for the computation of all post-contingency flows. Additionally, the proposed constraint-driven approach can not enforce any post-contingency constraints, necessitating post-contingency feasibility checks when security against specific contingencies is required. Next, by incorporating probabilities, the approach shows promise in improving power systems security and resilience, but further research is necessary.
In this thesis, only line outages are considered. In the future, the approach could be modified to additionally account for other equipment outages (e.g. generator outages). Furthermore, future research could investigate the adoption of this approach in corrective control settings, where it is employed in the restorative phase of a contingency event. Another suggestion is centered around the incorporation of graph neural networks in the proposed approach, which could provide a more scalable alternative to fully connected linear neural networks. Furthermore, more scalable methodologies could be explored to construct the matrix containing all LODFs, and a more scalable methodology for computing all post-contingency flows could be developed. Finally, future work could investigate how to utilize the proposed approach under varying conditions like network topology changes or changing outage probabilities.","Constraint-Driven Deep Learning; Security Constrained Optimal Power Flow; Line Outage Distribution Factor","en","master thesis","","","","","","Double degree in Electrical Engineering and Wind Engineering.","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:824312ee-1fba-449d-aa8b-029bdf886f1d","http://resolver.tudelft.nl/uuid:824312ee-1fba-449d-aa8b-029bdf886f1d","Visualization to Enhance Communication in Online Psychological Counselling","Zhang, Qixiu (TU Delft Industrial Design Engineering)","van Middelkoop, C. (mentor); Havranek, M.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","How to enhance communication between people is always an interesting topic in the design area. After going through the research, the author set the specific context for online counselling due to the increasing demand for online counselling during the COVID-19 pandemic. The online format limits a lot of non-verbal information like body language, vocal tones, facial expressions, and posture (Keynan et al.) that is only available in face-to-face communication. This limitation is critical as counselling clients have broad expectations for assistance in various life aspects (emotional, social, vocational, educational, and physical) (American Psychological Association, 2008) and the effectiveness of counselling hinges on the relationship and trust between the counsellor and the client, making effective communication especially vital in the online counselling environment. The current online counselling service providers were studied to understand how people access relevant services. After conducting interviews with clients and counsellors experienced in both online and in-person counselling, it became evident that there were notable differences in the counselling process phases: before, during, and after the session. The during-session and after-session phases were selected for focused attention since the during-session is vital for communication between the parties and the client requires a mental shift to effectively transition from this phase after the counselling. Following the creative sessions and initial testing, the design vision was established: enhancing interactivity and connection in online counselling by augmenting sensory perception between the client and the counsellor. A system for monitoring and generating content assisted by artificial intelligence was conceptualised. The system could monitor the client's emotion and convey it to the counsellor, use the generated content to improve review and reflect activity, and help them better foster interaction and connection between the involved parties. The envisioned system aims to: 1. Enhance the client's perception of self-emotions and counsellor's engagement 2. Deepen the counsellor's understanding of the client's emotions, reduce their workload and improve engagement 3. Provide effective session reflection for the client, a unique advantage of the online system. The feasibility and limitations were analysed in terms of the emotion recognition technology and ethical issues. This concept could be integrated with current online video platforms or online counselling service websites and the design of this work could provide valuable insights and opportunities to enhance the entire online counselling process and potentially extend its benefits to other online communication environments.","Communication Design; Experience Design; Counselling Experience; Visualization; Online Communication","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:84aa9ea8-0ac7-4358-bbf3-3629fcfacd5d","http://resolver.tudelft.nl/uuid:84aa9ea8-0ac7-4358-bbf3-3629fcfacd5d","Dementia day care as a green addition to the city: An urban take on the farm-based day care concept supporting people with early stage dementia","Versteegen, Robin (TU Delft Architecture and the Built Environment; TU Delft Architecture)","Jürgenhake, Birgit (mentor); van Deudekom, A.B.J. (mentor); Oorschot, L.M. (mentor); Lubelli, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","The growing number of dementia patients in the Netherlands has many consequences. Many people with early stage dementia live at home and are cared for by relatives. This creates a lot of pressure and stress for both the patient and the informal caregiver. A well-known and popular solution to this problem is farm-based day care, which is unfortunately not yet applied in the urban fabric, despite its many spatial and functional advantages. In order to integrate this succesful typology in the urban fabric, the following research question was answered: ‘Can the successful characteristics of farm-based day care be implemented in the green-blue landscape of Dutch cities in order to support the spatial and architectural needs of people with early-stage dementia?’. Literature research and fieldwork shows that eight qualities make farm-based day care succesfull for people with early stage dementia: stimulating connection with nature, creating purpose in life, offering meaningful activities, supporting social interaction, supporting physical activity, focussing on healthy nutrition and offering a domestic atmosphere and offering daily structure. Using results from fieldwork and case studies, the eight themes have been made generically applicable in the form of design guidelines, to which the themes 'clear wayfinding' and 'balance in sensory stimuli' have been added. In order to make these features applicable in the urban fabric, they must fit in the Urban Green-Blue grid of the city, therefore the themes 'biodiversity', 'quality of life' and food 'production', from the theory on 'Urban Green-Blue Grids' by Pötz (2016), must be respected or strengthened while designing an urban care building. Locational guidelines resulted from this. These architectural and locational design guidelines are used to create an urban version of a care farm in the urban green-blue grid of the Hague.","Dementia care; Designing for dementia; Farm-based care; Urban green-blue grids","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Dwelling","",""
"uuid:4d413d2f-cb39-4ba6-be76-9d9a251f66c4","http://resolver.tudelft.nl/uuid:4d413d2f-cb39-4ba6-be76-9d9a251f66c4","Optimal Planning of Heavy Duty Electric Vehicles En-route Charging Network","Rong, Tom (TU Delft Civil Engineering & Geosciences)","Correia, Gonçalo (mentor); Krishnakumari, P.K. (mentor); Xu, Y. (mentor); Luo, D. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:628f9a65-d4ec-46c9-8749-8b109bc944b7","http://resolver.tudelft.nl/uuid:628f9a65-d4ec-46c9-8749-8b109bc944b7","Augmented Reality Interfaces in Robotic Manipulation: Assessing the effects of autonomy levels and environmental complexity","Bessels, Koen (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Cognitive Robotics; Alliander)","Eisma, Y.B. (mentor); de Winter, J.C.F. (graduation committee); Nies, Luc (graduation committee); Delft University of Technology (degree granting institution)","2023","This study investigated the human-robot interaction of three different augmented reality interfaces that enabled an operator to control a robot arm. The first control methods gives the operator complete manual control by moving a holographic object which the robot arm copies (Direct control). The second control method allows the operator to manually set holographic waypoints which the robot arm autonomously tries to execute (Waypoint control). And the third control method, gives the operator the capability to specify a target location which the robot autonomously moves towards (Command control). An experiment was designed to give 30 participants the capability to control a Franka Emika Research 3 robot arm with a Hololens. During the experiment, the participant had to use each control method to move the end-effector of the robot arm from a starting position to a goal position in 9 different environments. The 9 environments were divided into three manually created complexity levels (Easy, Medium, and Hard), which were based upon the performance of an informed RRT* path planner that was simulated in 67.500 unique environments. The experiment results showed that Command control outperformed the other two methods in the success rate, path length, and operation time. In terms of number of routes Command control had in general a lower number compared to Direct control, showcasing that Direct control has more flexibility in route selection. Furthermore, Direct control required more skill to get a similar performances in path length, operation time, and number of collisions as Command control. The design of Waypoint control made this method too unpredictable for the participants to use proficiently, which was underscored by the very low success rate, the long operation time, and large path length of this method. Comparing the performance of the control methods against the complexity levels did not show many significant differences, except for the success rate that decreased with a more complex environment. In summary, each control method will require unique features to completely unlock the possibilities of an augmented reality interface. The three control methods show different performances, each with their own strengths and weaknesses. And the choice of a control method is therefore dependent on the task at hand.","Robot Control; Augmented Reality; robot manipulator; human robot interaction","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:3e3e721d-4bbe-41dd-845f-a9d582290e50","http://resolver.tudelft.nl/uuid:3e3e721d-4bbe-41dd-845f-a9d582290e50","Integrated Torque Vectoring and Path Following using Nonlinear Model Predictive Control","Bani, Klait (TU Delft Mechanical, Maritime and Materials Engineering)","Shyrokau, B. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis introduces a novel model predictive controller (MPC) that integrates both torque vectoring and path following into one controller. Due to a need to improve vehicle safety, systems are being developed in order to improve vehicle handling. One system that is able to improve the vehicle handling is torque vectoring (TV). With torque vectoring, the magnitude and the direction of torque can be controlled by either applying the motor or brake torques. Additionally, in order to eliminate human error as a cause of accident, automated drive (AD) vehicles are being developed. A key task for AD vehicles is to perform path following (PF), where the vehicle follows a predetermined reference path generated by path planning.
Beforehand, these tasks were performed by separate controllers, where one controller performed path following and the other controller focused on torque vectoring. The disadvantage of this method is that it leads to sub-optimal results as both controllers have opposing objectives. The TV controller is able to decrease the steering angle in order to improve the vehicle handling, whereas the PF controller could require a higher steering angle in order to follow the path. By integrating both tasks, the novel
controller is able to optimise the control output such that both objectives are achieved.
The use of model predictive control strategies with TV have been studied and its ability to deal with hard constraints, while decreasing the state errors and control input, makes it a suitable choice to use it for TV. When the MPC strategy is compared to more common control strategies it is clear that the MPC TV algorithm provides better results in terms of responsiveness, lateral acceleration and vehicle handling. Furthermore, due to its ability to integrate multiple applications and its ability to handle a greater level of complexity, a nonlinear model predictive control (NMPC) formulation will be used to perform both torque vectoring and path following.
In order to test the new MPC controller, benchmark controllers have been created for comparison. The benchmark controllers are two controllers that are able to perform torque vectoring by generating a corrective yaw moment to follow the yaw rate reference. The torques are then allocated based on the size and direction of the corrective yaw moment. Then, the NMPC controller that performs path following by using both the steering angle and torques as an input will be compared to a controller that is able to perform path following by using the steering angle as an input.
The controllers are compared by using the sine with dwell test and the double lane change manoeuvre. These manoeuvres are used to test the lateral performance, vehicle handling, responsiveness and tracking performance of the vehicles. Key performance indicators (KPI) are used in order to evaluate the results regarding tracking performance and the vehicle handling.
The results show that the NMPC controller has an increase in performance regarding both path following and vehicle handling. When compared to the benchmark torque vectoring controller, the vehicle handling is increased by 5% and the lateral performance is increased by 6 %. Additionally, compared to the path following controller, by adding torque vectoring, the NMPC controller has improved the path
following by 4 %, the vehicle handling has been improved by 5 % and the responsiveness has been improved by 11 %.","Torque Vectoring; Path Following; Nonlinear Model Predictive Control; Autonomous Vehicles","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:d254440c-fe2f-43c6-94aa-f7390c1b8e00","http://resolver.tudelft.nl/uuid:d254440c-fe2f-43c6-94aa-f7390c1b8e00","Weaving Knowledges for a more Sustainable and Fair Circular Economy: A Framework for Respectful and Collaborative Indigenous Research in Australia","Vecoli, Laura (TU Delft Technology, Policy and Management)","Pesch, U. (mentor); Lieu, J. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","What started as a research on Australian Indigenous Knowledge Systems and the circular economy (CE), turned into a much more complex and personal journey of what it means to do respectful and collaborative research with Indigenous communities. In this thesis, I attempted to build an understanding of the very rich and complex tapestry of Australian Indigenous knowledges, to explore how they may inspire alternative designs for a CE. The main assumptions, principles, and limitations of the conventional model of the CE are explored, and an Indigenous-inspired circular economy model is proposed. Alongside this research aim, I also documented my own learning process as I grappled with the challenges of conducting Indigenist research, and confronted the essential question of how we can genuinely value and engage with Indigenous communities in the realm of academic research.","Indigenous knowledges; Circular economy (CE); Decolonial research; Aboriginal and Torres Strait Islander; Australia; Industrial ecology","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:0e21cba1-a238-43b0-935e-ef70ec9b160d","http://resolver.tudelft.nl/uuid:0e21cba1-a238-43b0-935e-ef70ec9b160d","All in? Identifying and tackling private sector’s barriers to data sharing: A Perspective on geospatial data in the Netherlands","PAPADIMITRIOU, CHRYSANTHI (TU Delft Architecture and the Built Environment)","van Loenen, B. (mentor); Calzati, S. (graduation committee); Rafiee, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","There is a drive to create an inclusive open data ecosystem, that includes public, private and academic open data [Loenen et al., 2021]. There is a lot of existing research in Open Government Data [Janssen et al., 2012; van Panhuis et al., 2014; Martin et al., 2013] and plethora of OGD in the Netherlands. Although the private sector produces a lot of data, those are not open, as they are not participating in data sharing. In order, to fill the existing data gap, that OGD create, the EU [Commission, 2018], specifically with the Open Data Directive, started to promote more openness in private sector data, especially geospatial data. So far this, it is only done for public undertakings, as a sector in between public and private Boone and van Loenen [2022]; van Veenstra and van den Broek [2013]. The private sector is not bounded by legislation to share their geospatial data.
This research aims to identify what are the challenges to arrive at an ecosystem with more open private sector data, through the identification of the barriers in the process, in relation to the level of openness they are and how to move forward. Five categories of barriers are identified, strategic, technical, legal, economic, cultural and a multi model with 4 levels of openness is used, to identify the current state of geospatial data sharing of 9 companies in the Netherlands. The results show that companies that are providers of data are mostly sharing internally, trying to share with external users, while companies that are intermediaries are mostly sharing with some external users but they are not sharing fully open data yet, and the companies as user of existing open data are difficult to identify. This research demonstrates the influence of the role of the company in data sharing and the level of dataset, project, department in the barriers that the private sector faces and prevent them from sharing geospatial data in the Netherlands.","barriers; private sector; data sharing; geospatial data; level of openness; open data ecosystem","en","master thesis","","","","","","","","","","","","Geomatics","","52.15845467511299, 5.493432431296309"
"uuid:e70dc8f6-91a4-4d8a-9610-dc18618d1f19","http://resolver.tudelft.nl/uuid:e70dc8f6-91a4-4d8a-9610-dc18618d1f19","Reuse of scrap wood: in a building product","Mantje, Meike (TU Delft Architecture and the Built Environment)","Bergsma, A.C. (mentor); Gommans, L.J.J.H.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Climate is changing. The average temperature on earth is rising due to excessive emissions of greenhouse gasses such as CO2. In the meantime the economy is a linear economy where a produced from exhaustive materials are consumed and turned into waste. In order to fight climate change and exhaust the earth less the greenhouse gas emissions need to decrease and less waste needs to be produced. The government has set goals to have a circular economy by 2050. A circular economy means the elimination of waste, instead all materials are reused and recycled to its final potential and new materials come from renewable sources.
One commonly used renewable material in the built in environment is wood. Besides, wood sequesters CO2, has a low environmental impact and can be reused and recycled. When more wood is used and forests keep growing, more CO2 is sequestered, especially when it is substituted for product that emit a lot of CO2. Wood can so contribute to the overall decrease in CO2 emissions.
But at the moment there is also a huge waste production of wood, with a annually production of 1,8 Mton waste wood, of which 435 kton is scrap wood (waste wood from the construction and demolition industry) (Sloopcheck, 2021). Most is incinerated or otherwise recycled. Only a small portion is reused. In order to contribute to the circular economy goals scrap wood should therefore be reused and recycled. This thesis aims to research why the portion of reusing scrap wood is so small and tries to find a suitable building product to show that scrap wood can and should be reused. The suitable building product is CLT, due to the used lamellae consisting of varying dimensions, mechanical properties and possibly wood species. This research shows that scrap wood can be implemented into scrap wood, although the portion of scrap wood into a panel depends on the availability of certain required properties. The substitution of scrap wood in CLT panels always results in extra CO2 savings, and therefore the reuse of scrap wood into CLT panels can contribute to reducing CO2 emissions.","scrap wood; reuse; sustainability; carbon emissions; CLT; Remanufacturing","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology | Sustainable Design","",""
"uuid:d9bf31c7-2ef2-40c5-ad5b-5747999b1f19","http://resolver.tudelft.nl/uuid:d9bf31c7-2ef2-40c5-ad5b-5747999b1f19","Is Aviation Technology going in the right direction regarding Climate Impact?","Grangier, Guillaume (TU Delft Aerospace Engineering)","Gangoli Rao, A. (mentor); Yin, F. (mentor); Delft University of Technology (degree granting institution)","2023","The aviation industry is shouting out its aim to reach ”net-zero carbon” by 2050. Nevertheless, air traffic is expected to grow up until then, and if fuel consumption is reduced by new technologies and aircraft, other sources of global
warming such as N Ox emissions and contrails are less considered. Therefore, the climate impact improvement of new technologies compared to older ones is not straightforward and requires a deep analysis. This work performs such an analysis via three steps: The selection of a new fleet to be compared with the actual fleet (2019), a comparison between the two fleets emissions via an Aviation Emission Inventory code, and a climate impact assessment with the tool AirClim. The new fleet analysed consists of the replacement of 14 old (entry in service before 2002) Airbus and Boeing aircraft with their new versions (entry in service between 2011 & 2018). The results show a reduction of 8.7% of fuel consumed by total aviation just by replacing the 14 old aircraft with the new ones. On the other hand, it leads to an 8.0% N Ox emissions increase. Nevertheless, the climate impact assessment concludes that this N Ox emissions increase lowers the surface temperature change due to aviation. This is explained by the strong influence of N Ox emissions location on its climate impact. Overall, this new fleet leads to a decrease in temperature change due to aviation in 2050 of 5.3 mK (-5.2%). This work gives important conclusions on the priorities that need to be set for the development of ’greener’ aviation technologies.
This pilot study aims to assess the environmental impact of Positron Emission Tomography/Computed Tomography (PET/CT) imaging, in the context of efforts to mitigate climate change. This is studied by investigating the energy usage, waste generation, and the radiopharmaceutical production.
Methods:
Power measurements of the PET/CT scanner were conducted over four weeks and during different power settings (system on, computers off, software shutdown and energy saving mode) with a power analyzer. Various outcome measures, such as energy and cost savings per hour, energy consumption per PET/CT radiopharmaceutical procedure, and total annual energy consumption in two distinct scenarios, were calculated. Additional power measurements of reporting stations and desktop computers were performed in off-mode, sleeping mode and active mode. The data for waste disposal was collected by counting and weighing consumables used for a Fluorine-18 Fluorodeoxyglucose ([18F]FDG) procedure. Waste streams were identified and the data categorized per waste stream. The workflow for producing the [18F]FDG radiopharmaceutical was mapped out and data on the energy consumption of the cyclotron and cleanrooms and waste generation was collected. All data was finally expressed in CO2-equivalents (CO2-eq) by using emission factors.
Results:
Of the four different PET/CT power settings, the energy saving mode showed the most significant energy reduction compared to system on, resulting in ∼30% energy savings and a 0.94 kg CO2-eq emission reduction per hour. Furthermore, the energy consumption of various radiopharmaceutical procedures showed results ranging from 3.03 (2.90-3.09) to 5.15 (5.00-5.41) kWh/procedure (1.90 to 1.12 kg CO2-eq). The reporting stations and desktop computers showed both a ∼71% energy reduction in shutdown mode compared to system on. Correct waste separation of plastics and paper showed a ∼64%
CO2-eq reduction per procedure. For radiopharmaceutical production, the impact of the cyclotron’s energy consumption was found the largest (0.44 kg CO2-eq/procedure) compared to HVAC energy consumption (0.13 kg CO2-eq/procedure) and waste disposal (0.003 kg CO2-eq/procedure).The total environmental impact of [18F]FDG PET/CT imaging was measured at 2.01 kg CO2-eq per procedure, with PET/CT scanner energy consumption (62%) and cyclotron energy consumption (22%) being the primary contributors.
Conclusion:
This study showed that the environmental impact of PET/CT imaging is substantial and that it can be reduced by implementing energy saving strategies during non-operational hours, improving waste segregation for recycling and optimizing the energy efficiency of cyclotrons and cleanrooms. Furthermore, hospitals and manufacturers can even reduce the impact further by addressing opportunities such as using eco-friendly or recycled materials and incorporating green energy sources for power supply. Future research should focus on increasing energy-efficiency of scanners, cyclotron and HVAC systems, reducing the scan length and reducing low-value scanning to further decrease the environmental impact of PET/CT imaging while maintaining high diagnostic standards.
When site and climate are known there are specific design strategies which can be implemented to reduce energy consumption. Passive strategies such as windcatchers, trombe walls, roof ponds, sunshading, sun spaces or solar chimneys are not climate dependent. Their properties, however, change depending on the climate type they inhabit. Design factors such as volume, fenestration characteristic and material choice have an impact on energy consumption and are design decisions taken in early design stages.
Energy simulations have the possibility to inform early in the design stage. This thesis focuses on an optimization workflow which generates and stores simulation data throughout each design step segregating: volume, fenestration, materials and passive strategy integration. The workflow is separated into two potential paths; multi-objective comparison, focusing on comparing design option with other self-defined design options and multi-objective optimization, centered around running genome optimizations through Grasshopper’s Wallacei, minimizing or maximizing fitness values.
The workflow aims at developing knowledge throughout the design process, balancing qualitative and quantitative data to generate a data-informed design. A multi-objective approach strengthens the decision making process and presents the trade-offs required to obtain the design improvement. Ultimately, design is a conscious decision. Expanding knowledge will serve to guide and inform but never to define a design. Reducing energy consumption is a design choice and comprehending the design alternatives will flourish possibilities towards a more energy conscious future.","climate responsive; Workflow; Optimization; passive strategies; climate morphing; grasshopper; human ui; User Interface","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:d610bd52-b5dc-47ad-ae77-b4e73d7ef947","http://resolver.tudelft.nl/uuid:d610bd52-b5dc-47ad-ae77-b4e73d7ef947","Quantifying Cerebral Autoregulation in Children with Severe Traumatic Brain Injury","Robles, Tahisa (TU Delft Mechanical, Maritime and Materials Engineering)","Schouten, A.C. (mentor); Kuiper, Jan Willem (mentor); de Jonge, Rogier (mentor); van Twist, Eris (mentor); Delft University of Technology (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution); Universiteit Leiden (degree granting institution)","2023","","severe traumatic brain injury; Pediatric intensive care unit; neuromonitoring","en","master thesis","","","","","","","","","","","","Technical Medicine","TM30004; 35 ECTS",""
"uuid:f1719bce-74af-4108-aff3-76c5c81d3f70","http://resolver.tudelft.nl/uuid:f1719bce-74af-4108-aff3-76c5c81d3f70","Unveiling the Hybrid Work Paradigm: A Study on the Effect of Hybrid Working on Organisational Commitment of Employees and Work Environment Preferences Among Young Professionals of ORGANISATION X","van der Lingen, Annick (TU Delft Applied Sciences; TU Delft Architecture and the Built Environment)","Vande Putte, H.J.M. (mentor); Koolwijk, J.S.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study aims to explore the impact of employee separation as a result of hybrid working on the organisational commitment of ORGANISATION X’s workforce. The findings of this research aim to offer valuable insights to ORGANISATION X's understanding on how the alternating remote and in-office work setup may influence the commitment levels of ORGANISATION X's employees.
The research methodology employed in the initial study of this thesis encompasses quantitative research, in addition to a comprehensive literature review. This quantitative research entails surveying the entire office-based workforce at ORGANISATION X. The results of the researches have yielded fascinating insights, offering a deeper understanding of the complex interplay between hybrid working elements and commitment to the organisation. The primary research findings demonstrate a nuanced relationship between hybrid work and organisational commitment, with only two of the nine potential relationships between hybrid work and organisational commitment found. Therefore, the 'sense of belonging' or the organisational commitment referred to in this research can be seen as being affected by hybrid work only to a small, insignificant extent.
Additionally, the study explored the influence of other variables, such as physical proximity, dependency, and socio-demographic factors, on shaping employees' commitment to the organisation. The study shows a positive relation between physical proximity, particularly arranged in-person meetings, and dependency on co-workers and organisational commitment. These are believed to influence the separation awareness among employees.
This research, conducted as part of a master's graduation project, targets enhancing the motivation of post-stroke survivors to partake in both clinical and at-home rehabilitation. This involves examining relevant external factors and designing interventions in collaboration with the survivors themselves.
The research is primarily centered around patients from Rijndam Medical Centre, known for its wide-ranging post-stroke rehabilitation cohort and its partnership with Erasmus MC.
Two main methodologies frame this study: the Design Thinking ""Double Diamond"" Process Model and the Co-Creation design method. The former offers a fluid approach encompassing four stages – discovery, definition, development, and delivery – which can transpire simultaneously. The latter emphasizes active participant involvement, granting firsthand insights and feedback. Based on the insights from Co-Creation, the research involved qualitative analysis, ideation, and culminated in the project's final design and evaluation.
Initially, identity was presumed to influence the psychological constructs impacting motivation. Field research affirmed the role of external factors in shaping identity and motivation. This led to the formulation of a table categorizing factors affecting motivation, serving as a foundation for further exploration on enhancing stroke survivors' motivation for rehabilitation.
However, due to limited participant involvement, the precise relationship between identity and motivation couldn't be firmly established. Current findings suggest that focusing on stroke survivors' overall well-being and social connections may be more beneficial than solely emphasizing identity within intervention tools.
Given the research's six-month duration, future in-depth studies are recommended for those keen on this topic. It holds promise for refining post-stroke intervention strategies.
We found that decentralized repositioning strategies have better performance in reducing waiting time, while the centralized strategy is better at increasing driver income and service rate. We also found that when platform information is accessible, the system has the best performance when 20% to 60% proportion of drivers utilize platform information when making decisions.","Ride-sourcing; Repositioning; Agent Based Model; Transport Network Companies","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:e421a6c0-e7ac-4f0b-9a21-7ea888eb237e","http://resolver.tudelft.nl/uuid:e421a6c0-e7ac-4f0b-9a21-7ea888eb237e","Preservation through Adaptation: Revitalising St Barbara church with a focus on preservation and choice of materials","Bon, Adriaan (TU Delft Architecture and the Built Environment)","Visser, C. (mentor); Smit, M.J. (graduation committee); Quist, W.J. (graduation committee); Romein, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The upcoming circular economy has consequences for the application of materials within the building sector, which requires a different mindset and way of designing from the architect. The aim of this research is to develop a Circularity Overview in which the materials of a building can be assessed for circularity and environmental impact. The Overview can thus be used as a tool to test materials of an existing building, materials for a design, or can be used as a tool during the design process for adaptive reuse. This allows for orderly weighing of options and making choices, therefore it is a tool that can be of interest to students and architects who will be working with circular material use. The Overview is developed from criteria that have been compiled through desk research, for which it was important that the criteria are measurable and verifiable so that results can be replicated and traced. Knowledge about circularity was generated through literature research, after which six case studies were analyzed with an objective view. By testing the Overview on both newly built circular projects and transformed buildings, it emerged that the combination of material use and construction technique of both, such as reusing materials, applying detachable connections, and keeping existing structures intact as much as possible, can lead to favorable outcomes in terms of circularity. The circularity of the case studies as well as the operation of the Overview itself have also become insightful as a result. Although the Circularity Overview has shortcomings due to the incomplete availability of data, it provides an indication of circularity in material use that can be used to support choices before, during, and after a design phase.
The Circularity Overview was used during the design process for the revitalization of the St Barbara church in Culemborg, which resulted in a design with minimal impact on the environment.","Circularity; Building Materials; Heritage re-design; Revitalization","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","St Barbarakerk, Culemborg","51.9568778,5.2266061"
"uuid:603e2a25-d3ca-4177-9d1a-d46e6edcbb65","http://resolver.tudelft.nl/uuid:603e2a25-d3ca-4177-9d1a-d46e6edcbb65","The Adjustable Electric Bus: A Study to the Concept and its Social-Economical Performance","Qin, Mark (TU Delft Civil Engineering & Geosciences; TU Delft Delft University of Technology)","van Oort, N. (mentor); van Binsbergen, A.J. (graduation committee); Annema, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research proposes a new concept of electric bus with adjustable interior modules. By installing different modules, it can adjust both its internal layout and battery capacity spontaneously to make itself resemble an electric city bus or an electric regional bus when it is needed. It makes use of difference between peak times of regional lines and city lines so that it provides the same service as one city bus and one regional bus can do. This research firstly verified the timetable feasibility of this adjustable electric bus. It turns out that one vehicle is able to operate both city and regional bus network with observable resilience, reduction of minimum vehicle number and saving of electricity consumption. However adjustable BEB is limited by its high purchasing and operation cost, making it not highly profitable in a whole concession period. Accordingly, this research suggests low-entry utility electric bus is the more reliable and cost-efficient choice to carry out combined network operation.","electric bus; adjustable; interior; timetabling; cost-benefit analysis; modular","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:7379667a-67d2-4287-bbda-4536630257c5","http://resolver.tudelft.nl/uuid:7379667a-67d2-4287-bbda-4536630257c5","Project Journey Embassy: Foster the continuity of multidisciplinary collaboration in an IT consulting service towards problem-solving innovation","Liu, Tongshu (TU Delft Industrial Design Engineering; TU Delft Design, Organisation and Strategy)","Calabretta, G. (mentor); Heijne, K.G. (mentor); Iliohan, Jimmy (graduation committee); Stoops, Maaike (graduation committee); Delft University of Technology (degree granting institution)","2023","The application of multidisciplinary collaboration has become a trend to prevent knowledge isolation obstructing business development (Schaubroeck, Tarczewski, & Theunissen, 2016), particularly in the IT industry as it pursue problem-solving innovation.
An IT service company is currently striving to transform into an IT innovation consultancy. Despite having a full-functional workforce and the Project Journey model, it is challenging for them to sell and conduct problem-solving projects to their clients. Not every employee from various disciplines is ready for multidisciplinary collaboration.
The project went through a variant Double Diamond process. The initial assignment was to mitigate the potential misunderstanding between different disciplines. After the generative design research to investigate the context and identify problems, the researcher concluded with a reframed problem as an essential need to have a continuous and concrete guideline for the company’s multidisciplinary way of working (WoW).
The conceptualization process commenced with two co-creation workshops involving frontline workers. Subsequently, the solution is refined based on the insights from previous research and feedback during the sessions. The researcher also drew inspiration from established literature, such as the classic RACI model for multidisciplinary project management and the organizational change management model Plan-Do-Check-Act (PDCA). The final solution comprises three components.
Firstly, as a multidisciplinary WoW framework, Project Journey Blueprint was outlined under seven factors in the service blueprint format. It is more concise, intuitive, and actionable than the classic RACI matrix, with an emphasis on value-based illustration. It reduces misunderstandings between different disciplines and fosters a more efficient and effective multidisciplinary collaboration.
Secondly, a co-creation toolkit called Project Journey Embassy was developed to facilitate the Project Journey guideline development with the aim of evoking ownership and mutual understanding. It includes a generative workshop within each discipline and an alignment workshop across all the disciplines, resulting in a consensus on the multidisciplinary WoW guideline. The guideline includes the ideal team configuration and a Minimum Viable Team (MVT) for future projects.
Last but not least, a three-stage implementation approach was introduced to bridge the guideline into practice. The process begins with the bottom-up co-creation, followed by a circular organizational-level PDCA, where the Project Journey Blueprint is executed and updated quarterly. The third stage employs project-level PDCA where insights will be reported to discipline ambassadors and discussed in organizational 'Check' moments. The ongoing cycle ensures a resilient continuity of the multidisciplinary WoW.
The three-fold solution provides a clear path to foster the transformation towards problem-solving innovation by enhancing multidisciplinary collaboration. It can apply to a broader scope, as there is a common structure and similar project flow across the entire IT industry.","multidisciplinary collaboration; problem solving; IT innovation; co-creation; way of working; value; team management; Organizational transformation","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:699c5b21-0224-42a6-8458-6747f39a61c9","http://resolver.tudelft.nl/uuid:699c5b21-0224-42a6-8458-6747f39a61c9","Maritime trade and the closed off space: How can architecture shape the invisible world of the consumers industry into a future space where human coexist with this automated machine?: A scenography of a machine","van Dalen, Marilou (TU Delft Architecture and the Built Environment)","Rommens, O.R.G. (mentor); Geerts, F. (graduation committee); Koskamp, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","br/>
The case studies show that the integration of bio-based material is even more difficult in modular construction. It shows that the adoption revolves around wood products due to their proven quality and reliable supply. While acknowledging the potential and benefits of bio-based materials, construction companies perceive material replacement not as the most cost-effective option, making the adoption of bio-based materials to the existing system a formidable task. Additionally, the study also underlines the insufficiency of bio-based materials' performance and capacity to fulfill larger-scale modular building production.
Strategic pathways for IC companies encompass supply chain integration to scale up production capacity and joint R&D to speed up product development. Together with the regulatory bodies, companies can increase engagement in bio-based construction to attract more players and develop clear regulations to mitigate the potential misuse of IC applications.","Bio-based building materials; Modular construction","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:6c026ece-e2f4-4559-bd67-9f2741d4e05f","http://resolver.tudelft.nl/uuid:6c026ece-e2f4-4559-bd67-9f2741d4e05f","Railway Maintenance Scheduling: Improving the trade-off between runtime and solution quality for annual maintenance possession scheduling with a new, complex problem definition for the Dutch railways","Schmahl, Katja (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Algorithmics)","Yorke-Smith, N. (mentor); Oudshoorn, Menno (mentor); Baaijens, J.A. (graduation committee); Griffioen, H.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","There is increasingly more expensive maintenance that needs to be performed on the Dutch railway network. Good maintenance schedules reduce costs, minimise hindrance to passenger and freight travel, and follow restrictions imposed by available resources, legislation and other agreements. The railway maintainer has modelled this maintenance scheduling problem, among others, on an annual level. This problem definition is very precise with different conflicting non-linear constraints and cost parts. The demands of the solving method depend on the phase of the scheduling process. In early phases, low runtime is most important, whereas best solution quality outweighs this runtime when the maintenance work is more finalised. In 2019, a simple greedy algorithm found good solutions fast, and a hybrid greedy-evolutionary algorithm was developed that resulted in the best schedules. However, since then, the problem definition has been made more realistic and thus complex. Therefore, this hybrid greedy-evolutionary algorithm is no longer feasible, and creating a maintenance schedule takes significantly longer than before. Research is necessary to better understand the impact of the more realistic model, and to once more have a good trade-off between solving time and solution quality available for the schedulers. In this thesis, we aim to achieve this by improving different aspects of the problem and solving methods. Most experiments were done with the maintenance schedule of 2024, and results were verified on the years of 2023 and 2025. First, general problem analysis and implementation improvements reduced the runtime from around twenty-four hours to three hours with the greedy algorithm. Then, approximations were applied in the passenger hinder to further reduce the runtime by around half with a negligible negative impact on the solution quality. Due to these speed-ups, it was possible to use more elaborate solving methods. New experimental results showed that the greedy algorithm still finds solutions fast. The hybrid greedy-evolutionary algorithm found better quality schedules, but required more runtime. Furthermore, a novel solving method with look-aheads was proposed, which showed some potential for cost reductions, but was dominated by the hybrid algorithm. Every algorithm uses the greedy heuristic as a subroutine. Results showed the importance of finding the right order for greedily scheduling the requests. A proposed new order function improved the quality of the resulting maintenance schedules even further. To conclude, the increase in complexity of the problem definition in recent years has made solving more difficult. To still find good solutions in a similar time, a better performing greedy heuristic was necessary. By applying different runtime optimisations to the objective evaluation and improving the solution quality of the greedy heuristic, a good trade-off between runtime and solution quality, using different solving methods, was realised for creating annual maintenance schedules for the Dutch railway network.","Planning & scheduling algorithms; Railway Maintenance; Maintenance Possession Scheduling; Railway network","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:0628e190-1219-4a10-8444-1c802ab26f79","http://resolver.tudelft.nl/uuid:0628e190-1219-4a10-8444-1c802ab26f79","The Inverted Estate: Reimagining inverse town planning to stimulate an improved human-nature connection, a case study for the Haagse Beemden","Beckker, Annelieke (TU Delft Architecture and the Built Environment; TU Delft Landscape Architecture)","van der Velde, J.R.T. (mentor); Overschie, M.G.F. (mentor); Delft University of Technology (degree granting institution)","2023","The Haagse Beemden in Breda is a neighbourhood that is designed towards the concept of the ‘’inverse town planning’’. In this concept, the underlying landscape structures the layout of the neighbourhood, resulting in an urban area with the landscape at its core. In the case of the Haagse Beemden this means that the neighbourhood was built around an old ‘’estate zone’’ and old green structures and waterways are still present in the design of the area, making the neighbourhood very green. The green character of the Haagse Beemden together with the used ‘’bloemkoolwijk’’ structure are focussed upon creating a neighbourhood with good social values and liveability. However, times have passed since the creation of the neighbourhood and nowadays its liveability and social values are under pressure. This thesis focuses on analysing what spatial aspects and characteristics could possibly cause these problems and how the green structure of the neighbourhood could be improved to stimulate a better nature connectedness, as nature connectedness can act as a catalyst for better social cohesion. The result is a design proposal for an ‘’estate park’’ that connects the neighbourhood to its core identity. This design is based on four created typologies (Central Green, Selective Collective, Connecting Green and Semi-Collective) that are funded by the findings in the theory and analysis phases.","inverse town planning; landscape architecture; urbanism; urban forestry; estate; nature connectedness; Haagse Beemden; Breda; bloemkoolwijk","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","51.611650, 4.733943"
"uuid:584d2e54-8b71-4a89-9ae7-91a8f6ab8634","http://resolver.tudelft.nl/uuid:584d2e54-8b71-4a89-9ae7-91a8f6ab8634","Investigating human altruism towards robots with a novel and reconfigurable interactive social robot","Ahmed, Akmal (TU Delft Industrial Design Engineering)","Boyle, J.H. (mentor); Rozendaal, M.C. (graduation committee); Murray-Rust, D.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","As robots become increasingly popular and co-inhabit spaces with people, there is a need for designing robots that people are comfortable interacting with. For robots to successfully integrate into human society, it is important to design robots in a way that enhances the quality of the human-robot interaction. While the human-robot relationship typically exists to serve people, there will be instances when robots require human assistance. This project aims to investigate people’s interactions with robots that need their assistance. It employs a robot designed to test people’s willingness to help it achieve a goal under various scenarios.
The project in its entirety was quite an ambitious one given the limited scope of a graduation project. A literature review carried at the beginning helped identify key features a robot and an interaction should have, in order for it to be perceived positively by humans interacting with it. This review culminated in a list of design considerations which informed the design and the interaction. These design considerations were referred to throughout the project, while choosing ideas for the interaction and while detailing the chosen scenario. A state machine was used to explain the general behavioural states of the robot, and the electronic components that facilitated the desired interaction were chosen. These components were coded to reflect the desired behaviour of the robot during the interaction. Alongside this process, the robot was embodied as sub-assemblies incorporating these components. Once the robot was given a form, the components were designed to fit within a modular body that accounted for zoomorphic and anthropomorphic features, while retaining functionality of the components inside. During its embodiment, the robot was given the ability to express itself through displayed speech, sounds, facial expressions and body language. Additional structures like ""nests"" were also designed to support the robot's interaction. Once the interaction was designed and embodied, the robot was then plot tested, before being used as a research probe to conduct human-robot interaction (HRI) experiments to address the research questions outlined at the start of the project. Data was gathered through data logging via the robot, user observations and interviews. The results of the experiments indicate that people's willingness to help a robot is influenced by the narrative of a human-robot interaction, the effort level of the task required to help the robot, as well as individuals' attitudes towards robots. Increasing the effort level reduced people's willingness to help the robot, but only when the narrative of the interaction was simple and functional, demonstrating that a narrative which tries to engage emotionally with participants has a positive effect on their altruistic response. The experiments were also able to shed some light on the social dynamics that emerge when a robot co-inhabits a space with people, as more people informed others about the robot.
Before 2050 about 1500 kilometres of dikes and 500 sluices and pumping stations need reinforcements. Dike reinforcements could be executed by only adding soil to the dike. Another option is to add structural elements to the dike. A soil-based approach is preferred because there is more experience and a higher level of security of the reliability for a soil-based structure.
One such soil-based approach is a longitudinal mound. A longitudinal mound is a body of soil which is parallel with the dike, with the goal to reduce the wave height at the dike itself. As a result of the wave height reduction the necessary dike crest level will be reduced as well. Therefore, a reinforcement of the dike itself is not needed. The crest of this longitudinal mound is lower than the crest height of the dike. The longitudinal mound will be submerged during design conditions and will act like a submerged wave breaker.
Costs, emissions and construction time could potentially be reduced by using local soil. This local soil can be obtained in two different ways. Firstly, it is possible to use the surplus of soil of another local project for the longitudinal mound. Secondly, the soil for the longitudinal mound could be taken from the floodplain itself.
However, only little is known about the hydrodynamic effects of a longitudinal mound on the floodplain. This thesis research is done to find possible locations for a longitudinal mound, the hydrodynamic effects and the differences between a simple and more complex model of the longitudinal mound. This is done with a multicriteria analysis for the location study and with a conceptual model and a 2D D-Flow FM model for the hydrodynamic effects.
In the multicriteria analysis the studied criteria are the size of the floodplain, structures on the floodplain and inside the dike, the availability of clay on the floodplain, the habitats on the floodplain and the wave height at the dike.
The multicriteria analysis has been performed from the point of view from multiple stakeholders. For all locations a compromise is necessary. Different locations for a longitudinal mound are preferred depending of the point of view of the stakeholders.
In the conceptual model three design parameters for the longitudinal mound are taken into account, the crest height, the crest width and the slope. For each combination of these three parameters the conceptual model calculates the new equilibrium water level and the transmitted wave height from the longitudinal mound towards the dike. The transmitted wave height is calculated with the best empirical fit on multiple datasets by Friebel and Harris in 2003.
With the Van der Meer overtopping formula the freeboard of the dike above the water level can be determined. This is done for the original situation without longitudinal mound and subsequently for the situation with all combinations of the longitudinal mound. From these calculations it can be concluded that the necessary dike crest height decreases when a longitudinal mound is present. However, more soil is needed than for a traditional dike reinforcement.
Also the conceptual model does not include a backwater effect. The water level does not immediately jump to the new equilibrium water level, so the water level increase should be smaller than calculated in the conceptual model. On the other hand, in the conceptual model all waves are assumed to be perpendicular to the dike. If waves are not perpendicular the necessary freeboard is smaller. The absolute dike crest height reduction with a longitudinal mound is therefore smaller for non-perpendicular waves than for perpendicular waves.
The 2D D-Flow FM model has been supplied by Deltares. The grid consists of cells of 20 by 10 square metres on the main river channel and 20 by 20 square metres on the floodplain. To model the longitudinal mound with a higher accuracy the grid on the floodplain has been refined to 5 by 5 square metres. On this refined grid three different variants have been modelled. All variants have a crest height of about half a metre below design water level and their alignment is identical. For Variant 2 a connection of half the longitudinal mound height has been made with the dike. For Variant 3 the same volume of soil needed for the longitudinal mound has been removed from the floodplain by lowering it by 0.3 metres.
There are only small differences between the three variants. Compared to the original situation there was only a difference in the order of millimetres of water level at the main river channel. The main differences are found between the dike and the longitudinal mound. In this area the Bernoulli effect is found, at locations of increased flow velocity lower water levels are found and vice versa. The subsequent difference in water level is about 5 to 10 centimetres.
The flow velocity depends on the difference of flow area in longitudinal direction between the longitudinal mound and the dike, following the Bernoulli principle. So, the main contributor to the water level change on the floodplain is the alignment of the longitudinal mound. Therefore, the alignment of the longitudinal mound is an important design parameter and can be used to find a trade-off between increased water levels and increased flow velocity.
As this process is not incorporated in the current version of the conceptual model the results between the conceptual model and the 2D D-Flow FM model are different. Therefore, it is recommended that the water levels between the longitudinal mound and dike are calculated separately in the conceptual model. To do this the area between the dike and longitudinal mound can be split into multiple segments. With energy and momentum balances the water levels in these segments can be calculated.
It is also recommended that the 2D D-Flow FM model is used at a smaller floodplain as well to see if the effect on the main river channel is similarly small. Next, it could be helpful to try different alignments for the longitudinal mound to see how these influence the water levels and flow velocities.
Finally, in this research only the flow has been modelled in 2D. However, the wave reduction is also of importance. The next step is to add a wave model to the 2D model to as well. With this addition it would be possible to make the comparison between the wave height reduction in the conceptual model relative to a 2D model as well as for the water level.
44.08% reduction in heading error.","Nonlinear Model Predictive Control; Incremental nonlinear dynamic inversion","en","master thesis","","","","","","","","2025-10-31","","","","Aerospace Engineering","",""
"uuid:7914ef0c-fcb9-450b-9520-bef00076e04c","http://resolver.tudelft.nl/uuid:7914ef0c-fcb9-450b-9520-bef00076e04c","The Cyber Shield: Uniting Forces for Knowledge Security in Universities: A Comprehensive Investigation into the Path to Fortifying Knowledge Protection in Dutch Universities","Bissumbhar, Josephine (TU Delft Technology, Policy and Management)","van Gelder, P.H.A.J.M. (mentor); Parkin, S.E. (graduation committee); Duran, J.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","In a rapidly evolving digital landscape, where information is the currency of progress, universities play a vital role in fostering innovation, research, and knowledge dissemination. However, this invaluable role also exposes universities to significant cybersecurity challenges. Cybersecurity is an increasingly important topic for organisations in all sectors, including universities. As repositories of valuable research data and other sensitive information, universities are attractive targets for cyber attacks.
Addressing these challenges is crucial not only to protect intellectual property and sensitive data but also to maintain the trust and integrity of academic institutions. Despite the importance of cybersecurity for universities, there is a lack of research on how to effectively implement cybersecurity policies and practices in this context. The lack of a standardised approach to cybersecurity can leave universities vulnerable to cyber threats and hinder the sharing of best practices. This study is expected to identify key challenges and measures for cybersecurity policy in Dutch universities. It will provide insights into the implementation of effective cybersecurity policies and contribute to the development of an approach to cybersecurity in the higher education sector. The research question is: ""How should the cybersecurity policies of Dutch universities be designed to mitigate cyber threats to ensure knowledge security?"".
To accomplish this research, a multidimensional approach was adopted. Extensive literature review provided a foundation for understanding cybersecurity standards and cyber ethics, while interviews were conducted with various Dutch universities to gain insights into their experiences and perspectives. Additionally, a comprehensive survey was administered to students and staff members of Dutch universities, enriching the study with diverse viewpoints. This work aligns with the objective of examining the quality of decision-making concerning grand societal challenges within the context of their socio-economic and political environments. It aspires to inform decision-makers in the public (policy) domain or at the intersection of the public and private spheres. By shedding light on the barriers faced by universities in implementing cybersecurity norms, this research aims to contribute to the ongoing discourse on securing knowledge assets in the face of emerging cyber threats. The thesis is structured as follows: it begins with an introduction that provides a comprehensive overview of the topic, delineating the problem at hand and outlining the proposed approach. Subsequently, the literature review section presents the findings of the extensive research conducted, exploring various subjects such as cybersecurity standards and cyber ethics, among others. The expected outcomes of this study are an overview of current cybersecurity challenges for Dutch universities, an understanding of the opinions and experiences of university staff and students, and recommendations for developing and implementing cybersecurity policies for Dutch universities.","Cybersecurity; Universities; Policy","en","master thesis","","","","","","","","2023-11-20","","","","Engineering and Policy Analysis","",""
"uuid:6bbbf2db-5b4b-43d0-a964-19d235dd21c5","http://resolver.tudelft.nl/uuid:6bbbf2db-5b4b-43d0-a964-19d235dd21c5","Affordable Design of Total Ossicular Replacement Prostheses in Low- and Middle-Income Countries","Hou, Sophia (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Biomechanical Engineering)","Knulst, A.J. (mentor); Dankelman, J. (mentor); Horeman, T. (graduation committee); Smith, Michael (mentor); Delft University of Technology (degree granting institution)","2023","The objective of this thesis project is to develop an economically viable design for a titanium total ossicular replacement prosthesis (TORP) that caters to the needs of low- and middle-income countries (LMICs). The author focused on addressing the problem from the manufacturing aspect and two primary approaches, additive manufacturing (AM or 3DP) and metal forming, were selected in the literature review attached in Appendix A. One concept was generated for 3DP, utilizing selective laser melting (SLM) to fabricate a straightforward structure using Ti6Al4V. Additionally, two similar concepts, 2A and 2B, were proposed for metal forming, involving precise metal laser cutting of a two-dimensional piece and subsequent bending to achieve a three-dimensional TORP shape. Concept 2A features a fixed-length shaft, whereas Concept 2B incorporates an adjustable zigzagged shaft, aiming to obviate the need for storing multiple sizes of TORPs. These concepts were manufactured into prototypes and systematically evaluated based on predetermined assessment criteria including affordability, manufacturing process, precision, sound transmission property, and simplicity. After assessment, Concept 1 was omitted from the final solutions due to its high cost and demanding post processing steps. And Concept 2A and 2B were deemed as potential TORP designs for the costs of 3.5 and 0.9 euros, dimensional errors of 2.22 % and 2.33 %, preparation duration of 0 and 5 minutes, and the comparable performances in manufacturing process and sound transmission with the commercial products. In conclusion, the TORP designs produced by precision laser cutting were considered the more cost-effective and accessible solutions for LMICs in need of ossiculoplasty.","TORP; Middle ear implants; Affordable","en","master thesis","","","","","","","","","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:0c1b6b6d-a4c9-4175-98f7-168f484ad4e0","http://resolver.tudelft.nl/uuid:0c1b6b6d-a4c9-4175-98f7-168f484ad4e0","Unsupervised Wafer Map Failure Pattern Recognition with Contrastive Learning","Liu, Kevin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lensink, Leonard (mentor); Wang, Q. (graduation committee); Driessen, Jan (graduation committee); Delft University of Technology (degree granting institution)","2023","This master’s thesis explores the application of Self-Supervised Contrastive Learning (SSCL), specifically the SimCLR algorithm, to enhance feature representation learning from Wafer Bin Maps (WBM) in the semiconductor manufacturing process. The motivation stems from the industry’s growing need for automated defect detection and root-cause analysis as electronic devices become more complex. Traditional manual inspection methods fall short in meeting these demands due to cost and time constraints. The study successfully leverages SSCL to extract meaningful feature representations, optimizing label efficiency and improving defect pattern recognition. Furthermore, a comprehensive pipeline for analysis on Nexperia’s data is established, including data acquisition, preprocessing, training, testing, and interactive visualization of feature spaces. The research contributes to the automation of wafer map inspection, resulting in potential cost savings and enhanced process control in semiconductor manufacture","Contrastive Learning; Wafer Map Failure Pattern Recognition; Representation Learning; Self-supervised learning; Unsupervised Learning","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:25fe9690-db71-4613-9728-f16ca9454e88","http://resolver.tudelft.nl/uuid:25fe9690-db71-4613-9728-f16ca9454e88","Interlocking wood-to-wood joinery connections with moisture induce process: Interlocking optimization of digital manufactured households: an alternative construction system as solution for affordable housing in Colombia","Cruz Rojas, Juan (TU Delft Architecture and the Built Environment)","Koskamp, G. (mentor); de Ruiter, P. (graduation committee); Salzberger, M.F. (graduation committee); Delft University of Technology (degree granting institution)","2023","From a global perspective, the building industry is one of the significant factors of environmental impact on the planet. Related activities in this industry refer to 40% of total carbon emissions; 28% of this value accounts for building operations, while the remaining 12% represents the manufacturing of new construction materials. Studies have revealed that 90% of construction waste comes from demolition (Ahn et al., 2022). Wood as a construction material is an uprising in the building practice due to its carbon storage capabilities and prefabricated possibilities (Gong, 2021). Prefabricated timber constructions can benefit rural Colombia's social reconstruction with the help of digital fabrication technologies. Likewise, this method could bring better performance of materials and its End of Life (EOL) (Ahn et al., 2022). Nevertheless, research has identified wood-to-wood timber connections as a gap in the academia to tackle better design, manufacture, assembly, and deconstruction (DfMA + D) in the field (Mehra et al., 2021). The following study aims to investigate the application of CNC technologies to fabricate novel and affordable wood joinery connection solutions for the construction of rural housing in Colombia. This research emphasizes the cooperation of the wood material to moisture fluctuation, with the aim of introducing a more sustainable and efficient assembly method.","DfMA; Digital Fabrication; Wood-To-Wood connections; Novel Joints; Social Housing; Equilibrium moisture content of wood; EMC; Pre fabrication; Colombia; Sawn Timber; CNC; PRE-FAB Housing","en","master thesis","","","","","","https://online.fliphtml5.com/pfbvw/dfwn/#p=1 Thesis Book","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:573df85e-871a-448b-8e4f-2fb7dcb2e979","http://resolver.tudelft.nl/uuid:573df85e-871a-448b-8e4f-2fb7dcb2e979","Assessing the impact of ERS technologies on stakeholders from a system perspective","Wang, Mo (TU Delft Civil Engineering & Geosciences)","van Binsbergen, A.J. (mentor); Tavasszy, Lorant (graduation committee); Nogal Macho, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","To achieve the goals set by the ""European Green Deal"" of reducing greenhouse gas emissions, it is imperative for heavy goods vehicles to transition towards becoming climate neutral. To address this challenge, Electric Road Systems (ERS) with overhead conductive and in-road inductive technology present a promising sustainable mobility solution. However, little is known about the real-life feasibility of these technologies, particularly as in-road inductive systems are partly still under development. ERS is a system of systems, it contains several linked subsystems with complex interaction effects, which makes it difficult to predict its performance across different dimensions that are important for the many stakeholders involved.
Therefore, this study aims to evaluate, from a systemic perspective, the different impacts that the two ERS technologies will have on all stakeholder groups, thereby providing input to the decision-making process on the adoption of either technology. The methodology is an adaptation of Multi-Actor Multi-Criteria Analysis (MAMCA) and Design for Value (DfV), based on a literature review, stakeholder interviews and conceptual modelling. With the study area of the corridor between Rotterdam and Antwerp, related stakeholders are interviewed to understand their main values and criteria for evaluation. The data on ERS technologies is collected to carry out the evaluation and comparison. The results of the impacts of two ERS technologies are shared with stakeholders for validation purposes.","Electric Road System; Technology assessment; Stakeholder analysis; Design for Value","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:157a6b27-b676-4198-b953-d77c38609fba","http://resolver.tudelft.nl/uuid:157a6b27-b676-4198-b953-d77c38609fba","Asymmetrical partnerships in conceptual housing: How start-ups manage to become competitive in the conceptual housing industry","Pieck, Luca (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Boumeester, H.J.F.M. (mentor); Elsinga, M.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the Netherlands there is currently a high demand for affordable housing (Volkshuisvesting en Ruimtelijke Ordening, 2022). Earlier research indicated modular integrated housing can serve as a repercussion against this problem (Khan et al, 2022). The Dutch government wants to promote innovation in the construction industry to help solve the housing shortage, but this industry has been described as traditional instead of being innovative (Abbot et al., 2006). Startups, though, are often linked with innovation (Colombo & Piva, 2008, De Groote & Backmann, 2020; Spender et al, 2017). However, when analyzing the Dutch housing construction industry and it’s challenges through the scope of Porter’s five forces (2008), which are the threat of new entrants, power of buyers, power of suppliers, threat of substitute products and rivalry between firms in the industry, it becomes clear that it is a difficult industry for startups to enter and become competitive. This is due to the barriers of entry (e.g. high fixed costs, volatility and access to clients), powerful buyers, and possible retaliation from competitors. Furthermore, innovation and partnerships play a significant role for startups to become competitive in this industry, as partnering with incumbent firms can help the startups overcoming the previously stated challenges linked with the house building industry. These type of partnerships between startups and incumbent firms are initiated because of a startup’s innovative capabilities and are a way for the larger firm to gain access to new business models, which is beneficial for the continuity of their own firm. However, due to their asymmetric nature, these partnerships could cause different types of challenges for managers of involved companies. In this research, the innovation strategies employed by the concept housing startups and their partners are analyzed to see how they plan to become competitive. This research is meant to help (future) startups of this industry, and to provide them with guiding principles on collaborating with incumbent firms. These principals are developed after an exploratory literature review and interviews with managers of concept housing startups and their partners already operating in the Dutch house building industry.","Concept housing; Startups; Innovation management; Asymmetrical partnerships; Open Innovation","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:a862b836-dfad-4a6f-b3b6-730fa43cee42","http://resolver.tudelft.nl/uuid:a862b836-dfad-4a6f-b3b6-730fa43cee42","Development of passive anti-icing coatings by incorporating ice-binding proteins","Hoebus, Laura (TU Delft Aerospace Engineering)","Garcia, Santiago J. (mentor); Tavaststjerna, M.J. (mentor); Kumru, B. (graduation committee); Teuwen, Julie J.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","In cold regions, the formation and accumulation of ice can cause safety hazards and impede proper operation of equipment. By example, ice accumulation on aircraft wings can increase drag, increase weight, reduce upward force and decrease aircraft speed.
For these reasons, proper anti- or de-icing techniques need to be developed. These techniques can be divided in passive and active systems. Active systems require a supply of external energy. On the other hand, by physical or chemical surface modification, passive systems inherently possess anti-/de-icing characteristics without the requirement of external energy. For this reason, this master thesis focuses on the development of passive anti-icing coatings.
One possible new approach to develop passive anti-icing coatings could be to modify surfaces with ice-binding proteins, more specifically anti-freeze proteins (AFPs). These proteins can be found in organisms living in cold climates. They are able to inhibit freezing, thus making life in cold environments possible. Currently, limited research has been performed regarding these AFPs as anti-icing coating material. As a result, this thesis delves deeper into the effect of different environments on the behaviour of AFPs. This is fundamental knowledge that needs to be uncovered before AFPs can be used as an anti-icing material.
In a first step, AFPs are directly attached to the surface in various concentrations using a polyethylene glycol (PEG) chain with a specific chain length. From the freezing data, an unexpected phenomenon was observed. It appears that AFP-surfaces freeze faster with increasing AFP concentration. As such, they act as ice promoter instead of the expected ice inhibitor. It is hypothesized that this phenomenon could be largely attributed to the limited protein mobility on the surface. To further test this theory, AFPs with various linker chain lengths were attached to the surface. Indeed, freezing was detected at later time points with increasing linker chain length meaning that AFPs with higher mobility are able to inhibit ice growth.
In addition, the incorporationof AFPs showed another interesting phenomenon as well. Ice dendrites on the AFP surfaces appeared to grow more straight compared to their silane-treated counterpart. Because of this, dendrites on the AFP-surfaces were also more easy to blow away.
Except for attaching AFPs directly to the surface, their behaviour within a polymeric environment is also studied. For this purpose, various concentrations of AFPs were incorporated within a PEG hydrogel. DSC was used to study the different types of water within the different AFP hydrogels. Interestingly, the amount of freezable bound water increased with increasing AFP concentration. No clear trend could be found between the amount of non-freezing water and the AFP concentration. In addition, freezing tests showed that hydrogels with increasing AFP concentration inhibited ice growth. This behaviour is opposite to the behaviour that was detected for AFPs attached directly to the surface.
In a final test, the hydrogels are dehydrated and again subjected to freezing tests. Now, the freezing behaviour follows a similar trend as the AFP-surfaces, meaning that, with increasing AFP concentration, ice formation is promoted.
From these results, it is clear that the environment of the AFPs plays a crucial role to the AFP behaviour. Depending on the type of environment in which they are introduced, AFPs can either act as ice inhibitor or ice promotor.","Coating; Anti-icing; Anti-freeze proteins; Ice-nucleating proteins; Ice-binding proteins","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:7f83f4b8-088f-40d3-a596-d59906f119c2","http://resolver.tudelft.nl/uuid:7f83f4b8-088f-40d3-a596-d59906f119c2","Repurposing sublime religious heritage: preserving experiential value during transformation","Smeenge, Margo (TU Delft Architecture and the Built Environment)","Visser, C. (mentor); Quist, W.J. (mentor); Meijer, A.S.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","During adaptive re-use of heritage obejcts, experiential value of characteristics is often overlooked. This project highlights the importance of the effect of architecture on the psyche and how integrating this into the value assessment, a more holistic heritage approach can be realised. Religious heritage often has the capacity to astonish, overwhelm and inspire the visitor. This experience can be linked to the sublime, a philosophical concept which rose to fame in the 18th and 19th century. It describes how wilderness, vast landscapes, impactful structures, art and even music can elevate the mind, leaving a positive influence on mental well-being. The sublime experience is where the individual feels small or insignificant, but in a comforting way and where they are in an environment that goes beyond their imagination, evoking the realisation of the higher powers of their own mind. During adaptive-reuse of vacant churches, this experiential value is often overlooked, resulting in interventions that destroy the sublimity of the object. The research aims to identify the specific architectural characteristics and requirements within religious structure, so they can be preserved during transformation. This would ensure that the valuable experience is not lost, and can continue to positively impact society. A program which is suited to the existing architecture, a mental health & community centre, creates a beneficial relationship between function and structure where, both work towards the same goal: better mental well-being. Interaction between target groups reduces stigma on mental health issues and keep the church a public facility. Preserving the aesthetics and impactfullness of the structure, enhances sustainability and longevity, due to the ability of the structure to garner greater public support. The transformation was done with a zero was approach, meaning that materials that were demolished were re-used and future waste-streams greatly diminished through design. The project highlights how more research on wellness-architecture, or experiential qualities is needed to optimize the potential of heritage transformation.","sublime; experiential value; heritage transformation; religious heritage; Church architecture; mental health facility; Community Centre; architectural characteristics","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","",""
"uuid:0e3b76a8-ca53-4d71-a58c-005c0b44f322","http://resolver.tudelft.nl/uuid:0e3b76a8-ca53-4d71-a58c-005c0b44f322","The eQTL and beyond: a cell type specific view on genetic variant-associated changes in gene (co-)expression in the context of Alzheimer’s Disease","Stol, Madelon (TU Delft Electrical Engineering, Mathematics and Computer Science)","Reinders, M.J.T. (mentor); Tesi, N. (mentor); Bouland, G.A. (mentor); Lofi, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Understanding the role of genes and genetic variants is a key challenge in unraveling the driving mechanisms of Alzheimer's disease (AD). Single-cell RNA sequencing is a technique that quantifies gene expression at the cell (type) level enabling investigation of the roles of different cell types in disease. We analyzed changes in gene (co-)expression associated with genetic variants using single-cell RNA sequencing data (>1.3 million cells) from the dorsolateral prefrontal cortex (DLPFC) of 379 individuals of the ROSMAP cohort. Our single cell expression quantitative trait loci (sc-eQTL) analysis determined 3,337,065 sc-eQTLs, linking 1,882,645 SNPs to changes in expression of 8,057 genes in 7 major cell types. Next, we investigated the association of genetic variants with changes in co-expression for gene pairs (co-eQTLs), focusing on a set of variants and genes relevant to AD. Our novel non-parametric method for co-eQTL analysis compares gene co-expression distributions between SNP genotypes. We found 6,878 cell type specific co-eQTLs (variant-gene-gene combinations) relating to 18 AD variants. Although a substantial proportion of the findings is driven by eQTL effects, our method identified co-eQTLs that would not have been discovered in a correlation-based analysis. Most notable, we found variant rs13237518 (located in the TMEM106B gene) to associate with expression changes in a subset of 25 genes in excitatory neurons which is possibly indicative of higher-level disruptions related to the variant. Overall, we show that exploring genetic variant-associated changes in gene (co-)expression is a promising approach in finding cell type specific mechanisms that may be altered in AD.","Alzheimer's Disease (AD); single-cell RNA sequencing; Genetic variations; RNA-Sequencing; Genetics","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:91d3142d-6bf0-478c-9553-8ff9b34c5110","http://resolver.tudelft.nl/uuid:91d3142d-6bf0-478c-9553-8ff9b34c5110","Shift Happens!: A Stated Choice Experiment to measure the influence of private Automated Cars on train usage","van Zeijl, Lola (TU Delft Technology, Policy and Management)","Annema, J.A. (mentor); van Wee, G.P. (graduation committee); de Bruijne, M.L.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","The development of automated cars has introduced the potential to reduce global CO2 emissions by enhancing driving efficiency. However, counter-research suggests that this may lead to increased CO2 emissions due to higher speeds and highway congestion. This research examines the impact of automated cars on train travel, focusing on their unique attributes: safety, motion sickness, and comfort.
In the densely populated Netherlands, where congestion is a significant issue, train passengers may shift to automated cars, which would result in an increased road congestion. Safety concerns in automated cars impact the decision for a transportation mode, and individuals are more likely to experience motion sickness while riding in them. Automated cars offer comfort features that are not available in regular cars, allowing occupants to engage in other activities.
This study investigates the extent to which safety, motion sickness, and comfort influence train passengers' decisions to choose automated cars over trains. A Stated Choice Experiment methodology was employed to assess these factors on the Rotterdam-Amsterdam corridor, one of the most congested routes in the country. The findings indicate that comfort is the most influential factor in the modal choice, followed by safety and motion sickness.
However, the study emphasizes the need for a cautious interpretation of these results since the impact does not directly correlate with the actual probability of a modal shift. While comfort emerges as the most influential factor, it carries potential negative consequences for the public transport sector and road congestion.
This research underscores the significance of assessing the impact of automated cars on train travel, particularly considering their distinguishing factors. Nonetheless, as this study relies on hypothetical scenarios, future research could benefit from real-world experiences with automated cars. Furthermore, extending the investigation to shared or fully automated cars would enhance the generalizability of the findings.
Monitoring sleep has great significance, especially for premature babies, because it allows for the adaptation of care to accommodate for better and more sleep. Different sleep stages can be identified, but the identification does differ for adults compared to premature babies. Different characteristics including brain activity, heart rate, respiration, eye movement and face and body movements are linked to those sleep stages.
Eye movement is a characteristic that could be used more optimally for the creation of a sleep-monitoring system. Eye movement can be tracked using different methods, but electrooculography shows the greatest promise. For this specific method, different options exist concerning electrode placement and materials. The use of this system for premature babies during sleep also creates limitations related to the fragility of the skin of prematurely born babies. Furthermore, these babies normally lie in the Neonatal Intensive Care Unit, creating additional context-specific considerations.
Different research methods were used, resulting in a complete picture of the steps taken after premature birth occurs. These steps are portrayed in a scenario. The requirements and wishes drawn from these are used to develop ideas. During the development, a choice was made to create two parts for the device, namely the shell and the electronics module.
In the final design, the shell contains specialized electrode places, named snap-rings, as well as features that create adaptability and additional details to accommodate the use of multiple devices that are already being used on premature babies. The electronics module includes an electrode configuration that can be placed into the snap-rings in the shell. The electrode protrudes the snap-ring and is pushed onto the skin. The force needed to place the electrode is decreased by using a material with a low Young's modulus, ensuring contact between the electrode and the skin without causing damage to it. This novel configuration enables the use of dry electrodes, eliminating the need for gels and adhesives.
Some recommendations are given, which concern further the development of the device, the eventual certification and the embedding of the device within a system that monitors sleep.
Overall, a complete overview of the concept design of a device that can monitor eye movement of premature babies is given, which creates an opportunity for the improvement of sleep monitoring systems for these babies.","Sleep monitoring; Eye movement; EOG; Electrooculography","en","master thesis","","","","","","","","2024-10-30","","","","Biomedical Engineering | Bioelectronics","",""
"uuid:6c47623c-e3bf-48b1-b16b-a06155740df9","http://resolver.tudelft.nl/uuid:6c47623c-e3bf-48b1-b16b-a06155740df9","Regret Analysis of Learning-Based Linear Quadratic Gaussian Control with Additive Exploration","ATHREY, ARCHITH (TU Delft Mechanical, Maritime and Materials Engineering)","De Schutter, B.H.K. (mentor); Shi, S. (graduation committee); Khosravi, M. (graduation committee); Mazhar, Othmane (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis addresses the Learning-Based Control (LBC) of unknown partially observable systems in the Linear Quadratic (LQ) paradigm. In this setting of learning-based LQ control, the control action influences not only the control performance but also the rate at which the system is being learnt, causing a conflict between learning and control (exploration and exploitation), which is particularly challenging to address. This thesis aims to develop a novel LBC algorithm for unknown partially observable systems in the LQG setting that is computationally efficient and can guarantee an optimal exploration-exploitation trade-off, quantified by a metric called regret. The regret quantifies the cumulative performance gap between the LBC policy and the ideal controller having full knowledge of the true system dynamics. The contributions in this thesis involve a novel LBC algorithm deployed in a two-phase structure. The first phase involves injecting Gaussian input signals to obtain an initial system model. The subsequent second phase deploys the proposed LBC strategy in an episodic setting, where the model is updated for each episode, and the resulting updated LQG controller is applied with additive Gaussian signals for exploration. In addition, the thesis establishes strong theoretical guarantees on optimal regret growth.","Reinforcement Learning (RL); Linear Quadratic Gaussian; Naive exploration","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:a9157d2c-2d8f-4e60-990c-66206e194838","http://resolver.tudelft.nl/uuid:a9157d2c-2d8f-4e60-990c-66206e194838","Novel Drop Test Set-Up For Hypergolic Testing: Developing a drop test set-up to characterize the performance of TNO’s HTP/ethanol hypergolic propellant combination","Głowacki, Mateusz (TU Delft Aerospace Engineering)","Jyoti, B.V.S. (mentor); Olde, M.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","An ambient drop test set-up was developed and used to obtain data about the hypergolic performance of TNO's novel hypergolic propellant combination. Said propellant combination was found to have an ignition delay time of 50 ms before further optimization, making it a promising candidate to replace the current toxic state-of-the-art hypergolic propellant combinations. Furthermore, based on the knowledge gained during the two ambient test campaigns a hermetic drop test set-up has been proposed and is under development.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:ab98e53a-5931-4b78-98ec-f0cb6df20986","http://resolver.tudelft.nl/uuid:ab98e53a-5931-4b78-98ec-f0cb6df20986","GSL-Bench: High Fidelity Gas Source Localization Benchmarking","Erwich, Hajo (TU Delft Aerospace Engineering)","de Croon, G.C.H.E. (mentor); Duisterhof, B.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Gas Source Localization (GSL) is a challenging field of research within the robotics community. Existing methods vary widely and each has its own strengths and weaknesses. Existing GSL evaluations vary in environment size, wind conditions, and gas simulation fidelity, thereby complicating objective comparison between algorithms. They also lack photo-realistic rendering for the integration of obstacle avoidance. In this paper, we propose GSL-Bench, a benchmarking suite to evaluate the performance of GSL algorithms. GSL-Bench features high-fidelity graphics and gas simulation. Realism is further increased by simulating relevant gas and wind sensors. Scene generation is simplified with the introduction of AutoGDM+, capable of procedural environment generation, CFD and particle-based gas dispersion simulation. To illustrate GSL-Bench's capabilities, three algorithms are compared in six warehouse settings of increasing complexity: E. Coli, dung beetle and a random walker. Our results demonstrate GSL-Bench's ability to provide valuable insights into algorithm performance.","gas sensing; benchmarking; simulation; source localization; gas dispersion; odour souce localization","en","master thesis","","","","","","https://sites.google.com/view/gslbench/ Website providing additional results and instructions","","","","","","Aerospace Engineering","",""
"uuid:d332412c-661c-4cfc-9cf0-fefa7545cc53","http://resolver.tudelft.nl/uuid:d332412c-661c-4cfc-9cf0-fefa7545cc53","Design and Experimental Validation of Intracardiac Photoacoustic Catheter: English","Oza, Jimeet (TU Delft Mechanical, Maritime and Materials Engineering)","Iskander-Rizk, Sophinese (mentor); Tichem, M. (graduation committee); Goosen, J.F.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Atrial fibrillation is a cardiac arrhythmia resulting from abnormal electrical conduction and impulse formation within the atria. To address this condition, a minimally invasive procedure called cardiac ablation is performed. Real-time visual feedback during this procedure plays a critical role in determining its success.
Photoacoustic imaging is a technique capable of providing real-time visual feedback. Integrating photoacoustic capabilities into existing Radiofrequency ablation catheters poses a significant challenge, which this thesis addresses. The proposed integrated solution employs optical fibers for light delivery and an ultrasound transducer for signal reception.
This work investigates the design of two light delivery systems for integrated photoacoustic-guided surgery. Monte Carlo simulations are employed to study three-dimensional light propagation in tissue, informing the catheter design specifications. Optimal fiber distances and orientations within the catheter are determined based on normalized fluence values and illumination spot size—critical parameters for assessing the amount of delivered light, its area of coverage, and depth of penetration. The methodology presented applies to various photoacoustic applications.
The simulation study was able to successfully inform design specifications and it was able to establish a relation between design variables and the evaluation criteria such that it can be referred to for future designs. The comparative study yielded a better-performing design configuration and its optimal specifications were found out. This proves the use of a simulation-based evaluation to design a photoacoustic intracardiac catheter. In the final phase of this research, an experiment is set up to validate the light delivery of the design, which provides a clear outlook for the future of these designs into fabricated products.","Catheter Ablation; Experimental testing of catheter; Photoacoustic imaging; Atrial Fibrillation; Monte Carlo simulation for light propagation; Design of Catheter","en","master thesis","","","","","","","","","","","","Mechanical Engineering | High-Tech Engineering","",""
"uuid:490791b6-a912-4bac-a007-f77012c01107","http://resolver.tudelft.nl/uuid:490791b6-a912-4bac-a007-f77012c01107","Advantages and Challenges of Perforated Monopiles in Deep Water Sites: Comprehensive study of stress concentrations and fatigue loads of monopile foundations in deep water sites","Santamaria Gonzalez, Gabriel Andres (TU Delft Mechanical, Maritime and Materials Engineering)","Colomés, Oriol (mentor); Vergassola, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The potential for developing more efficient offshore wind support structures becomes increasingly critical as the offshore wind sector is faced with challenges such as rising commodity prices and shrinking profit margins. These challenges not only impact the industry’s capacity to meet growing global demand but also hinder efforts to address the imperative challenge of decarbonization. As the offshore wind industry expands, the roles of cost-effectiveness and ongoing research will be pivotal in driving the advancement of offshore wind energy on a global scale.
The support structure is responsible for supporting the turbine, transferring loads to the ground, and allowing access for inspection and maintenance purposes. The environmental loads acting on an offshore wind support structure (OWSS) result from a combination of waves, wind speed, turbulence intensity acting on the shape of the turbine components. These loads can be grouped in a scatter diagrams that depict the probability of occurrence of a given wind wave combined condition which is also known as a ""sea state"". Different sea states lead to significant vibrations and stresses on the foundation, which can cause fatigue and failure over time.
The perforated monopile consists of a monopile with holes around the splash zone to reduce frontal area, which reduces hydrodynamic loads on the foundation. Assesing the potential of perforated monopiles in deep waters was based on a comparative study of the loads acting on the monopile by developing a model that considers the structural response of the system to different sea states. FEM studies are essential in identifying potential stress concentrations and their effects on the overall integrity and safety of the structure. Thus, analysis focused on assessing the performance of both a reference monopile and a perforated monopile structural models under both parked and power production conditions, including sea states with 50-year and 1-year return periods. The simulations encompassed 35 distinct sea states and computed maximum stresses at critical locations, including the mudline, perforations, and the splash zone.
The study found that the perforated monopile displayed an average reduction of 17% in the maximum stresses found at the mudline compared to the reference monopile. This reduction was attributed to improved flow dynamics facilitated by the perforations. However, the splash zone of the perforated monopile experienced increased stresses around the splash zone of up to a factor of four, attributed to higher overturning moments around the perforated area. Next, the analysis continued with a fatigue life assessment, highlighting sea states that could potentially challenge the structural integrity and longevity of the monopiles over their intended operational lifespan.
The study explored alternative solutions, such as varying thickness parameters at high-stress areas and using different materials at the splash zone. Additionally, the possibility of different perforation geometries was considered, although it could impact natural frequencies and cause resonance with loading frequencies.
To conclude, the research underscores the importance of a comprehensive assessment of monopile designs in offshore renewable energy structures with a discussion and recommendations for further research on the subject. It emphasizes the need for careful consideration of design choices based on specific environmental conditions at the installation site. The findings contribute valuable insights into optimizing monopile designs for long-term performance and structural reliability in varying sea state conditions during power production scenarios.","perforated monopiles; Fatigue Analysis; stress concentration","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:c2ad7239-2ab8-478e-b3f8-cb31bd91edf3","http://resolver.tudelft.nl/uuid:c2ad7239-2ab8-478e-b3f8-cb31bd91edf3","Language Agnostic Code Exploration Services","Dönszelmann, Jonathan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Langendoen, K.G. (mentor); Cockx, J.G.H. (graduation committee); Groenewegen, D.M. (graduation committee); Pelsmaeker, D.A.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Programmers spend significantly more time trying to comprehend existing code than writing new code. They gain an understanding of the code by navigating the code base in an IDE, by reading documentation online, and by browsing code repositories on websites such as GitHub. To create rich experiences for programming languages across those various media is a large effort for developers of programming languages. This effort might be worthwhile for popular languages, but for new or experimental languages the required effort is often too large. Solutions to reduce this effort of implementing an IDE exist,such as LSP, but to reduce the effort in other places outside IDEs, we introduce the Codex metadata format, which separates language-specific generation of code metadata from its language-agnostic presentation. To demonstrate this approach by implementing four language-specific metadata generators (based on LSP, CTAGS, TextMate and Elaine) and two language-agnostic presentations (PDF documents and a code viewer websites) of code and metadata. To demonstrate different kinds of code metadata, we implemented four code exploration services: syntax colouring, code navigation, structure outline, and diagnostic messages. We show that with the Codex metadata format, we can decouple the metadata generators from the presentations.","editor services; Programming Languages; code exploration; language agnostic","en","master thesis","","","","","","https://github.com/jdonszelmann/codex","","","","","","Computer Science","",""
"uuid:415bc713-0506-494b-9a0d-f8015a6b6a09","http://resolver.tudelft.nl/uuid:415bc713-0506-494b-9a0d-f8015a6b6a09","Role of horizontal timber bands in the seismic response of masonry structures in the Himalayan region","Jain, Uday (TU Delft Civil Engineering & Geosciences)","Ravenshorst, G.J.P. (mentor); Mirra, M. (mentor); Messali, F. (mentor); Delft University of Technology (degree granting institution)","2023","Masonry structures occupy a significant share of the current building stock due to widespread material availability and cost-effectiveness. Regions with high seismicity, like the Himalayas, have typically developed local seismic culture over the centuries. This has led to improved construction techniques providing an enhanced seismic performance, as evident from post-earthquake surveys. Bhatar is a building typology found in the Himalayas, featuring embedded horizontal timber bands in masonry walls, enhancing the box-behaviour and in turn avoiding their premature out-of-plane failure.
This work aims to quantify the improvement of the out-of-plane performance of masonry walls due to the presence of horizontal timber bands. Numerical analyses were conducted in DIANA FEA software starting from the few experimental results available in literature on this typology. These were used to calibrate the properties of masonry, which was represented as a homogeneous isotropic continuum, with nonlinearities considered by means of a total strain rotating crack model.
Firstly, a U-shaped masonry wall having the same geometry and boundary conditions as the experimental tests was simulated using 3D modelling approach. Non-linear static analyses were performed exploring two different strategies, with minor variations in analysis parameters. Very good agreement was obtained with the results from literature for both strategies with one able to simulate local cracks better, while the other was able to simulate global failure mechanism better. The calibrated numerical model was then employed to conduct sensitivity analyses for precompression load and aspect ratio.
Further refinements to the calibrated model were done. The influence of the frictional behaviour between timber and masonry was explored through discretely modelled interface elements. The timber-to-timber connection was modelled as a hinge. The improvement in the behaviour of the wall due to timber bands connected throughout the frontal wall was also evaluated.
Finally, the calibrated numerical model was employed for the pushover analysis of a full-scale structure representing the geometry of a typical Bhatar house. The results from the numerical analysis were used for seismic assessment using Capacity Spectrum Method. The assessment demonstrated the capability of a Bhatar structure to resist ground acceleration specified for the highest earthquake category defined by Indian Standard Criteria for Earthquake Resistant Design of Structures. Contrarily, an unreinforced masonry structure did not possess the required ductility to resist such an earthquake.
Inclusion of timber bands at corners of a U-shaped masonry wall resulted in an increase of lateral resistance by 40%. Walls with timber bands connected throughout the front wall presented a further increase of 35% in the force capacity. The corresponding improvement in force capacity for a full-scale Bhatar house was even more remarkable at 109% compared to an identical unreinforced house. There was also a noticeable increase in the ductility.
This work constitutes a further step towards a better understanding of the behaviour of Himalayan masonry structures under earthquakes, promoting better seismic risk reduction strategies. This improved understanding into the role of timber in greater seismic resilience of masonry structures also informs better maintenance, conservation and preservation of heritage and historical masonry structures in the Himalayas.","Horizontal timber bands; Timber-reinforced masonry; Traditional earthquake-resistant structures; Bhatar; Seismic response of masonry; Numerical modelling; Out-of-plane response; Nonlinear Pushover Analysis; Total Strain Rotating Crack Model","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:ecde6030-a60e-4b08-9c41-ccb71df2dc95","http://resolver.tudelft.nl/uuid:ecde6030-a60e-4b08-9c41-ccb71df2dc95","Wargame Simulation: Exploiting the Power of a Flow-Based Design","Hovestad, Harmen (TU Delft Technology, Policy and Management)","Verbraeck, A. (mentor); Huang, Yilin (graduation committee); Quispel, O. (graduation committee); van de Berg, T.W. (graduation committee); Delft University of Technology (degree granting institution)","2023","Military decision-making involves art and science and aims to translate strategic goals into tactical actions for achieving specific outcomes. It relies on a commander’s capacity to navigate complex and sometimes ambiguous situations to develop detailed plans and actionable orders. At the military tactical level, decisions focus on executing tactical mission tasks that contribute to achieving operational and strategic objectives. Tactical decision-making within the Dutch Army involves a step-by-step rational decision-making process, mainly focused on analytical preparations for battle. An operational analysis or wargame of the developed plans is crucial in military decision-making. Such an analysis offers valuable insights into the most favorable courses of action compared with the presumed plans of other actors. The execution of a wargame empowers a commander to determine the optimal course of action.
Operational analysis, or wargaming, is a formalized military process that technology can support. Modern wargaming originated from the Prussian Army in the 1820s and has since spread to many other armed forces. Wargaming assesses the forces’ positions, strengths/weaknesses, opportunities, and environmental factors using doctrine, experience, and intuition. It is an iterative process highlighting critical tasks and tactical possibilities, often aided by modern information technology to increase efficiency.
Armed forces are using information technology to support decision-making, whereby Modeling and Simulation systems offer valuable tools for better situational understanding and assessing interventions’ effects. These simulations can provide the forces with crucial data to develop and assess possible courses of action. Military simulation spans various domains, from large-scale field exercises to abstract computational models. While simulations can accelerate the development of military knowledge and experience, it is essential to ensure that increased computing power aligns with a comprehensive understanding of warfare.
Within contemporary military simulation, there is a move from comprehensive monolithic systems to component-based simulation systems to facilitate innovation, flexibility, and cost-effectiveness. While reusability and composability are crucial for military simulation, they have been challenging. Reuse offers various technical, business, and economic benefits. Composability is vital for the system of systems that form contemporary military simulation environments, where linkage is challenging due to differing resolutions and levels of abstraction. High-resolution models help military staff to understand phenomena, while low-resolution models aid analytical understanding qualitatively. In these simulation environments, aggregate-level simulations represent military units with multiple entities, while entity-level simulations depict individuals. However, composing both types of simulation within military wargaming to support decision-making remains challenging. Based on this analysis of contemporary military simulation, the following main research question is formulated:
What are design principles for composable elements that can be used in a simulation system for military wargames?
Because military decision-making processes are not intentionally designed to be represented by simulation systems, the wargaming elements derived from relevant perspectives on military wargaming provide insight into which underlying principles may be usable when designing a wargaming simulation. The following principles have been deduced from military wargaming: a representative wargaming experience (principle of recognizability); a user interface that is designed for the users’ intended purpose for the system (principle of utilizability); traceable simulation results that create insightful knowledge (principle of traceability); and adaptable systems that can integrate changes and developments within military doctrine (principle of adaptability).
The challenges arising from Modeling & Simulation research provide rigorous knowledge for the design of military wargaming simulation systems. The following principles are derived from the selected scientific literature: consistency in the models and the attribute states in the wargame simulation system to maintain its validity (principle of consistency); a designer’s awareness and understanding of the implications of design choices for performance (principle of coherence); an ability to combine, recombine, configure, and reconfigure sets of components (principle of composability); and a possibility to represent interactions between different military units and echelons (principle of interoperability).
A system requirements structure links requirements to the above principles to assess the extent to which the identified design principles are usable, ultimately aligning with the defined objectives. Evaluation of the requirements reveals that a wargame simulation system must have a utilizable design that represents real-world wargaming, traceable results that create insightful knowledge, adaptable models to assimilate to evolving military doctrine, combinable, configurable, and interoperable components; it should have a recognizable user interface and consistent model behavior; while a such a simulation system could have a coherent design that incorporates the used Run-Time Infrastructure.
The wargame simulation system prototype within this research is designed to support a specific activity within a military decision-making process, the operational analysis, rather than replacing the entire process. While promising, it is still a prototype with limited functionalities. The prototype is tailored to evaluate design principles by a concise military scenario; however, it can be extended to encompass additional tactical tasks or units, showcasing the flexibility of the flow-based design for creating a Course of Action. While the prototype is intended for evaluating the identified design principles, its current utility for practical military operational analysis remains limited.
This research provides both military practitioners and Modeling & Simulation scientists the opportunity for further field experiments and theoretically explore the identified design principles for composable simulation elements that can be used in a flow-based wargame simulation system. Future scientific research should further improve the envisioned simulation concept into a usable wargame simulation system so military commanders and their staffs can exploit the power of simulation while conducting a wargame.","Military; Wargaming; Simulation; Decision-Making","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:6b3a4d29-45f6-4432-ac31-67ceae7dd75a","http://resolver.tudelft.nl/uuid:6b3a4d29-45f6-4432-ac31-67ceae7dd75a","Semantically-Guided 3D Building Facade Reconstruction: A Learning-Based MVS Approach","Panagiotidou, Ioanna (TU Delft Architecture and the Built Environment)","Ibrahimli, N. (mentor); Ledoux, H. (graduation committee); Wang, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis introduces a Learned-Based Multi-View Semantic Stereo method, addressing the limitations of traditional and learned-based Multi-View Stereo (MVS) techniques in reconstructing reflective and low-textured regions, particularly prevalent in 3D models of buildings. Traditional methods lack completeness, while learned-based methods struggle with accuracy. Focusing on enhancing 3D models of buildings, this research integrates semantic information into the existing deep learning architecture for depth prediction, specifically CasMVSNet, to guide the reconstruction process. Three key strategies are employed: first, the incorporation of semantic maps into the network through a multi-modal approach; second, the introduction of a multi-modal refinement module at the end of the CasMVSNet model to improve the initial output depth maps; and third, the introduction of two new loss terms designed to enforce varying degrees of smoothness on specific semantic categories. Experimental results, conducted on the DTU dataset, demonstrate a significant enhancement in accuracy at the point cloud level while maintaining the completeness of the reconstructed models. Validation and generalization on the ETH3D dataset show consistent patterns. This research showcases the potential of integrating semantic guidance in 3D reconstruction of buildings, advancing the field of computer vision.","Multi-View Stereo; Semantic Segmentation; Deep Learning; Cascaded MVS Network; U-Net","en","master thesis","","","","","","","","","","","","Geomatics","",""
"uuid:ca6dc0f1-f4b3-4f84-b1ef-2343a309a470","http://resolver.tudelft.nl/uuid:ca6dc0f1-f4b3-4f84-b1ef-2343a309a470","Experiment Design for Parameter Estimation of Individual Motion Sickness Dynamics","Mooi, Martijn (TU Delft Mechanical, Maritime and Materials Engineering)","Happee, R. (mentor); Irmak, T. (mentor); Shyrokau, B. (graduation committee); Kotian, V. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the near future, travelling in vehicles will no longer be in regular vehicles, but in automated vehicles. The share of automated vehicles is predicted to increase significantly within 20 years. Passengers in automated vehicles will engage in non-driving tasks, such as sleeping, reading, working or just otherwise spending time on their phone. This will result in motion sickness becoming more prevalent, as passengers will no longer pay attention to the road. Therefore, there is a need for research in motion sickness. To further our understanding of motion sickness and possible mitigation strategies, mathematical models of motion sickness need to be developed. The temporal dynamics of motion sickness can be captured in the so called 'Oman model' \cite{Oman90}. However, most literature use group averaged parameters and motion sickness incidence to describe motion sickness. These methods do not capture well enough how individuals respond to sickening stimuli, as recent studies showed that individuals have strongly varying responses to various frequencies. Only, estimating individual motion sickness parameters is costly thus far, requiring multiple experiments to estimate the parameters. This study explains an optimal experiment design, where the input is varied in real-time closed loop manner such that the information content in the input is maximized for estimation of parameters, rusulting in the fact that individual motion sickness parameters could be estimated in a single experiment. Results show that on average, within the first 63 minutes, most parameter estimations have converged. The resulting RMSE is 1.06 on the MISC scale, comparing to other literature. This shows that the frequency and temporal dynamics of motion sickness and an individual level can be estimated at a drastically faster rate than previous methods. To our knowledge this is the first use of optimal experiment design techniques to asses the dynamics of human responses to stimuli in general, which is an important milestone for cybernetics research.","Motion Sickness Modelling; Parameter Estimation; Optimal Experiment Design","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering","",""
"uuid:73599cb6-7cb3-4b41-8334-dfe651cc3ccc","http://resolver.tudelft.nl/uuid:73599cb6-7cb3-4b41-8334-dfe651cc3ccc","Stimulating Corporate Climate Action: A Case Study of the Steel Industry Using Agent-Based Modelling","van der Wijk, Maurits (TU Delft Technology, Policy and Management)","Ghorbani, Amineh (mentor); Blok, K. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","Corporations’ economic activities put significant stress on the environment. In order to limit global warming to 1.5°C, companies need to significantly reduce their greenhouse gas emissions. However, shifting the responsibility of decarbonisation from being solely on governments to being shared with these companies is only a recent phenomenon. This study therefore explores how more companies can be stimulated to take climate action. To research this, the steel industry is taken as a case study. This particular industry is responsible for a large share of global emissions and includes many non-European firms which have been shown to trail behind their European counterparts considering climate action. The number of companies committing to the SBTi is defined as a quantifiable metric to track the development of climate action in the sector. Initial findings suggest that companies respond vigorously to financial stimulants like carbon pricing. Moreover, it is found that different stakeholder groups can stimulate the decarbonisation of heavy industry in various ways. Firms require certain factors to be at adequate levels before they commit themselves to deep decarbonisation. As such, governmental agencies can play an important role by ensuring that limiting factors like low-carbon electricity are abundant. Financial institutions can furthermore use their position to limit the financial risk for companies willing to decarbonise, while other stakeholder groups can focus on creating awareness and urgency. Altogether, the study results in a novel attempt to develop a useful agent-based model of a business ecosystem in light of the net zero energy transition. Apart from providing insights to stakeholders on how to effectively stimulate climate action, it functions as a foundation for future academic work on this topic.","Agent-based modelling; ABM; Steel industry; Scenario analysis; Industrial Ecology","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:69fcc612-5706-4363-95f2-592d6da94fe6","http://resolver.tudelft.nl/uuid:69fcc612-5706-4363-95f2-592d6da94fe6","Improving parametric load release with the Non-Condensing Gasses sensor: In steam sterilization for medical equipment","Coster, Jessica (TU Delft Mechanical, Maritime and Materials Engineering)","Dankelman, J. (mentor); Robertson, P.D. (mentor); van Doornmalen, J.P.C.M. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Biomedical Engineering | Medical Instruments","",""
"uuid:e1ca0722-3652-4292-ab9d-3c49ba7101d4","http://resolver.tudelft.nl/uuid:e1ca0722-3652-4292-ab9d-3c49ba7101d4","Investigation of the usage of SHCC as a closure pour to reduce the construction time of widening a prestressed concrete bridge","Dieterich Murr, Laura (TU Delft Civil Engineering & Geosciences)","Hendriks, M.A.N. (mentor); Yang, Y. (graduation committee); Šavija, B. (graduation committee); de Hertog, Matthijs (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands is currently facing a significant challenge regarding its highway system due to the rise in traffic, especially in densely populated areas like The Randstad. However, constructing new infrastructure or replacing old bridges is not a practical solution due to environmental concerns. Most of the country's prestressed concrete bridges were built in the 1960s and 1970s with a lifespan of 100 years, and they are deemed incapable of handling the current traffic volume. As the existing bridges are still in good condition, recent projects have focused on widening them. Widening a bridge involves careful consideration of the behavior of all the elements in relation to each other, given the existing deck's relative stability and the inevitable shrinkage and creep of new components. To ensure a monolithic connection between the new and existing sections of the bridge, current projects aim to widen the bridges using a closure pour between the main slabs.
The Schipholbrug, situated close to the Schiphol Airport, is a prime example of a prestressed bridge that needs to be widened, and it is the focus of this thesis. In the Netherlands, reinforced concrete is the preferred material for a closure pour due to its durability, cost-effectiveness, and established properties. However, to maintain the integration between new and old concrete, a 6-9 month delay after constructing the new bridge is necessary to build this closure pour. To minimize significant delays, it is crucial to maintain a strong connection between the original and new materials, including the closure pour. The main challenge is managing the differences in creep and shrinkage between the existing structures, fresh deck, and closure pour. These inconsistencies can cause significant tensile stresses in the closure pour, especially when delays are kept to a minimum. Therefore, identifying a cementitious material that could effortlessly create reliable bonds with the primary decks' prestressed concrete and possess a high tensile strain range property was necessary to reduce this delay.
Strain-Hardening Cementitious Composite, also known as SHCC, is a modern material that possesses an impressive tensile strain range and a comparatively lower elastic modulus. Nevertheless, what sets it apart is its strain-hardening quality, which improves its toughness even after experiencing cracks. This exceptional characteristic of SHCC allows it to offer an extended tensile strain range, making it a choice for a closure pour.
The thorough literature review investigated crucial subjects, such as the intricacies of closure pour when expanding current bridges. Moreover, it covered the fundamental attributes of concrete that are pertinent to this thesis, such as shrinkage and creep, as well as its post-crack behavior. Another segment focused on the primary material employed in this thesis, SHCC, emphasizing its fundamental characteristics, including shrinkage and crack. Lastly, the research included a section on imposed deformation that was custom-made to the specific case of this thesis.
The methodology chapter utilized analytical calculations to gain a better understanding of the deformation issues caused by shrinkage and creep and their effect on the closure pour. These calculations explored composite structure mechanics and imposed deformation to determine the longitudinal stresses present in the mid-span of the decks. To further verify the accuracy of the findings, a linear model was also developed using DIANA FEA...","Concrete Bridge; SHCC; Shrinkage; Creep; Cracking; Imposed Deformation","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:2fb3cdd6-5d4b-4b5a-849b-d8547c19c950","http://resolver.tudelft.nl/uuid:2fb3cdd6-5d4b-4b5a-849b-d8547c19c950","An Area- and Energy-Efficient Ultrasonic Pulser Based on Self-timed Stepwise Charging","LEI, Yuan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Serdijn, W.A. (mentor); Delft University of Technology (degree granting institution)","2023","Acoustoelectric imaging is an emerging technology confirmed by in-vivo experiments that can help diagnose and evaluate peripheral nerve neuropathy. The ultrasound transmitter (TX) is required in such systems to selectively focus and apply acoustic pressure on the target volume. Within the ultrasound TX, the power amplifier (PA, commonly called pulser) can dominate up to 99\% of total TX power consumption. In this case, the pulser must be power-efficient and integrated with modern complementary metal-oxide semiconductor (CMOS) compatible transducers to enable miniaturized AE imaging systems. However, the small form factor is the natural limit for high-energy-efficiency pulser design.
The class-D switched-mode PA is the most common approach to drive ultrasonic transducers in recent research publications and commercialized products (e.g., TUSS
4470 by Texas Instruments$^\text{TM}$, STHVUP32 by STMicroelectronics$^\text{TM}$). Although the class-D PA manifests inherently simple and area-efficient features, it suffers from power loss on switching the plate parasitic capacitor $C_p$ of the transducer. Prior arts use excessive off-chip capacitors, inductors, and high-frequency switch-clocking signals generated by frequency synthesizers to switch the PA in different configurations to save power on $C_p$. However, these approaches increase the system form factor and introduce high-speed clock routing. Additionally, efforts to flip and short both terminals of the transducer for increased PA efficiency are not practical for CMOS-compatible transducer arrays. The trade-offs involved in optimizing switched-mode pulser efficiency extend beyond a simple consideration of $C_p$.
This work proposes a new baseline power-efficiency analysis that comprehensively explains the switched-mode PA efficiency considering transducer characteristics. A switched-mode pulser based on the stepwise-charging technique, controlled by a stepwise sequencer based on a symmetrically-modulated delay cell, is implemented in 130 nm technology. The proposed architecture achieves an overall acoustic efficiency of 82.5\% in simulation while maintaining an average efficiency of 81\% in global PVT and mismatch corners. The PA achieves a 9.9\% acoustic-efficiency improvement compared to its baseline and significantly outperforms the work with a similar baseline by 6.9\%. The achieved efficiency is also comparable to the work featuring a 9.1\% higher baseline, while this work demonstrates a 20x saving in capacitance budget. The PA signal chain is estimated to have an area of 108 $\mu$m x 520 $\mu$m, which can be fit into a 115$\mu$m-pitch 1-D transducer channel without extra reference resources, offering promising prospects for compact multi-channel integrations.","Acoustoelectric imaging; Ultrasound transmitter; Power amplifier; Stepwise charging; Self-timed circuit","en","master thesis","","","","","","","","2025-10-31","","","","Electrical Engineering | Microelectronics","",""
"uuid:45c39870-9a24-493d-8f8a-804be85a7022","http://resolver.tudelft.nl/uuid:45c39870-9a24-493d-8f8a-804be85a7022","Hybrid Dual Mimetic Spectral Element Method with a Novel Dual Grid","ZHOU, ZEYUAN (TU Delft Aerospace Engineering)","Gerritsma, M.I. (mentor); Delft University of Technology (degree granting institution)","2023","The mimetic spectral element method (MSEM) is a structure-preserving discretization scheme based on the Galerkin Method, which strongly constrains the topology relations by discretizing and reconstructing variables in specific function spaces in order to preserve certain critical structures of the PDE in the numerical solution. In studying the 2D incompressible Navier-Stokes equations, the conservation law of mass, energy, vorticity, and enstrophy (or helicity for 3D cases) are expected to be preserved. According to the de Rham complex, the mimetic spectral element method uses differential forms rather than vector or scalar fields to present physical variables and discretize differential forms on specified function spaces. It has two significant advantages. Firstly, the topological relations between discretized variables depend only on the grid's topology structure, which means no numerical errors are introduced into the discretized conservation equations. Secondly, the variables are reconstructed with spectral functions, which can be of arbitrary high order.
Based on the MSEM, a more efficient hybrid dual mimetic spectral element method (hdMSEM) was proposed. In the hybrid mimetic spectral element method (hMSEM), a set of trace function spaces and trace variables are introduced at the interface between subdomains, applying a Lagrange multiplier to strongly couple the variables of bordered subdomains so that domain decomposition is feasible, and the solver can run in parallel efficiently. In addition, designing a proper set of dual grid and dual function spaces for trace variables can further increase the sparsity of the matrix, thus saving computational resources. However, a singularity problem arises in the structure-preserving simulation of incompressible flows with the primal hdMSEM when Lagrange multipliers are applied to couple variables of vorticity at the edge where more than two subdomains meet.
This thesis proposes the hybrid dual mimetic spectral element method with a novel dual grid, which can avoid the singularity and simultaneously keep the matrix of the discrete system symmetrical and the mathematical definition of the matrix equations rigorous. The basic idea is to introduce a dummy degree of freedom at the edge where singularity arises and design a curvilinear dual grid for trace variables to couple the degree of freedom of vorticity and the dummy degree of freedom to eliminate singularity. Besides, this thesis studies the implementations of several kinds of boundary conditions with the novel dual grid and the corresponding grid topology near boundaries. Then, we extend the hdMSEM with the novel dual grid to solve steady and unsteady 2D incompressible Navier-Stokes equations. In numerical experiments, the accuracy and structure-preserving capability are verified numerically with several benchmark cases.","mimetic spectral element methods; incompressible Navier-Stokes; CFD computations","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:ea6ecfda-e881-4bb4-a5c8-3860e7db8028","http://resolver.tudelft.nl/uuid:ea6ecfda-e881-4bb4-a5c8-3860e7db8028","Development and validation of a Machine Learning-Based Pharmacodynamic Model Of Rocuronium induced neuromuscular block during general anesthesia: Using the Leiden Data Logger","Bolhuis, Luuk (TU Delft Mechanical, Maritime and Materials Engineering)","Boon, M. (mentor); Dauwels, J.H.G. (graduation committee); Abdel Malek, M (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution)","2023","Neuromuscular blocking agents (NMBAs) are commonly employed in anesthesia to facilitate intubation and improve surgical working conditions. Understanding their pharmacokinetics (PK) and pharmacodynamics (PD) is crucial for optimizing their administration. PK describes drug absorption, distribution, metabolism, and elimination, while PD links drug concentration to its pharmacological effects. Integrating PK and PD information through PKPD modeling offers insights into the relationship between drug concentration and effect. Recent advancements in machine learning (ML) have shown promise in modeling the PD of anesthetics, offering potential benefits over traditional PKPD models. This study aimed to develop an automated data logger for recording neuromuscular transmission (NMT) measurements and rocuronium infusion data during surgery. A secondary goal was to predict TOF ratios using both traditional PKPD models and newer ML techniques.
The experimental setup involved development of data logger software. Data preprocessing consolidated data, removed outliers, and applied interpolation for missing values. Machine learning models, including linear regression, decision trees, and extreme gradient boosting, were trained and evaluated using double Leave-One-Group-Out cross-validation. Additionally, a traditional PKPD model estimated pharmacokinetic parameters based on patient characteristics and rocuronium administration data. Model performance was assessed using metrics such as Root Mean Squared Error (RMSE), Normalized RMSE (NRMSE), R-squared (R²), and the Pearson correlation coefficient.
From March 23 to June 20, 2023, a prospective observational study at Leiden University Medical Center (LUMC) included 42 patients in the operating room. Data were collected from three distinct monitors using data logger software. The collected data was divided into TOF-Cuff and GE NMT monitor subsets, excluding continuous rocuronium infusion records.
In terms of model performance, machine learning models displayed suboptimal results when applied to GE NMT monitor data, indicated by high RMSE and low R² values. In contrast, basic and optimized PKPD models exhibited better predictive capabilities. Similar trends were observed in the performance evaluation of TOF-Cuff data, with machine learning models less effective compared to PKPD models.
An in-depth analysis of NRMSE revealed outliers, mainly in the optimized PKPD model. Cumulative distribution plots highlighted performance variations across subjects, particularly in the TOF-Cuff results.
The dataset comprised 42 subjects undergoing surgical procedures, but the effective sample size for analysis was limited due to deep neuromuscular blockade, sensor placement issues, and data loss. Traditional pharmacokinetic-pharmacodynamic (PKPD) models, based on data from 423 patients, outperformed machine learning models in predicting Train of Four (TOF) ratios, as the latter faced overfitting challenges with a smaller dataset. Future directions suggest collecting more extensive data (ideally closer to 100 subjects) to improve machine learning model performance and possibly include features like time until full neuromuscular blockade recovery. Additionally, identifying the most critical features behind machine learning predictions can help streamline computational methods. Overall, refining the software, increasing data, and feature analysis can enhance machine learning-based neuromuscular blockade prediction.
In conclusion, this study introduced a novel data logger software for recording neuromuscular blockade data during surgery at LUMC. While machine learning approaches fell short in approximating TOF ratios, future research with expanded datasets and more comprehensive feature analysis holds promise for the development of more robust machine learning models.","anesthesia monitoring; Machine Learning; data acquisition; Data logger; Anesthesia","en","master thesis","","","","","","","","","","","","Technical Medicine | Sensing and Stimulation","",""
"uuid:6efed77f-6f85-4f56-8791-0433a6f2722b","http://resolver.tudelft.nl/uuid:6efed77f-6f85-4f56-8791-0433a6f2722b","Evaluating the Efficacy of Friction Modulation for Guidance for Blind Individuals","Overbeek, Lucas (TU Delft Mechanical, Maritime and Materials Engineering)","Vardar, Y. (mentor); Wiertlewski, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The emergence of tactile technologies has paved the way for addressing various challenges. Tactile sensing is especially vital for the blind and visually impaired. This study investigates how tactile feedback can enhance mobility and independence among individuals in this community. In today’s touchscreen-dominated world, accessibility remains a critical concern for those who are visually impaired, as touchscreens lack the tactile guidance necessary for effective touchscreen use. In addition, it is investigated if the guidance is useful for orientation. Our innovative approach employs a directional friction modulation rendering method, aiding users in finger movement and orientation. The efficacy of the tactile directional cue will be assessed for a tracking task and an orientation task. The tactile cue’s shape is determined by the parameter σ, which we optimize in our research. Additionally, in the orientation experiment, we explore the impact of different Field of Feeling ranges, representing the maximum perceivable angles on the actuated glass plate. Our methodology involves blindfolded participants in experiments assessing their ability to interpret and respond to tactile cues generated by an ultrasonic friction modulation device. We use quantitative measures, including response time and directional accuracy, and qualitative feedback from questionnaires to capture participants’ experiences with the tactile feedback system. Our findings reveal fascinating insights into the influence of σ and the Field of Feeling. On average the paths were tracked with an error of 9.84 mm. Smaller σ values correlate with improved tracking performance, as evidenced by the lower root-mean-square error between the finger and the reference path. This relationship is described using a logistic function. The directional friction modulation rendering method was shown to be viable for finding the reference angle. On average, this was achieved in 10.79 seconds with a manageable error of 6.28 ◦ . Specific differences between the tested values for σ were not found. In contrast, the Field of Feeling’s influence on the results appears more pronounced. A broader Field of Feeling leads to quicker decision times when at the reference angle. These outcomes shed light on the feasibility and effectiveness of ultrasonic friction modulation as a tactile feedback mechanism for enhancing the independence of blind individuals. Furthermore, the successful integration of this technology holds the potential to revolutionize electronic surface haptic devices for a wide range of users.","tactile directional cue; Surface haptics; friction modulation; ultrasonic vibration; blind guidance","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:dc2aabaa-8def-412f-9d0a-d97c6fb160b5","http://resolver.tudelft.nl/uuid:dc2aabaa-8def-412f-9d0a-d97c6fb160b5","Unit Cell with Sign-switching Poisson's Ratio for Adaptive Mechanical Metamaterials","Lagemann, Luca (TU Delft Mechanical, Maritime and Materials Engineering)","Farhadi Machekposhti, D. (mentor); ten Wolde, M.A. (mentor); Herder, J.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Mechanical metamaterials are architected materials with unique properties derived from their internal structure, rather than the material they consist of. Introducing distinct stable states into the material architecture allows the creation of mechanical metamaterials with multiple effective properties that can be altered post-fabrication. So far these so-called reprogrammable mechanical metamaterials have only demonstrated responsive behaviour, where the state of the metamaterial is dictated by the external input, leading to complex actuation and limited functionality. This research introduces a transformative approach to overcome these limitations through state-dependent switching, enabling metamaterials to autonomously determine their state based on internal information. Leveraging internal instabilities in the form of bistable and slender buckling elements, a contactless and tessellatable 3D unit cell design that can switch between positive and negative Poisson's ratios upon a specified displacement threshold is introduced. State transition occurs based on internal state information, rather than the external input, enabling adaptive behaviour. Both, Finite Element Analysis (FEA) simulations and experimental validation demonstrate the ability of the unit cell to switch between positive and negative Poisson's ratio under the same repeated input. Preliminary FEA simulations further suggest that through the tessellation of this innovative unit cell, the adaptive behaviour can be exploited to create mechanical metamaterials capable of adaptive shape morphing and repeatable counting abilities.","Adaptive; Mechanical Metamaterials; Poisson’s Ratio; State-dependent Switching; Unit Cell","en","master thesis","","","","","","","","2024-10-27","","","","Mechanical Engineering","",""
"uuid:4dcd967c-80c7-4a8f-94bf-4673a4463184","http://resolver.tudelft.nl/uuid:4dcd967c-80c7-4a8f-94bf-4673a4463184","A Capacitive Hair-like MEMS Airflow Sensor for Flapping Wing Micro Air Vehicles","Manjunath, Laveena (TU Delft Electrical Engineering, Mathematics and Computer Science)","Wang, S. (mentor); van Zeijl, H.W. (graduation committee); Sarro, Pasqualina M (graduation committee); Delft University of Technology (degree granting institution)","2023","Airspeed information plays a crucial role in the takeoff, flight, and landing processes in animal flyers and aerial vehicles. Among the aerial vehicles, Flapping Wing Micro Air Vehicles (FWMAVs) represent the novel engineering approach of learning and mimicking animal flyers in the past two decades. A few prototypes of various sizes and functionalities of FWMAVs have been developed by MAVLab in the TU Delft Aerospace department since 2005. For these small and lightweight platforms, stable control under disturbances remains a challenge, and could further benefit from integrating effective airflow sensing into control system design.
Current commercial products are either not suitable due to size, weight, and power (SWaP) restrictions of the drone platform, or have very limited low-speed sensitivity. Therefore, to facilitate this, this thesis aims to develop a MEMS-based capacitive airflow sensor for a miniaturized and low-power implementation. Inspired by the filiform hair structure of arthropods, the sensor design comprises two key parts: the hair structure, whose displacement is governed by the drag force induced by the incident airflow, and the sensing base, located at the hair structure's base, which translates the structural displacement into a change in capacitance. The sensor exhibits the capability to sense airflow in one dimension and can be further adapted for omnidirectional sensing.
This thesis predominately focuses on the design and reliable fabrication of the sensing base. It is comprised of a suspended membrane supported by corner beams, supplemented by a pivoting dimple and anti-stitching dimples to facilitate robust hair movement and ensure optimal sensor functionality. The sensing base is fabricated at the TU Delft EKL cleanroom facility, equipped with sophisticated machinery that enables fabrication at micro and nano scales. Furthermore, the membrane suspension is achieved through the implementation of Vapor HF etching of a sacrificial layer beneath the membrane.
In conclusion, the devised sensors aspire to optimize flight control for FWMAVs within the constraints of SWaP, by drawing profound inspiration from the intricate workings of nature.","MEMS devices; Airflow sensing; FWMAV; Capacitive sensor; Bio-inspiration; cleanroom","en","master thesis","","","","","","","","2025-10-27","","","","Electrical Engineering | Microelectronics","",""
"uuid:405940b2-ec6f-4c05-9201-6f5124becacf","http://resolver.tudelft.nl/uuid:405940b2-ec6f-4c05-9201-6f5124becacf","Vestibular contribution to balance control during a sit-to-walk task","Guðmundsdóttir, Katla (TU Delft Mechanical, Maritime and Materials Engineering)","van der Kruk, E. (mentor); Forbes, P.A. (mentor); Delft University of Technology (degree granting institution)","2023","Predictive simulation is a powerful tool that can be used to examine the impacts of aging on complex movement behaviors. These models rely on neuromuscular controllers that modulate sensory feedback, including vestibular feedback, in order to transition between different movement phases. Current models, however, define the phase transitions based on the kinematics of movement without consideration for the underlying neurophysiological feedback mechanisms driving actual behavior. Here, we studied sit-to-walk movements, a challenging task commonly faced by aging populations, and examined how vestibular feedback is modulated for the control of balance. We estimated the coupling between an electrical vestibular stimulus and ground reaction forces in healthy participants (N = 16) while they performed a sit-to-walk task. Because sit-to-walk transitions are thought to be comprised of simultaneous transitions of standing up and walking, we also compared the sit-to-walk (STW) task to sit-to-stand (STS) (N= 8) and gait-initiation (GI) tasks (N = 8). Four main phases of vestibular control were identified for STW: quiet sitting, flexion, transition, and gait. Similarly, four main phases were identified for STS, though they differed after the first two: quiet sitting, flexion, rising/stabilizing, and quiet standing. In contrast, five main phases were identified for GI: quiet standing, adjustment I, adjustment II, transition, and gait. Importantly, the timings of the identified phases differed from the timings of the events used to define kinematic phases, and the magnitude of the vestibular responses was modulated gradually between phases. We also found that the vestibular modulation observed in STW could be explained as a sharp shift from an STS task just after flexion, around seat-off, into a GI task starting at transition. These results demonstrate that defining the timing of neuromuscular controllers in predictive simulation based on neurophysiological events may be better suited to improving their accuracy.","","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:f41c1387-de0b-443d-b72c-6d414c74a25d","http://resolver.tudelft.nl/uuid:f41c1387-de0b-443d-b72c-6d414c74a25d","Optimising reinforcements in flood defence systems with multiple lines of defence","Jongen, Leonie (TU Delft Civil Engineering & Geosciences)","Kok, M. (mentor); Labeur, R.J. (mentor); Klerk, W.J. (mentor); Delft University of Technology (degree granting institution)","2023","Under growing pressures of climate change, it is vital for our society to implement cost-effective reinforcements in a flood defence system. This thesis proposes a method for optimising reinforcements in a flood defence system with multiple lines of defence under sea level rise.","Flood risk; Sea level rise; Eastern Scheldt storm surge barrier","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:336f4283-f643-4bd3-9520-a48cbfc152dc","http://resolver.tudelft.nl/uuid:336f4283-f643-4bd3-9520-a48cbfc152dc","Optimized Scheduling and Sizing of an Energy Storage System into a UFCS","Meyboom, Jasper (TU Delft Electrical Engineering, Mathematics and Computer Science)","Qin, Z. (mentor); Ahmad, A. (mentor); Delft University of Technology (degree granting institution)","2023","In the evolving energy landscape marked by a surge in electric vehicle (EV) adoption, efficient and rapid charging infrastructure becomes imperative. Ultra-Fast Charging Stations (UFCS) employing Direct Current (DC) have arisen as a pivotal solution to this challenge, primarily due to their ability to deliver high power and reduce charging times substantially compared to traditional Alternating Current (AC) charging methods. Moreover, the DC bus system allows for greater compatibility with renewable energy sources and storage systems, presenting a seamless interface that bypasses the need for recurrent AC-DC conversions, thus enhancing efficiency and flexibility.
Against this backdrop, this research investigates the techno-economic feasibility of integrating Battery Energy Storage Systems (BESS) with a DC UFCS, factoring in current technological constraints and prevailing market conditions. The study was inspired by the pressing need to alleviate grid congestion, notably in areas like the Netherlands, and the prospective role of BESS in achieving significant cost and energy savings. An analytical energy model was employed alongside a physical battery model to rigorously evaluate the performance outcomes of the proposed integration. Leveraging a dual simplex algorithm, the research capitalizes on the price oscillations in the day-ahead market, optimizing the BESS's charging during low-price windows and discharging when prices escalate, enabling a substantial energy cost reduction, with savings up to 49\% in certain scenarios.
Next to energy cost reduction a grid searching optimization is employed to work in a peak shaving function to alleviate peak demand on the grid while subsequently reducing network operator costs by up to 79\%.
This reduction in operational costs will be compared against the large capital investment cost associated with such \gls{BESS} to examine whether the reduction in operational costs is more significant than the upfront costs they come with
Additionally, the research examines the intricacies of battery aging vis-à-vis its operational patterns. The findings reveal that batteries engaged extensively in cost-saving operations tend to have a reduced lifespan due to increased cycling and higher average currents. However, larger battery configurations, which maintain lower average currents relative to their peak capacities, demonstrated more extended life cycles, thereby suggesting long-term economic viability. It was found that the lifetime of all examined configurations was significantly larger then the payback time of the systems.
In summary, when optimally sized and orchestrated, BESS can offer significant lifetime cost advantages for UFCS, with potential savings reaching upwards of 56\% for new DC UFCS installations and 46\% for existing systems. The meager performance variance (0.6\%) between the analytical model and the actual battery model further accentuates the practical viability of BESS-integrated UFCS. This research highlights the profound economic and technical benefits of merging battery systems with UFCS, advocating for their adoption as a forward-looking solution in the realm of electric mobility.","","en","master thesis","","","","","","","","2023-11-28","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:beca0ab2-7fba-4172-9b17-7eb937e9238d","http://resolver.tudelft.nl/uuid:beca0ab2-7fba-4172-9b17-7eb937e9238d","Determining the topology of hydrogen flame using computed tomography of chemiluminescence","Jha, Mayanka (TU Delft Mechanical, Maritime and Materials Engineering)","Elsinga, G.E. (mentor); Tummers, M.J. (mentor); Delft University of Technology (degree granting institution)","2023","With ongoing research towards clean combustion, hydrogen has been identified as a potential alternative to natural gas fuel, for example in power generation sectors utilizing gas turbines because of their inherent nature of being a carbon-free energy carrier. However, it is crucial to clarify that the ultimate goal is not just carbon-free combustion but clean combustion, which entails addressing other post-combustion emissions, such as NOx (nitric oxide) emissions. To meet stringent NOx emissions regulations, gas turbine fuels are combusted under premixed conditions. But in these premixed conditions, it has been observed that flames produced as a result of combustion have a tendency to flashback, which means that the flame travels back into the premixing chamber causing severe structural damages. This is particularly concerning when using hydrogen, which due to its high reactivity and flame speed is more prone to flashback than natural gas. To understand this high propensity of hydrogen to flashback, there is a strong requirement to examine the topology or structure of flame which can be obtained using optical combustion diagnostics technique.
Considering a flame has a three-dimensional structure, in this thesis, an optical combustion diagnostics technique of Computed Tomography of chemiluminescence (CTC) was applied to Bunsen burner flames using six CCD cameras. The cameras were arranged around the flame and a tomographic algorithm was used to reconstruct the three-dimensional structure of the flame. The technique was applied to turbulent 100% by volume fraction Dutch Natural Gas (DNG) flames at various Reynolds numbers representing stable, close to flashback, and flashback case. The reconstructed DNG flame results highlighted the capability of the CTC technique to offer valuable insights into the intricate features of the flame. Furthermore, these results not only indicated the possible location of the origin of the flashback within the structure of flame but also revealed specific features associated with events prior to the flashback. recognizing the potential of this technique, it was subsequently applied to a turbulent flame consisting of 50% hydrogen blended with 50% DNG. The reconstruction results offered insights into the fundamental structural differences between a flame consisting of 50% hydrogen and 50% DNG and a pure 100% DNG flame. The conclusions drawn from the visual assessments of the reconstruction results were further supported by the subsequent statistical analysis and the resulting cone angle values.","Bunsen flame; Boundary layer flashback; Combustion diagnostics; Computed tomography","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:7b563c39-c699-4a9e-b978-785077dc0053","http://resolver.tudelft.nl/uuid:7b563c39-c699-4a9e-b978-785077dc0053","Significant Impact of Environment Stiffness and Joint Proximity on Sensory Integration of Position and Force Feedback","Engelen, Anouk (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Biomechatronics & Human-Machine Control)","Mugge, W. (mentor); Pool, D.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","During human motor control, sensory information is integrated, and the influence of each cue depends on its variance. Sensory weighting within the proprioceptive system, specifically between force and position feedback, including its stiffness dependency, has been demonstrated for the shoulder and digits. Both studies encompass upper limb joints, but the impact of joint proximity on sensory integration remains unknown. In this study, we analyzed isolated vertical reaching movements of the wrist (palmar flexion), elbow (flexion), and shoulder (retroflexion) using a haptic robotic manipulator. We varied the stiffness constant of a virtual spring (15 N/rad, 50 N/rad, 80 N/rad) to assess the influence of environment stiffness on participants' ability to blindly reproduce a target force. A nonlinear spring was introduced to reveal the weighting strategy between force and position feedback. Differences in force and position when participants unknowingly operated in the nonlinear environment, compared to the linear environment, allowed us to calculate the weights of both feedback cues. Repeating the experiment for the three joints allowed us to assess the influence of joint location on the weighting strategy between force and position feedback. Ten participants performed a total of 720 reaches, covering three different stiffness conditions, three distinct joints, and ten separate runs, each consisting of eight reaches. We hypothesized that both environment stiffness and joint location have a significant impact on the weighting strategy. We expanded on existing findings that show a tendency toward up-weighting force feedback in the integration process as environment stiffness increases. Additionally, we examined whether force or position feedback is favored when the joint is more proximal. The hypothesis is supported by experimental evidence, as both stiffness and joint type revealed statistically significant effects on the weighting strategy (p $<$ 0.001, p = 0.020, respectively). As environment stiffness increased, a shift from higher position weighting factors to higher force weighting factors was observed for all three joints. When comparing our findings to the Maximum Likelihood Estimation model predictions, we observed that the more proximal joints exhibited higher force weighting factors. Additionally, normalizing the experimental environment stiffness conditions over the maximal voluntary force of each joint times the moment arm and over the joint stiffness showed a similar trend. More distal joints, on the other hand, favored higher position weighting factors.","Sensory Integration; Sensory Weighting; Human Motor Control; Proprioception; Haptic Robotics; Human Joints","en","master thesis","","","","","","","","","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:f3d3312b-cb50-4dcc-ad80-77a3f6db65e8","http://resolver.tudelft.nl/uuid:f3d3312b-cb50-4dcc-ad80-77a3f6db65e8","Jacking system control strategies during the seabed penetration phase of a wind turbine installation vessel","Scheeper, Tom (TU Delft Mechanical, Maritime and Materials Engineering)","Tsouvalas, A. (graduation committee); Meijers, P.C. (mentor); van Lammeren, Jasper (mentor); Mulders, S.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Wind turbine installation vessels (WTIVs) are ships that are specifically designed to install offshore wind turbines. These WTIVs have four or six large truss-like legs that are lowered towards the seabed by means of jacking systems. These jacking systems are regulated by control systems to ensure the leg is lowered with a constant velocity regardless of external disturbances. The bottom of these legs are outfitted with spudcans, which have a conical shape to allow for penetration into the seabed. When these spudcans have settled in the seabed, the hull is lifted above the waves. Consequently, wave-exciting forces on the hull are prevented and the motions of the hull are near-zero so that the on-board crane can perform the installation operations with minimised disturbances.
The objective of this thesis is to develop and analyse a model that is able to describe and simulate the dynamics of these jacking systems in great detail in response to external loads and the dynamics of the WTIV. The control systems of the jacking systems are included in this model to simulate and evaluate the interaction between the control system and the dynamics of the WTIV. Two conventional control systems are considered: the Volts-per-Hertz (V/Hz) and the direct torque control (DTC) method. In the process of lowering the legs and subsequent platform lifting, a transient phase can be identified during which the spudcans are penetrating the seabed. Due to the periodical motions of the ships, multiple impacts with the seabed are expected. Additionally, the jacking systems and the leg undergo a change of load direction as initially the leg is in tension and the jacking systems are generating power, and afterwards the leg is in compression and the jacking systems are consuming power. This thesis is focused on this seabed penetration phase as this phase introduces complicated dynamics. In literature, no model is available that has the abilities to simulate the WTIVs and its jacking systems with control systems in such level of detail.
This research gap is addressed by developing such a simulation model. This model is written in Python and developed using finite element (FE) techniques and solved using numerical time integration. Seabed characteristics are derived using a detailed coupled Eulerian-Lagrangian (CEL) FE models. Multiple control strategies are simulated and evaluated, each differentiating how the velocity and torque setpoints of the jacking systems are calculated. From the simulation model, it is found that in order to achieve load sharing between jacking systems, torque and velocity require to be independently controlled which only the DTC method has the ability to. Furthermore, each of the jacking systems should be provided with its own power supply. Best performance and stability was achieved when each chord of the leg is given a common torque and velocity setpoint, which is equivalent to a common torque and setpoint per leg in reality. Moreover, load sharing can be improved without a control system by increasing the relative stiffness ratio between the chord and the mechanical contact between rack and pinion.","wind turbine installation vessel; jacking system; control system; structural dynamics; hydrodynamics; induction machine","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:989e5115-f0dd-41db-84da-036a23cd16ff","http://resolver.tudelft.nl/uuid:989e5115-f0dd-41db-84da-036a23cd16ff","Counterfactual Explanations of Learned Reward Functions","Wehner, Jan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cavalcante Siebert, L. (mentor); Oliehoek, F.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Learning rewards from humans is a promising approach to aligning AI with human values. However, methods are not able to consistently extract the correct reward functions from demonstrations or feedback. To allow humans to understand the limitations and misalignments of a learned reward function we adopt the technique of counterfactual explanations from the field of eXplainable AI (XAI).
Concretely, we propose Counterfactual Trajectory Explanations (CTEs) as an approach to contrast an original with a counterfactual partial trajectory and the rewards they receive.
We devise and test 2 methods for generating CTEs of which a generation method based on Monte Carlo Tree Search proves to be the most effective.
The CTEs are optimised for 6 quality criteria that were derived from the literature and tested experimentally. We found that most quality criteria are beneficial for creating more informative CTEs, while Validity stands out as contributing especially much to making explanations informative.
Finally, we measure how informative the generated explanations are to a proxy-human model. While the model is not able to capture all aspects of the reward function, it does learn a substantial amount of knowledge that generalises to different trajectory distributions from the CTEs. These results present the use of counterfactuals, and more generally XAI methods, on learned reward function as a promising avenue for further inquiry.","AI Alignment; Explainable AI; Reward Learning; Counterfactual Explanations; Inverse Reinforcement Learninig","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:d3530aec-8c39-4f65-94ca-f59f3c6de267","http://resolver.tudelft.nl/uuid:d3530aec-8c39-4f65-94ca-f59f3c6de267","ADS-B Based Trajectory Prediction for Aerial Vehicles","Wang, Haobo (TU Delft Electrical Engineering, Mathematics and Computer Science)","Rajan, R.T. (mentor); Li, Z. (mentor); van der Veen, A.J. (graduation committee); Sun, Junzi (graduation committee); Delft University of Technology (degree granting institution)","2023","The evolution of aerial vehicle technology necessitates robust trajectory prediction models. These models are crucial for maintaining safe airspace and enabling autonomous operations. Automatic dependent surveillance–broadcast (ADS-B) is a surveillance system that enables aircraft to receive data from navigation satellites and periodically broadcasts it, enabling it to be tracked. Moreover, using ADS-B data for more general aerial vehicles has become a popular trend because it can provide real-time high-resolution aircraft state information and share this information with other vehicles in real-time for the aviation safety ecosystem.
In this project, we delve into ADS-B-based trajectory prediction for both aircraft and drone motion trajectories with the overarching goal of improving prediction accuracy. We initially implement several model-based Kalman filters—including interactive multiple models (IMM)—to assess the accuracy of aircraft trajectory predictions across different model structures. The results reveal that the IMM filter outperforms the single model predictions in terms of root mean square error (RMSE).
Furthermore, we implement the Gaussian process (GP) with a sliding window scheme to predict online drone trajectories. Recognizing the high computational complexity of the GP, we also introduce a low-rank approximation method, structured kernel interpolation (SKI) GP, aiming to conserve computational resources. Finally, we compare the prediction performances of the IMM filter, classical GP, and SKI GP on real drone trajectories. The results highlight that the classical GP method enhanced prediction accuracy, achieving an RMSE of less than 1.7m, which is 50% lower compared to the model-based IMM filter. Additionally, the SKI GP realizes a 25% reduction in computation time compared to the classical GP, despite a slight compromise in prediction accuracy.","ADS-B; Interactive Multiple Model Filter; Gaussian Process; Trajectory prediction","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:bee3af71-23e0-4bd9-bae0-de4de042a1c6","http://resolver.tudelft.nl/uuid:bee3af71-23e0-4bd9-bae0-de4de042a1c6","Explore the possibilities of ChatGPT for ICU digital diary in the context of ICU","Tang, Kaixin (TU Delft Industrial Design Engineering)","Ozcan Vieira, E. (mentor); Kernan Freire, S. (mentor); Delft University of Technology (degree granting institution)","2023","ICU survivors have been shown to face memory loss during and after their ICU stays, which contributes the psychological issues. ICU digital diary has been proven as a way of filling the memory gap, thus avoiding the development of these mental issues. EMC has currently been working on the implementation of the digital diary and a tailored strategy should be considered to support ICU nurses in using the digital diary.
As ChatGPT’s potential in the field of the ICU, this project starts with the assignment to explore the possibilities of ChatGPT for ICU digital diary in the context of the ICU. Leveraging a multidisciplinary approach, the project amalgamates insights from nurse practices, interface design, and the introduction of ChatGPT technology. Drawing on meticulous literature review, direct observations, and interviews, the results from these activities highlighted nurses’ need for patient information visualisation and guidance in their diary writing, and the possible application that ChatGPT could provide in the digital diary.
Based on the insights from research activities, a web application - Patient Diary Toolkit - was designed and developed through three rounds of design iterations. There are basically four main functionalities in this design: reviewing the patient diary, adding messages, diary tracker and ChatGPT-based assistant supporting nurses’ diary writing.
This design was evaluated with 15 participants and 5 experts through comparative testing and expert interviews, with the aim of getting insights from their perspectives about the usability, the user experience and the future potential of the design. The results of the evaluation show that the interface is intuitive and easy to understand, and the introduction of the ChatGPT-based assistant offers a practical solution for supporting nurses’ diary writing. Future recommendations including further research, optimisation of the functionality, future-focused solutions for nurses and user experience improvement have been discussed.","ICU Nurses; ICU Digital diary; ChatGPT; User Interface Design","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:fe91662b-6885-41d4-85ee-3f303febded5","http://resolver.tudelft.nl/uuid:fe91662b-6885-41d4-85ee-3f303febded5","Consensus-based single-score life cycle assessment for space missions","Verkammen, Marnix (TU Delft Aerospace Engineering)","Menicucci, A. (mentor); Svedhem, L.H. (graduation committee); Udriot, Mathieu (graduation committee); Delft University of Technology (degree granting institution)","2023","With a continuously growing number of satellites in orbit, it becomes increasingly important to assess their impacts on the Earth's environment in a standardised manner. While interest in Life Cycle Assessment (LCA) for space missions has gained in strength in the past few years – particularly in Europe – no consensus has yet been reached on a single-score LCA system.
In this thesis, a consensus-based space LCA single-score is created through an international survey of experts. The report demonstrates retroactively the single-score’s use in ecodesigning the Delft University of Technology’s Delfi-n3Xt space mission. Moreover, a discussion is held on ways of implementing the single-score into early design phases.
Overall, this thesis highlights the importance of an easy-to-understand LCA tool for space systems. It shows the necessity for a tool that is implementable during the design phase of the mission, to incentivise space actors to further consider environmental impacts.","LCA; Life Cycle Assessment; space mission; space LCA; Sustainability; environmental sustainability; sustainability rating; Concurrent design","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:e8a5cf69-1996-4b7c-a9de-55d992a23e27","http://resolver.tudelft.nl/uuid:e8a5cf69-1996-4b7c-a9de-55d992a23e27","Leveraging circular initiatives for systemic change in Argentina","Martellotto, Caro (TU Delft Industrial Design Engineering)","Mulder, I. (mentor); Delgado Medina, Fátima (graduation committee); Leclercq, Els (graduation committee); Delft University of Technology (degree granting institution)","2023","In the context of the ongoing transition towards a more sustainable system, grassroots innovations, particularly Circular Initiatives (CIs), are emerging as crucial players, understanding Circular Economy as a promising pathway forward in this sustainability shift. Within this context, the Circular Value Flower (CVF) method was developed by Els Leclercq and Mo Smit during their experiences working with CIs in the Netherlands.
The purpose of this project is to contribute to the development of the CVF by exploring its potential as a design method to support circular initiatives in Argentina while creating positive social impact. Argentina’s relevance in this project is twofold. Firstly, its rich landscape of initiatives and social movements is highly influential, shaping not only the prevailing system within the country but also across the entire Latin America. Secondly, this project seeks to amplify the voices of the Global South within the design discipline and the academic sphere of CE, areas predominantly influenced by voices from the Western Global North.
As the CVF is designed for community engagement, this project centers on working closely with two Argentinean CIs (ReUSAR and Siempre Monte) with a decolonial and participatory approach. Given the complexity of this endeavor and the need to challenge traditional knowledge hierarchies, the overarching mode of thinking leading this project is Sentipensar (feel-think).
In order to cast light, with a sentipensante mindset, on the potential of the CVF to leverage the participating CIs, four phases constitute this project: Reserch for Design, Redesigning the CVF, Action Research and Guideline Design. The first phase aims to define and situate key theoretical concepts, gain a deep understanding of the local context, analyze the current state of the art, and initiate my self-deconstruction as a researcher. The second phase, Redesigning the CVF, focuses on adapting the method and its tools to align with the project’s mindset and the Argentinean context. The design outcomes of this phase are named the Circular Reflection toolkit and Circular Value Map. These tools are designed to facilitate the participatory application of the CVF method during the third phase, which is the Action Research phase involving ReUSAR and Siempre Monte.
After several weeks of active engagement with the CIs and numerous group and individual reflections, the primary insight discussed is the CVF’s potential to support Argentinean CIs by creating a space for pausing, reflecting, and engaging in meaningful conversations. These conversations center on addressing member alignment and both internal and external communication, which are among the primary uncovered issues faced by Argentinean CIs today. Moreover, tackling these challenges aligns with two of the three essential conditions for their success, as identified in the existing literature: shared expectations and networking.
To enhance the accessibility of this research to other practitioners, the primary insights have been translated into a practical guideline referred to as the Tomate Un Mate guideline. This constitutes the fourth and final phase of this graduation project, the Guideline Design phase, with the hope that it will also serve as a starting point for further developments.","Social innovation; Circular communities; Participatory City Making; Participatory City Making Lab; Delft Design Labs; Grassroots Innovation; circular initiatives; circular economy; Transition design","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:afc855b2-9815-4ae8-8312-cbcf3e409ee2","http://resolver.tudelft.nl/uuid:afc855b2-9815-4ae8-8312-cbcf3e409ee2","Holistic optimization of a fuel cell propulsion system, for electric aircraft applications","Urzică, Elena (TU Delft Aerospace Engineering)","Gangoli Rao, A. (mentor); Luisoni, Gionata (mentor); Wirth, Dominik (mentor); Oliviero, F. (graduation committee); van Campen, J.M.J.F. (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","2026-10-27","","","","Aerospace Engineering","",""
"uuid:4a573449-bf28-482d-af39-d629acace6c6","http://resolver.tudelft.nl/uuid:4a573449-bf28-482d-af39-d629acace6c6","The role of constitutive models on simulating the structural behaviour of masonry arch bridges","van Breda, Stijn (TU Delft Civil Engineering & Geosciences)","Messali, F. (mentor); Nobel, W. (mentor); Rots, J.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Masonry arch bridges have been around for centuries and are, in the Netherlands, mostly located in historical city centres. As the axle loads of vehicles passing these bridges have increased over the years, the need to re-evaluate the structural safety of these bridges has increases. To do so, different techniques have been developed. However, assumptions had to be made due to limited computational power and lack of knowledge regarding the actual behaviour of masonry. Over the years, the computational power has increased, making it possible to perform more advanced analysis and describe the behaviour of complex materials. Despite this increase, a conservative approach is still used to determine the safety of masonry arch bridges. When it is not sure whether the bridge is safe enough, the bridge is immediately strengthened or a weight restriction is applied, without calculations of the bridges actual capacity. As these interventions could be costly or cause issues with the supply of goods to the city, it is needed to find a better approach and understanding of the actual behaviour of masonry arch bridges. Therefore this study addresses the following research question:
What is the role of constitutive models on simulating the structural behaviour of masonry arch bridges?
In order to formulate an answer to the question, the behaviour of masonry, masonry arch bridges and soils have been investigated first. The investigation shows which function each part of a masonry arch bridge fulfils and which failure modes are expected to occur. When a masonry arch bridge is loaded, the backfill spreads the load and transfers this to the masonry arch. Due to this load, the arch will deform. This deformation is, however, restricted by the backfill. This interaction between the backfill and the masonry arch makes the behaviour of these types of structures a complex structural-geotechnical problem.
For masonry arch bridges, the most common failure mode is the formation of a four hinge mechanism, therefore this study focusses on modelling the behaviour of the masonry arch. Alongside the behaviour of the materials, the development in numerical tools is investigated as well. Doing so, it can be determined what assumptions have been made in the past and what the shortcomings of the approaches are. With the combined knowledge, it is possible to select different material models that can be used for masonry arch bridges. Three different models were created, two macro models and a micro model. The two macro models are both total-strain based models, where one is described by an isotropic - and one with an anisotropic material model, the so called “Total strain crack” and “Engineering masonry” model, respectively. The macro models consider the masonry as a continuum, whereas the micro model distinguishes between units and joints.
To validate the numerical models, test results are needed. As the study focuses on modelling the masonry arch, the different models are first compared to the results of a test on just a masonry arch. The chosen test was performed at the University of Minho in Portugal; a masonry arch was created and, in a displacement control manner, loaded until failure. Prior to performing the tests, the materials were first tested and their properties accurately reported, which is very useful when making a numerical model. After creating and comparing the results of the models and tests, it was found that the Engineering masonry and micro model show a similar shape of the force-displacement curve, while the isotropic “total strain crack” model does not. The engineering masonry and micro model are able to show the brittle failure of the arch, which was also obtained with the tests. However, this failure occurred when only two hinges were formed, where, in the test, a four hinge mechanism was formed. The numerical results do show that cracks are starting to form, however, this does not mean that it also is a hinge. Besides that, the test results show that there is still some redistribution of forces after the peak load. This is not possible when four hinges are already formed. It is expected that the, by the researchers defined, hinges are not actually hinges, but, are the points where cracks start to form. Despite this difference in hinge formation, the resulting force-displacement curves of the models are very close to those of the tests, therefore it can be stated that the used models are suitable to represent the behaviour of masonry arches.
After validating the effectiveness of the masonry material models, the modelling of the problem was extended by adding backfill. Again test results were needed to determine whether the models are also suitable to simulate the extended problem. This test was performed at the University of Salford in the United Kingdom and has been used by Wittenveen+Bos to validate other numerical programs in the past. The bridge was tested in a specially designed chamber, in such a way that plain strain conditions hold, and the load was applied at quarter span in a displacement controlled manner. The results were obtained by loading the arch beyond the peak load, with the applied force being reduced while the displacement continued to increase, which was, according to the research, when a four hinge mechanism was formed.
A negative consequence of plain strain conditions is that the engineering masonry material model was not available to be used, therefore only the “total strain crack” model and the micro model were compared. The initial results of the numerical model resulted in local failure of the soil just below the point load, which did not occur in reality. In order to eliminate this local failure, a small area below the load had to be given linear elastic properties. Although this local failure now doesn’t happen, the results still show that plastic strains develop in the backfill, as well as cracks in the masonry arch. A parametric study was conducted to determine the sensitivity of the models to small changes in material properties. This study showed that the models are most sensitive to changes in soil properties, specifically the internal friction angle. For the micro model, it even appeared that only changes in the soil properties affect the behaviour of the structure, meaning that the sliding failure in the backfill is the governing failure mechanism. In the isotropic “total strain crack” model, a lower tensile strength caused the behaviour of the structure to change drastically. It is found that this is due to Poisson’s ratio and the isotropic nature of the material model. The compressive stresses cause small lateral strains which, due to Poisson’s ratio, cause longitudinal strains. Due to the isotropic nature of the material model, a low tensile strength is assigned in this longitudinal direction, causing the arch to form an unrealistic crack or failure pattern. While in reality the tensile strength in this longitudinal direction, the brick tensile strength, is larger compared to the assigned the brick-mortar bond strength.
Eventually, it could be concluded that it is possible to model the behaviour of masonry arch bridges with great detail. However, in this study the behaviour of the backfill governed the behaviour of the structure, making it difficult to state which modelling approach should be used for the masonry arch. What can be said, is that a micro modelling approach is currently preferred. The study shows that this model is capable of mimicking the behaviour of just a masonry arch, and is less sensitive to changes is masonry properties when backfill is added compared to the isotropic “total strain crack” material model. The anisotropic “engineering masonry” model would be a good alternative, but cannot be used in plain strain conditions, yet. Further research is needed to investigate other modelling options, as a three-dimensional model. However, to fully understand the behaviour, more tests are needed. These tests should not only be focussed on the behaviour of the arch, but also on the behaviour of the backfill; and these material properties should be tested and reported extensively.
The underlying process is firstly modelled under geometric Brownian motion and, subsequently, under Heston's stochastic volatility model. A key insight is that the value of a barrier option can be expressed as a single-dimensional integral, whereby the integrand involves the so-called survival density function, which captures the barrier-brea-ching information. Therefore, the option can be valued using the one-dimensional COS method for European options, once the Fourier series coefficients of the survival density are obtained.
The coefficients of the sine series expansion of the survival density function are, in fact, a continuous function, which is closely related to the characteristic function of the density. This motivates us to directly recover that function, which we refer to as the target function herewith, by selecting an appropriate series expansion for it. Thereafter, we insert this series expansion into the partial differential equation (PDE) that the target function should satisfy, which can be derived from the pricing PDE. This results in a linear system, solving which we obtain the coefficients needed to reconstruct the target function. Notably, this approach is particularly advantageous when the reference values of option prices are limited or unavailable, as it relies solely on the PDE to calibrate the series coefficients.
Our choice of series expansion is driven by the need for precise global and local approximations. Our research shows that a proper expansion for the target function can be built up from integrating a two-dimensional Fourier series of its first derivative with respect to time, marking our second pivotal insight. That results in a trigonometric expansion that significantly enhances the accuracy compared to a direct Fourier series expansion on the target function. Finally, our third pivotal insight: applying a change of variables further improves error convergence.
Extensive testing results suggest that, for similar accuracy levels, our approach greatly outperforms Monte Carlo simulations in terms of computation time. It also demonstrates superior computational efficiency and accuracy compared to other advanced numerical methods in the existing literature.
The benefits of this method become even more prominent when pricing a large number of options simultaneously.
Numerical tests reveal algebraic convergence for the series expansion reconstruction. For the option price, theoretical error analysis aligns with our findings, also predicting algebraic convergence.","Barrier option; Geometric Brownian Motion model; Heston’s model; Pricing PDE; Fourier series; COS method; Monte Carlo simulation","en","master thesis","","","","","","","","","","","","Applied Mathematics | Financial Engineering","",""
"uuid:6df4b002-32fa-481c-891a-379b3e39db52","http://resolver.tudelft.nl/uuid:6df4b002-32fa-481c-891a-379b3e39db52","Probabilistic assessment of inner slope stability in dikes: Gaining insight in the difference between the semi-probabilistic and probabilistic estimates of the reliability index for inner slope stability with overtopping","Broman, Casper (TU Delft Civil Engineering & Geosciences)","Kok, M. (mentor); Mai Van, C. (graduation committee); Korff, M. (graduation committee); Broere, A. (graduation committee); van der Krogt, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","After overtopping, the most common failure mechanism in the world that leads to a dike breach is the instability of the inner slope. Two stability calculations are required for the semi-probabilistic assessment of inner slope stability. One calculation is without significant overtopping and the other calculation is with significant overtopping whereby the dike is saturated. The semi-probabilistic assessment method with overtopping is prescribed in a KPR factsheet, but is not verified by calibration calculations or probabilistic calculations. After performing semi-probabilistic and probabilistic calculations at Jaarsveld-Vreeswijk (JAV) in the Netherlands, there is an inconsistency between the semi-probabilistic and probabilistic estimates of the reliability index for inner slope stability with overtopping. Semi-probabilistic and probabilistic calculations have been performed to gain more insight in the inconsistency between the semi-probabilistic and probabilistic estimates of the reliability index for inner slope stability with overtopping. Based on the results, it is recommended to perform a calibration study for inner slope stability with overtopping to obtain a better estimate of the failure probability based on semi-probabilistic calculations.","macro stability; Inner slope stability; overtopping; probabilistic; semi-probabilistic; calibration study","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:bca0a04a-cd9c-4b9f-8d93-0d764412876a","http://resolver.tudelft.nl/uuid:bca0a04a-cd9c-4b9f-8d93-0d764412876a","Physiological Sensor Suite: Design and Implementation: Measuring Startle & Surprise using EEG, EMG and ECG","Bogaerts, Ward (TU Delft Aerospace Engineering)","Mulder, Max (mentor); van Paassen, M.M. (mentor); Stroosma, O. (mentor); Landman, H.M. (mentor); Delft University of Technology (degree granting institution)","2023","Today, most fatal accidents in commercial aviation are caused by loss of control in flight. Due to the increased reliance on automation and pilots being moved out of the loop, startling and surprising events can be contributing factors. To more effectively train pilots, startle and surprise are to be included in training scenario’s. A subjective scale indicating the level of startle and surprise is being developed to help build these training programs, but an objective baseline of whether pilots are startled or surprised is needed in order to validate and further develop this scale. In this way, the scale can be used in flight simulators to asses the pilot’s perceived level of startle and surprise during novel training scenario’s. This work aims to test a startle and surprise detection method using physiological data. Electromyography, electrocardiography and electroencephalography data were collected. A validation of the occurrence of physiological effects related to both startle and surprise was performed, after which a detection algorithm was constructed. Validation of effects was performed on data of 22 participants using a three-stimulus oddball task with additional auditory startling stimuli. It was found that all considered physiological effects related to startle can be reliably observed. Contrary, not all physiological effects related to surprise were observed. The detection algorithm was tuned on data of twelve participants and showed to generalise well to the other ten data points. Startle detection could be performed with high accuracy, although surprise detection was poor.","","en","master thesis","","","","","","","","2025-10-26","","","","Aerospace Engineering","",""
"uuid:0b7711f2-1a07-4f8b-aa6c-b78c98883363","http://resolver.tudelft.nl/uuid:0b7711f2-1a07-4f8b-aa6c-b78c98883363","Computational Predictive Modeling of a Novel Pulmonary Valved Conduit","van Tunen, Rick (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Biomechanical Engineering)","Mirzaali, Mohammad J. (mentor); Peirlinck, M. (graduation committee); Fereidoonnezhad, B.F. (graduation committee); Götzen, Nils (mentor); Turgut, Tahir (mentor); Delft University of Technology (degree granting institution)","2023","Congenital heart disease (CHD) affects almost 1% of newborns. Right ventricular outflow tract (RVOT) CHD affects 20% of newborns and includes anomalies such as tetralogy of Fallot (TOF) with or without pulmonary atresia, transposition of the great vessels, and truncus arteriosus. All these anomalies require RVOT reconstruction. Prosthetic heart valves are needed to improve the quality of life of patients suffering from CHD. One such prosthetic device is the pulmonary valved Conduit developed by XeltisTM. This study aimed to investigate the difference in the mechanical response of the XeltisTM pulmonary valved Conduit sizes 16, 18, and 20 (XPV16, XPV18, and XPV20) using mechanical experiments and a predictive finite element model.
Experiments of two load cases, Leaflet opening behavior (LC1) and parallel compression (LC2) have been done where measurements were taken for input parameters used for uncertainty quantification (UQ) in the FE model. Furthermore, the reaction force and displacement were measured to calculate the force values and stiffness values of the device during each experiment. The experiments were replicated with a developed FE model. From the results of the FE model, a metamodel (MM) was developed and a Monte Carlo simulation was performed to retrieve a distribution of the force values and stiffness values obtained in the simulation. Furthermore, UQ was performed and the sensitivity of the input parameters on the force values and stiffness values were quantified. Finally, with an area metric the accuracy of computational finite element (FE) models in simulating the mechanical response observed in the experiments of the XeltisTM pulmonary valved Conduit size 16, 18, and 20 mm was quantified.
For the Leaflet opening behavior, the reaction force increases when the device size increases while the stiffness doesn’t change with device size. As for the accuracy of the predictive FE model, the predictive FE model can simulate the mechanical response of the stiffness with an accuracy of at least 70.7% for the reaction force and at least 50.3% for the stiffness.
For the parallel plate compression, the reaction force and the stiffness increase when the XPV size decreases from size 18 to size 16. Furthermore, the difference between the XPV18 and the XPV20 is smaller for both the reaction force and the stiffness. As for the accuracy of the predictive FE model, the predictive FE model can simulate the mechanical response of the stiffness with an accuracy of at least 37.3% for the reaction force and at least 38.1% for the stiffness.
As for the important variables influencing the mechanical response, the reaction force and the stiffness are influenced mostly by the fiber stiffness of the component that is subjected to the load. Furthermore, the reaction force and stiffness are also influenced by the direction of the fibers. If the fibers are in the same direction as the load, the reaction force and stiffness increase. Although specifically for the Leaflet the amount of material has more influence on the reaction force and stiffness for larger XPV sizes with the Leaflet opening behavior load case. This indicates that for larger XPV sizes the material properties of the Leaflet have a smaller influence and the Leaflet geometry has a higher influence on the stiffness of the Leaflet opening.
Improvements are possible for a better agreement between the simulation and the experiment. These include performing more experiments with different samples from different production batches, and better estimation of input parameters with a high sensitivity to the output parameters.
This study provides a step in the direction of predictive computational device modeling that will help shorten the development time of new pulmonary heart valve devices. As the devices in this study are designed for pediatrics, this will help improve the quality of life of pediatrics suffering from congenital heart disease.","Pulmonary valve implant; Predictive computational modeling; Finite element model; uncertainty quantification","en","master thesis","","","","","","","","2025-10-26","","","","Biomedical Engineering","",""
"uuid:52b73c65-a535-4380-abd1-b65abbefd0c6","http://resolver.tudelft.nl/uuid:52b73c65-a535-4380-abd1-b65abbefd0c6","Phase Change Material Cooling for Icy Moon Exploration Robot: Thesis report","Kunz, Freek (TU Delft Aerospace Engineering)","Cazaux, S.M. (mentor); Jovanova, J. (mentor); Delft University of Technology (degree granting institution)","2023","Enceladus has all the ingredients to support life in its ocean and is therefore a good place to start looking for extraterrestrial life in our Solar System. To explore Enceladus, a probe is needed that autonomously navigates the extreme, icy and unknown environment. Multiple robotic systems are proposed to explore Enceladus. The concept to be optimised by this research is the Freezing Locomotion Integrated Chain Kinematics (FLICK). This concept uses a track of adhesion links that use state-of-the-art ice locomotion: By melting and freezing the ice with peltier modules integrated into the links, the robot can move over the ice wall. To reach subzero temperatures to freeze the ice, the hot side of the Peltier modules must be cooled. The aim of this research is to optimise the adhesion link design by the replacement of the water cooling system with a Phase Change Material (PCM) cooling system. Utilising the latent heat storage of the PCM, this research provides a solid-state cooling solution. The PCM container was made of aluminium to increase the heat transfer from the Peltier module to the PCM and enable the heat absorbed by the PCM to radiate to the environment. Furthermore, 3 heat fins were used to increase the contact area between the PCM and the container, increasing the available latent heat storage. The cooling performance of various PCMs was tested and the octadecane was found to be the best option, due to its high latent heat capacity and relatively low density. Tests on ice showed ice adhesion with PCM cooling of the Peltier modules was possible. A theoretical model was built to estimate the required octadecane volume to obtain subzero temperatures. 7.457 g of octadecane was integrated into the adhesion link of FLICK. The model of the new link obtained a minimum temperature of -1.1 $\deg$C and subzero cooling time of 45 s, for an ambient temperature of 21 $\deg$C without the presence of ice. Using the designed PCM cooling mechanism, the mass of FLICK's cooling system could be reduced from >1309 g to 375.68 g. However, the system must be built and tested to assess its behaviour and viability. This design assumed Earth conditions. To make the system fit to the cold temperatures and low pressures of Enceladus, the adhesion mechanism should be tested in a cooled vacuum chamber.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:e90f29d0-a855-471e-9352-a9777e3cbd4d","http://resolver.tudelft.nl/uuid:e90f29d0-a855-471e-9352-a9777e3cbd4d","Bootstrap-Based Hypothesis Testing","Galanis, Miltos (TU Delft Electrical Engineering, Mathematics and Computer Science)","Derumigny, Alexis (mentor); Finocchio, Gianluca (graduation committee); van der Vaart, A.W. (graduation committee); Kurowicka, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","In this thesis, we explore the structure of consistent bootstrap statistics in hypothesis testing. Bootstrap, as a very useful technique when theoretical distributions are not available or when the sample size is small, enjoys a lot of interest from applied statisticians. Historically, guidelines for performing Bootstrap have been proposed. One of the guidelines proposed is to center the bootstrap statistic around the true statistic, calculated from the original sample. The second, is to perform resampling in a way such that the new sample reflects the hypothesis tested. However, both of the guidelines are proposed based mostly on an empirical point of view. In this project, we show that the calculation of the bootstrap statistic is directly related to the way the new sample is generated. We describe the specific conditions under which the Bootstrap statistic should or should not be centered around the true. As mentioned the resampling scheme that is picked directly influences this choice. The motivation is derived from the independence test and the same arguments apply to the regression slope test. Finally, we provide a generalized setting where a consistent bootstrap statistic is provided, based on the resampling scheme that is picked.","statistics; bootstrap; hypothesis; testing; empirical processes; weak convergence","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:c0dc901a-e7b5-4e55-9a49-e82d22451ec7","http://resolver.tudelft.nl/uuid:c0dc901a-e7b5-4e55-9a49-e82d22451ec7","Portable Doppler Tracking Ground Station","Sprenkels, Amber (TU Delft Aerospace Engineering)","Root, B.C. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis analyses the possibility of creating a portable Doppler tracking ground station using commercial of the shelf components. This solution is called DopTrackBox and is based on TU Delft’s DopTrack. This system uses the Doppler shift of radio signals received from satellites to analyse their location and velocity. Different experiments were conducted to analyse the effects of various hardware components on the system. From the experiments can be concluded that the most impactful hardware change is switching from an omnidirectional antenna to a manually pointed directional one, as this introduces more variance to the system. When using the same omnidirectional antennas as DopTrack, DopTrackBox performs on par with the bigger system; achieving a higher SNR and lower range rate differences. More research is needed to look into the specific effects of the GPS clock and different SDR.","Doppler; Doppler shift; Doppler tracking; Ground station; Portable; DopTrack; DopTrackBox","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Space Flight","",""
"uuid:9ecc6278-6d06-4427-bb0c-8b01a0b6a245","http://resolver.tudelft.nl/uuid:9ecc6278-6d06-4427-bb0c-8b01a0b6a245","Blind Fault Identification of Air Data Sensors: Data-driven Approach to Fault Diagnosis","Ramesh, Nirupama Sai (TU Delft Mechanical, Maritime and Materials Engineering)","Verhaegen, M.H.G. (mentor); Noom, J. (mentor); de Visser, C.C. (graduation committee); Myers, N.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Model-based fault diagnosis methodologies rely on an accurate mathematical representation of a system's dynamics to effectively detect and localize faults. However, creating such models can be challenging, particularly for complex systems operating under diverse conditions. Furthermore, faults affecting the system can also modify its dynamics.
Given the limitations of model-based fault diagnosis, this study introduces a data-driven approach within the Blind System Identification framework. This approach can identify both the fault and the linear-time invariant model simultaneously. The mathematical formulation of this problem is expressed as a constrained least squares problem involving rank and sparsity constraints. To illustrate the application of this methodology, we demonstrate its effectiveness in diagnosing faults in Air Data Sensors using actual flight data obtained from the Cessna Citation II aircraft.","Fault Identification; System identification","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:ab609d68-0858-4b5f-8995-ed8a0c543d41","http://resolver.tudelft.nl/uuid:ab609d68-0858-4b5f-8995-ed8a0c543d41","Constraint Handling in RV-GOMEA","Koch, Johannes (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bosman, P.A.N. (mentor); Bouter, P.A. (graduation committee); Scholman, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Real-Valued Gene-pool Optimal Mixing Evolutionary Algorithm (RV-GOMEA) is a state-of-the-art algorithm for single-objective, real-valued optimization. As many practical applications are inherently constrained, evolutionary algorithms are equipped with constraint handling techniques to allow optimizing constrained problems. The approach currently in use with RV-GOMEA prioritizes solution feasibility over the objective value in all cases, pressuring the algorithm to find feasible solutions. However, this can be inefficient if the constrained optimum is located at the constraint boundary, as search is discouraged from exploring the search space close to infeasible solutions.
In this thesis, several well-known constraint handling techniques from literature are adapted for use with RV-GOMEA and evaluated on different benchmark problems, identifying the strengths and limitations of the various techniques. Furthermore, the inefficiency of the current technique is investigated in detail. Based on the insights gained, modifications to the existing techniques are proposed, leading to promising preliminary results.","Evolutionary Algorithms; RV-GOMEA; Constrained Optimization; Optimization; Evolutionary Computation","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:b0353060-ca78-4ab9-be8f-6de6c79a24a5","http://resolver.tudelft.nl/uuid:b0353060-ca78-4ab9-be8f-6de6c79a24a5","Preventing pressure ulcers in the ErasmusMC: A case study about system innovations in healthcare","ter Laak, Simon (TU Delft Technology, Policy and Management)","Grossmann, I. (mentor); Annema, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","A pressure ulcer (PU) is defined as localised damage to the skin and/or underlying tissue, as a result of pressure or pressure in combination with shear. Despite the fact that pressure ulcers have received a lot of attention recently in hospitals and long-term care homes, they still form a major issue. The ErasmusMC is one of the hospitals with concerns regarding pressure ulcerations. Currently, there is a need to know why the implementation of measures for the improvement of preventing pressure ulcers resulted in disappointing results within the hospital. Within a literature review, it was found that the concept of systems thinking and the application of this concept is lacking in the selected studies. Therefore, the research question of this thesis was: Why are the current measures for the prevention of pressure ulcers not working as expected and intended within the ErasmusMC hospital?
A case study approach with a single-case (holistic) design was chosen. From the concept of systems thinking (the dynamic interaction, synchronisation, and integration of people, processes, and technology), the multi-level perspective of Geels was applied to this case study. With the use of the six common sources of Yin, 22 recorded and summarised semi-structured interviews, 4 informal interviews, several observational moments whilst accompanying operational staff or participating in meetings, and countless informal conversations were collected. Furthermore, PowerPoint presentations, documents, and dashboard results of the ‘prevalentiemeting’ within the ErasmusMC were collected.
When applying the dynamic multi-level perspective on system innovations to the situation of preventing pressure ulcers within the ErasmusMC, it was found that the landscape developments represented the urgency of the policymakers of the hospital to lower the occurrence of pressure ulcers, the technological niches were the measures (or system innovations) created for preventing pressure ulcers, and the socio-technical regime could be described as the socio-technical system for preventing pressure ulcers within the ErasmusMC. Combining the hypothesis of the multi-level perspective and the findings of the theoretical reasons within the literature review, it was stated that a nested hierarchy (where regimes are embedded within landscapes and niches within regimes) was missing. The analysis of the collected data resulted in the practical socio-technical system of preventing pressure ulcer within the ErasmusMC, where the 10 system elements were filled in with overarching themes found within the qualitative data. Next to this, it was found that the system elements of the socio-technical were interconnected and these interconnections could be interpreted as the key leverage points for building resilience within the practical system. The key leverage points found within the interconnections of the practical socio-technical system for preventing pressure ulcers within the ErasmusMC are the following: communication, implementation, opinions of prevention measures, policy steps, doubts about measures, and responsibility.
By using the mutli-level perspective theory of Geels and applying it to a healthcare setting, this thesis showed why the current measures for preventing pressure ulcers are not working as expected and intended within the ErasmusMC. This research made a contribution to the generic actor-related patterns found within the dynamic multi-level perspective on system innovations by introducing the information related patterns. It is shown that this new pattern can be described by the crucial role of information and the flow of information within an organisation for the accelerations and slowing down in diffusion and breakthrough of new technologies.
The main research goal of the project is the development of a framework for evaluating the suitability of components within a product to be AM printed as spare parts. The framework is established through a literature review across three primary research areas: Priority components (identifying key components for repair activities), Printability (assessing component suitability for AM printing) and Spare Part Suitability (evaluating components’ suitability for supply chain considerations). The proposed framework, encompassing three primary steps, narrows down the components from a complex product to focus on those that present greater AM eligibility. This, in turn, guides the company’s effort in designing, testing and making these eligible parts commercially available.
Step 1. Cut-off criterion: Aims to exclude components defined as not suitable for AM printing, such as standardized elements and electronics.
Step 2. Eligibility Evaluation: Assess components eligibility for AM spare parts printing within the research areas.
Step 3. Component Selection: A top-down approach is employed. It begins with the selection of repair priority components, from his group those deemed AM printable are identified, and finally, components aligned with supply chain suitability are selected.
Takeaways from the conducted research also involve the insights gathered from the conducted framework validation, which involved two Philips vacuum cleaners and the use of Selective Laser Sintering (SLS) for the printing of spare parts. Lastly, this thesis establishes a foundation for the exploration of AM potential in the realm of spare parts manufacturing and future product design.
This thesis aims to explore the potential that data collection has to create a model, using experimental results of the bainite transformation process. A list of metallurgical accepted claims is elaborated to assess the quality of the data. Principal component analysis and clustering techniques are used to identify patterns, most important features and main relationships in the dataset. The results confirm the exponential carbon dependence that bainite and martensite transformation temperatures have, therefore requiring nonlinear models to predict them.
Following, regression models and machine learning algorithms based solely on the chemical composition are used to predict Bs and Ms. Train-test split series and cross-validation are used to evaluate the prediction and consistency of each model. The results show that the ensemble learning algorithms outperform the regression techniques. Random forest and gradient boosting decision tree provide excellent Ms prediction on the validation set with R2 values of 0.92 and 0.93. The smaller dataset size adds up to the complexity of bainite transformation, resulting in worse prediction models of Bs, where the random forest and gradient boosting decision tree R2 values are 0.68 and 0.67 respectively. Even though the models are showing signs of learning, the impact that outliers have demonstrates that the data is not good by itself to create a predictive model. The incorporation of microstructural and process parameters would provide significant advances to the models for designing bainitic steels.","Bainitic Steel; Machine Learning; Data Analysis","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:e4f3edc7-73b8-4e6f-a416-96aa53112666","http://resolver.tudelft.nl/uuid:e4f3edc7-73b8-4e6f-a416-96aa53112666","APRON City: A new form of structuralism","Alboustani, Tarik (TU Delft Architecture and the Built Environment)","Asselbergs, M.F. (mentor); Koskamp, G. (graduation committee); Hoogenboom, J.J.J.G. (graduation committee); van der Meel, H.L. (graduation committee); Nourian, Pirouz (graduation committee); Bandara, Kosala (graduation committee); Delft University of Technology (degree granting institution)","2023","System Design in the built environment is one of the most influential design paradigms in architecture and construction. This paper seeks to amalgamate empirical design strategies with various architectural principles, including the notion of “open buildings” or “open-ended architecture,” as well as Structuralism, all within a computational design framework employing discrete aggregation. Structuralism, articulated by Herman Herzberger, represents a “concept rather than a style” distinguishing the structure from the infill through individuality. It is important to note that the advanced technologies available for data collection and analysis did not exist during the 1960s Structuralist movement. Thus, this paper endeavours to forge a novel methodology, drawing inspiration from historical system design practices in architecture. The design research took place in the Merinterin Amsterdam due to its uniqueness and importance.","System Design; Built Environment; Architecture; Construction; Empirical Design Strategies; Architectural Principles; Open Buildings; Open-ended Architecture; Structuralism; Computational Design Framework; Discrete Aggregation; Herman Herzberger; 1960s Structuralist Movement; Urban; Ai; Wave function collapse","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","Merinterin Amsterdam",""
"uuid:31203402-32df-4a86-ae66-5c160e0bebea","http://resolver.tudelft.nl/uuid:31203402-32df-4a86-ae66-5c160e0bebea","Optimization of nurse scheduling satisfaction","van Rooijen, Eva (TU Delft Applied Sciences)","Flipse, S.M. (mentor); Kalmar, E. (graduation committee); Dollevoet, Twan (mentor); Delft University of Technology (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution)","2023","A recent study in The Netherlands, reports an expected shortage of 140,000 healthcare employees by 2031. Two main reasons for this shortage are an increased demand for healthcare and a shortage on the healthcare labour market. The irregular shifts and unconventional working hours make nurses quit their profession or refrain others from applying. This thesis explores the effect of scheduling decisions on job satisfaction of nurses in Dutch hospitals. Applying mathematical optimization, we examine if nurse satisfaction can be improved and at what cost. Incorporating results from interviews and a survey, this thesis presents a formulation of the nurse scheduling problem including both capacity coverage and nurse satisfaction in the problem’s objective. The problem is solved using an exact (mixed integer programming) approach and a heuristic based on a Variable Neighbourhood Search approach. Using benchmark instances for the nurse scheduling problem, results show that nurse satisfaction can be improved at no cost of capacity coverage. Since these results are based on only simulated preferences, the thesis ends with some suggestions for further research.","Scheduling; Nurses; optimization","en","master thesis","","","","","","Master of Science in Communication Design for Innovation at the Delft University of Technology and Master of Science in Econometrics and Management Science at the Erasmus University Rotterdam","","","","","","Applied Sciences | Science Education and Communication","",""
"uuid:151f0924-9226-431f-9bf2-cf0fe8196e72","http://resolver.tudelft.nl/uuid:151f0924-9226-431f-9bf2-cf0fe8196e72","Enhancing Fiber Direction Estimation from Electrograms: A Comparative Study and Method Improvement for Clinical and Research Applications","van Breukelen, Elena (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Signal Processing Systems)","Hendriks, R.C. (mentor); de Vries, J.W. (mentor); van Schie, Mathijs (mentor); van der Veen, A.J. (graduation committee); Lopes Marta da Costa, T.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","For the heart to pump blood throughout the body, electrical impulses that trigger the cellular contraction must be generated and spread through the myocardial tissue. These signals propagate faster along the longitudinal cardiac fiber direction than the transverse direction, conferring the heart with anisotropic conduction properties. Therefore, the arrangement of the fibers within the tissue governs the impulse propagation. Given the variability of the fiber direction across the heart and between patients, incorporating it into electrophysiological models would enhance our understanding of the mechanisms and progression of different heart conditions, such as atrial fibrillation (AF). The study of this common cardiac arrhythmia relies on analyzing electrical recordings of the heart, known as electrograms (EGMs), which, if integrated with the patient’s fiber architecture into cardiac models, can enable effective personalized treatment. Over the years, researchers have proposed different approaches to estimate the fiber direction from EGMs. However, these methods have been evaluated in different, usually simplistic, cardiac tissue models, making their comparison, and therefore selection of the most accurate approach for clinical and research applications, challenging.
The current study aims to identify the best fiber direction estimation method under consistent and realistic conditions. To achieve this goal, synthetic EGMs and local activation time (LAT) maps were generated from 2D and 3D monodomain models that mimicked the muscle bundle, atrial bilayer, and ventricular transmural fiber rotation structures. A comparison analysis of existing fiber direction estimation methods, first as described by their authors and then standardized to have the same spatial resolution, showed the superior performance of the techniques based on fitting an ellipse to local conduction velocity or conduction slowness vectors from a whole LAT map. The estimation accuracy of these methods can be further improved by increasing the number of vectors to which the ellipse is fitted. Nonetheless, given the influence of underlying layers in the epicardial recordings, the estimation error increases in the tissue models where fibers in the epicardial and endocardial layers run perpendicularly. The effect on the estimate of such architecture, characteristic of the inferior side of the right atria and the ventricles, can be accounted for by combining epicardial electrical recordings obtained after pacing either in the endocardium or the epicardium. Although a preliminary assessment of the estimation methods was carried out with human EGMs, future studies should focus on validating the methods in a controlled experimental framework and refining them for more localized fiber direction estimation. All in all, the automation of the techniques and their integration into electrophysiological models brings us a step closer to creating valuable clinical tools for diagnosing and treating electropathologies.
In summary, the research question is: What is the most suitable design for a timber re-mountable car park, including global structure and details based on structural performance and feasibility?
The first part of this research is determining the most suitable floor system. This is important because of the large floor span in a timber car park and the reduced stiffness of timber compared to concrete and steel. Information is gathered by investigating car park and floor system references and the boundary conditions from regulations, material behaviour, transportation, etc. Next, a preliminary design is made for the four largest potential floor systems.
Based on this preliminary design combined with the earlier gained knowledge, the most suitable floor system is chosen in a multi-criteria analysis. A spruce CLT floor system of 140 mm thick combined with 360 mm x 1040 mm glulam beams becomes the most suitable alternative. A Triflex coating is necessary on top to ensure sufficient moisture resistance and re-mountability of the floor system. The fire resistance does not affect the floor system design because the serviceability limit state is governing.
Then, the second part of this research uses the known floor system to design the re-mountable connections in a final design phase. Using the knowledge gained from the references and boundary conditions, a bolted connection is the most suitable re-mountable connection type. However, a console is most suitable for the column-to-beam connection due to the positive effect on the necessary crane operation time.
This study employs two block-based modelling techniques: a simplified brick-to-brick model and a detailed brick-to-brick model. The block-based modelling technique was selected to capture the intricate structural details and crack patterns of masonry specimens. Wallet specimens were evaluated to understand the compressive behaviour of masonry.
Two experimental benchmarks were chosen to validate the selected modelling approach. First, experimental results by Thamboo (2020), also modelled with a simplified brick-to-brick model by Zahra et al. (2021), were considered. However, to obtain numerical results comparable to the experimental ones, unrealistic input parameters had to be assumed (i.e., very low value of elastic modulus for the bricks); consequently, this benchmark was ultimately deemed unreliable. Hence, a second benchmark from Jafari (2021) was chosen which did not present such issues.
Considering the effect of confinement was crucial to obtain results in agreement with experiments. The detailed brick-to-brick model, explicitly modelling each brick-and-mortar joint with solid elements and accounting for mortar confinement, proved to be the only modelling approach able to simulate the second experimental benchmark. The simplified modelling technique, considering mortar joints as zero-thickness interfaces between solid elements representing the bricks, consistently underestimated the peak loads as mortar confinement was not included. This may explain why unrealistic input parameters were used by Zahra et al. (2021) to simulate the first benchmark. In general, the post-peak behaviour was difficult to simulate.
A sensitivity study was performed by varying the boundary conditions, Poisson’s ratio of mortar and integration scheme. The study on boundary conditions was performed on both the simplified and detailed models whereas the study on Poisson’s ratio and integration scheme was performed on the detailed model. The boundary conditions did not have a significant influence on the global behaviour of the specimen in the detailed or simplified models. Small variation in compressive strength and crack pattern were observed, but the effect on post-peak behaviour could not be evaluated due to numerical instability. The Poisson’s ratio of mortar was found to have a significant influence on the peak compressive strength of the masonry specimen. A higher Poisson's ratio resulted in a greater value in the peak compressive strength of masonry. A higher value of Poisson’s ratio increases the amount of confinement in mortar layers resulting in higher values of compressive strength of masonry. A high integration scheme (3×3×3) and a regular integration scheme (2×2×2) effectively captured crack propagation. On the contrary, a reduced integration scheme (1×1×1) could not do so effectively. The reason can be attributed to the higher number of integration points in the former cases which allows for better propagation of cracks.
These investigations provide precious insight into the choice of modelling techniques for simulating the compressive behaviour of brick masonry. The detailed modelling technique can be implemented to study the compressive behaviour of masonry specimens if mortar confinement is accounted for. However, further effort is necessary to reduce numerical instability to simulate the post-peak response. This can be adopted further to study the influence of, for example, bond pattern, specimen thickness (e.g., multi- vs single-wythe masonry) and specimen shape (e.g. wallets, prism, core) on the compressive behaviour of masonry.","Brick masonry; Numerical Modelling; compressive behaviour; Simplified brick-to-brick modelling; Detailed Brick-to-brick modelling","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:4fb4a898-0980-477a-862a-c51e3bac3ce5","http://resolver.tudelft.nl/uuid:4fb4a898-0980-477a-862a-c51e3bac3ce5","Robotic Grasping from Supermarket Shelves using Visual Servoing","Lafontaine, Stijn (TU Delft Mechanical, Maritime and Materials Engineering)","Wisse, M. (mentor); Caesar, H.C. (mentor); Salmi, C. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis focuses on closed-loop product grasping from supermarket shelves. The case is studied where the robot is in front of a shelf in an Albert Heijn supermarket and is tasked to pick a desired product from that shelf. Enabling a robot to achieve the product-picking task, however, is challenging. While many other robotic picking methods are centered around table-top environments, the complex geometry of supermarket shelves presents a challenge in itself. Additionally, the Albert Heijn supermarket is a dynamic environment, where other agents can change the shelves, move products, and can introduce lighting changes. Where the table-top environment allows other methods to pick objects with an open-loop controller, approaching the supermarket environment with a closed-loop picking strategy can be beneficial in overcoming the challenges introduced by the dynamic environment. Therefore, this thesis proposes a product grasping pipeline, where the goal is to discover what combination and optimization of the required robotic skills results in a system that enables the robot to consistently and robustly perform the product-picking task. To close the loop, in-hand position-based visual servoing is used that enables the robot to account for detection mistakes as it picks a product. The three robotic skills that are required for the product-picking pipeline are product detection, product grasp pose estimation, and product tracking. Because of visual servoing, each of these robotic skills must run in real-time. The product detection is achieved by pre-training a YOLOv6 object detector on the SKU-110K dataset and fine-tuning it to the new Albert Heijn Supermarket dataset. The Albert Heijn Supermarket dataset is created to detect 36 products in the supermarket, where the challenges of distinguishing similar products and detecting relocated products are included. To enable detections during visual servoing, the distance, directions toward the shelf, and lighting are varied. The product grasp poses for the suction cup can be estimated using a plane fit on the estimated pointcloud for each product. The pointcloud of the product is estimated by randomly sampling the depth data from the product. Each product pose is then tracked through time via Kalman Filtering, to enable temporal reasoning about the products. Because of this, the grasp pose of the desired product can be refined as the manipulator moves toward the shelf. The proposed system achieved success rates of 90\%-100\% during experiments on a real robot with a suction cup gripper. While robust picking on a set of 36 products has been achieved, exploring a wider variety of product shapes with other robotic grippers is a compelling research direction to overcome the challenge of picking oddly shaped products. Furthermore, a separate few-shot-learning classifier for the product classification might be used to overcome the challenge of adding new products to the inventory or product re-branding. Next, considering other picking scenarios in the supermarket, like picking from hooks or refrigerated shelves with doors, is important for deployment as well. Finally, interacting with humans and reacting to human behavior during the picking process to ensure safety is another crucial challenge that must be overcome to move toward the integration and deployment of robotics in supermarkets.
Boron-doped diamond (BDD) is a popular material for electrodes because it exhibits metal-like conductivity when sufficiently doped with boron atoms. BDD possesses highly desired electrochemical characteristics such as wide potential window and low background current, while attaining diamond’s chemical stability and biocompatibility. The hardness of diamond, however, has hindered its applications in flexible electrodes due to the mechanical property mismatch between diamond and flexible substrates. Moreover, common manufacturing techniques that focus on flexible BDD electrodes are time-consuming and require complex material transferring steps.
In this work, two 3-D printing techniques, FDM and SLA, have been employed to explore the possibility to prepare 3D-printed flexible BDD-based electrodes. The effect of selected 3D printing technique, particle concentration, treatment process on the mechanical, morphological, electrical and electrochemical properties of the developed composites was thoroughly investigated. A common feature for both SLA and FDM fabrication processes, the presence of BDD particles in the polymer composites resulted in enhanced mechanical properties such as Young’s modulus (increased by 230% and 75%, for FDM and SLA, respectively), but caused a reduction in tensile strength and elongation at break. The FDM-based composites additionally allowed higher weight percent of BDD fillers to be introduced, 40 wt.% in contrast to only 12.5 wt.% achieved by SLA. For this reason, further development of composite electrodes was devoted to FDM, which allowed higher weight percentage of fillers to be introduced in the polymer.
Herein, we report, an innovative, flexible, 3D-printed conductive composite was developed through FDM that displayed promising mechanical and electrochemical characteristics. By using a unique combination of a flexible polymer, thermoplastic polyurethane (TPU), and fillers, BDD particles and carbon nanotubes (CNTs), a conductive composite material was fabricated which enabled its use as a flexible electrode. Three different compositions were fabricated that each consisted of TPU, CNTs and BDD, with CNT-to-BDD ratios of 1:0, 1:1, and 1:2. For the TPU/CNT/BDD electrodes, the electrical conductivity was significantly improved with the addition of BDD particles and displayed an increase of over 7 times (up to 1.2 S/m) compared to without BDD. This effect was similarly visible in the electrochemical characterization, and well-developed peaks were observed in the presence of two commonly used redox markers [Fe(CN)6]3−/4− and [Ru(NH3)6]3+/2+ with increasing BDD concentration. Surface treatment of the TPU/CNT/BDD electrodes drastically enhanced electrochemical properties such as double-layer capacitance (Cdl) by 250 times, but also reported significant increase in peak current intensities for both redox markers. A prominent drop in peak-to-peak separation (∆EP) for [Ru(NH3)6]3+/2+] redox marker was noticed when the electrodes were incorporated with BDD particles. From 178 mV for TPU/CNT, it decreased to 110 mV for the highest BDD-loaded electrode (TPU/CNT/BDD(1:2)). The detection of dopamine was successfully achieved through the fabricated BDD-based composite electrodes. This study provides a state-of-the art, novel composite material that is characterized by excellent flexibility, attractive electrical conductivity and promising electrochemical characteristics. It provides insights into the interactions between composite components and their impact on the electrical and electrochemical properties of the 3D-printed surfaces.
The aim of this thesis is to develop a better understanding of the influence of the connections on the serviceability behaviour of timber buildings with glulam stability trusses. In the first part of this thesis, the state-of-the-art of timber connection design is discussed. The second part is dedicated to the development of a finite element model. A modelling approach is developed that allows for the specification of the load-slip behaviour of the truss connections in the end nodes of the modelled members. A sensitivity study focused on the serviceability stiffness of the truss connections is performed using the FE model. Next, variants on the base design are modelled and studied for comparison, which provides insight into the effect that changing geometry has on serviceability behaviour.
This thesis shows that the load-slip behaviour of the truss connections has a significant influence on the serviceability behaviour of a timber building. It is also found that the current design rules are not suitable for predicting the serviceability stiffness of these connections. For timber connections with slotted-in steel plates and dowels, their load-slip behaviour can be idealized by an initial slip followed by its elastic stiffness. The initial slip is caused by the hole clearance in the steel plates in combination with various non-linear effects like densification of the timber. The hole clearance in the steel plates is also responsible for the sequential activation of the dowels, which is considered to be the primary cause for the reduction in elastic stiffness per dowel per shear plane as the number of dowels increases. This so-called ’group effect’ results in a much lower stiffness of the truss connections than the current design formula suggests.
The results from the numerical modelling show that the load-slip behaviour of the connections between the diagonals and columns has a large influence on the serviceability behaviour of the truss. A distinction is made between diagonal connections and vertical components. The initial slip in the connections causes an increase in the maximum displacement of the structure. The elastic stiffness of the truss connections decreases the global stiffness of the structure. This resulted in an increase in maximum displacement, a decrease in the natural frequency, and an increase in peak accelerations.
The serviceability behaviour of large timber connections should be explored further. Current design codes are not suitable for predicting their serviceability behaviour, which can have consequences for the design of complex structures like multi-storey timber buildings with glulam stability trusses. To ensure the proper design of these buildings, our understanding of their serviceability behaviour is critical. This thesis is anticipated to be a valuable contribution to bridging the knowledge gap. It shows the shortcomings of the current design rules and provides practical information about how to predict the serviceability behaviour of a timber building that is stabilized using glulam trusses.","timber; connections; stiffness; Eurocode 5; modelling; timber buildings; truss; serviceability; displacements; dynamic response","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:78f642aa-7580-4b7a-8bdd-942ac06acc7f","http://resolver.tudelft.nl/uuid:78f642aa-7580-4b7a-8bdd-942ac06acc7f","Trend analysis of the runoff patterns in Central and Northern Europe: Identification of changes in magnitude and timing","Alexandraki, Akrivi (TU Delft Civil Engineering & Geosciences)","Hrachowitz, M. (mentor); Schoups, G.H.W. (graduation committee); Delft University of Technology (degree granting institution)","2023","In today's world, extreme flooding and drought events are becoming increasingly common globally. These challenges arise from various factors, with climate change and changes in land use being among the most significant contributors.
While numerous studies have explored the combined impact of climate change and land use on streamflow, there is a research gap when it comes to analyzing historical data for changes in the magnitude and timing of discharge peaks and low-flow periods. To address this gap, this MSc Thesis investigates river discharge in five European countries: Belgium, Germany, France, Luxembourg, and the Netherlands.
To analyze potential patterns and variations in magnitude changes, trend analyses were conducted for annual and monthly mean daily flows. Non-parametric methods such as Sen's slope and the Mann-Kendall test were employed to calculate trends in average, maximum, and minimum daily flows at both yearly and monthly levels. The issue of autocorrelation in discharge flows was also addressed by using a modified version of the Mann-Kendall test for stations with autocorrelated data. Furthermore, the possible shifts in the timing of discharge peaks and low-flow periods were examined. We employed statistical tools such as statistical entropy, Kullback-Leibler divergence, and various descriptive statistics to determine if there have been changes in the month with the highest flow over the years.
The study's results generally align with existing research. Regarding annual discharges, for average and maximum analyses, stations with decreasing trends were predominantly found in the North, East, and central parts of the study area (Germany), while the North-West exhibited stations with significant increasing trends in most cases (North France).
In yearly minima discharge flows, the patterns were aligned with average and maximum analyses; however, additional stations showed decreasing trends, which were located in Belgium. In the monthly analysis, positive trends were primarily observed during winter months (February, December, and January), while April and March showed decreasing trends in most cases (monthly average and maxima analyses), with a few exceptions in minimum daily flows.
Notably, more than 50% of the stations exhibited shifts in the month when they experienced maximum and minimum discharge, particularly between 1980-2000 and 2000-2021. This finding suggests potential avenues for future research.","Trend analysis; Statistical analysis; Mann Kendall; Sen's Slope; Autocorrelated data","en","master thesis","","","","","","","","2024-10-25","","","","Water Management","","50.5039, 4.4699"
"uuid:b639b85b-a58f-4d29-9228-240d971a8c3a","http://resolver.tudelft.nl/uuid:b639b85b-a58f-4d29-9228-240d971a8c3a","Lossless Integration of Piezoelectric Transducers in CMOS Technology: Design and optimization of the microfabrication process","Puchol Morejón, Eduardo (TU Delft Mechanical, Maritime and Materials Engineering)","Lopes Marta da Costa, T.M. (mentor); Delft University of Technology (degree granting institution)","2023","","Piezoelectric transducers; Microfabrication; Copper electroplating; Through-vias integration; Air-backing technology","en","master thesis","","","","","","","","2025-10-31","","","","Biomedical Engineering","",""
"uuid:6f171024-7f3d-4145-9e15-236705305474","http://resolver.tudelft.nl/uuid:6f171024-7f3d-4145-9e15-236705305474","Power-efficient, Precise Discriminator for a High Time Resolution, Low-Noise Charge Detection ROIC","Du, Yutong (TU Delft Electrical Engineering, Mathematics and Computer Science)","Nihtianova, S. (mentor); Delft University of Technology (degree granting institution)","2023","Pixel charge detectors are responsible for counting the number of collected electrons and converting it into an electrical signal. The proposed charge detector pixel readout integrated circuit (ROIC), implemented in 40nm TSMC technology, should be able to detect an amount of charge as low as 160aC, with a high time resolution of 2.5ns, using limited power consumption and area occupation. The discriminator, as the ROIC's last block, should distinguish the analog information of the previous block called “signal shaper”, from a certain noise level, and convert it into 1-bit binary code. The mode of operation is named as an event counting.
In this thesis, two versions of the discriminator are studied and compared: with an active and passive shaper (filter). The goal is to find the optimal solution with respect to performance and power efficiency. The discriminator with an active filter (which provides an output pulse with an amplitude of 225mV and power consumption of 170μW, achieves an offset of 5mV and a noise voltage of 290μVrms referred to the input, and consumes a power of 36μW. Its speed performance and temperature stability have been experimentally verified. The discriminator with a passive shaper (which consumes no power) can detect an input signal with 10mV amplitude, with 240μV input noise, and a power consumption of 88μW. Its performance is partly tested through the post-layout simulation.
The achieved SNR with the active filter is 14.6, and the passive filter is 15.1. Both solutions (discriminator for active and passive filter) demonstrate an acceptable SNR. The solution with a passive filter provides a better overall power efficiency but cannot detect incoming events during the calibration period which lasts 10ns and occurs every 90μs.","Discriminator; ROIC; High count rate","en","master thesis","","","","","","","","2023-10-25","","","","Electrical Engineering","",""
"uuid:72c14113-a194-46b6-9f6f-83919db097d0","http://resolver.tudelft.nl/uuid:72c14113-a194-46b6-9f6f-83919db097d0","Renewable H2 via Pressure Swing Adsorption from waste gasification: A techno-economic analysis","Parissi, Nicholas (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cutz, L. (mentor); Mohammadzadeh Moghaddam, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","This work deals with the techno-economic assessment of Hydrogen production from waste-derived syngas coming from a large-scale gasifier. This research was developed for the purpose of converting nonrecyclable waste using a patented gasification technology to meet the demand for cleaner fuels, reducing global carbon emissions in line with the concept of a circular economy. A market analysis is conducted to identify the primary drivers and building blocks involved in the development of a Waste to Hydrogen scheme within the European context. Subsequently, a process route is designed and successfully implemented within Aspen Tech software to treat the raw syngas from the HTW gasifier, featuring a syngas adjustment and purification unit, a Pressure Swing Adsorption system, and a Combined Heat and Power unit. The system design achieves a Hydrogen recovery of 63% and purity around 99.5 vol%. The Hydrogen’s quality aligns with the requirements for use in refineries, ammonia and methanol production, and for various heat-related applications. The economic analysis demonstrated the profitability of the plant, with a return on investment at a rate of 9.7 %. The levelized cost of Hydrogen at 7.35 €/kg substantiates the competitiveness of the Waste to Hydrogen model in comparison to steam methane reforming and electrolysis routes. The project’s results offer a promising outlook for future research, indicating a sustainable approach to waste management and a viable pathway for reducing carbon emissions in the industry and transportation sectors.","Waste to Hydrogen; Techno-Economic analysis; Pressure Swing Adsorption; Hydrogen separation; Aspen Tech","en","master thesis","","","","","","","","2025-10-21","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:00bd497e-a082-42d7-8372-d96e4554ca9c","http://resolver.tudelft.nl/uuid:00bd497e-a082-42d7-8372-d96e4554ca9c","Inductively coupled plasma reactive ion etching of high aspect ratio structures on 4H-SiC for MEMS applications","Zhang, Zhenhua (TU Delft Electrical Engineering, Mathematics and Computer Science)","Vollebregt, S. (mentor); Dowling, K.M. (graduation committee); French, P.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","As silicon carbide(SiC) gets more and more attention from the semiconductor industry due to its robust mechanical and chemical properties, reliable and standardized processing technologies such as reactive ion etching(RIE) for SiC are in great demand. This is because of the difficulty and challenge of fabricating micro devices on the SiC substrate. Although the high hardness and chemical inertness make SiC a good candidate for applications such as sensors in harsh environments, they also impede the development of SiC-based devices when considering processing. This thesis aims to develop a standardized inductively coupled plasma(ICP) reactive ion etching(RIE) process for 4H-SiC substrate etching. The developed process is expected to be applied in the fabrication of micro-electro-mechanical systems(MEMS). The specifications are a high etch rate, micro-masking-free surface, and high selectivity. First, a literature review was conducted to comprehensively study the characteristics of the SiC material and the mechanisms of the ICP RIE process. Second, a baseline recipe was developed guided by the theory studied in the literature works. Third, initial tests were conducted, and the preliminary optimizations with a focus on etch rate and micro masking suppression were performed. Fourth, the design of experiments(DOE) based on the preliminarily optimized recipe was conducted to study the effect of process parameters on etch rate, etch profile, and selectivity. Last, the optimized recipes with a focus on etch rate, etch profile, and selectivity were summed and listed. The achieved maximum etch rate was 1.26 µm/min. The maximum selectivity of the hard mask material to SiC was 153 when the nickel hard mask was used. Amicro-masking-free surface of SiC was achieved.","Inductively coupled plasma reactive ion etching; 4H-SiC; Design of Experiments","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:a7589ed5-aa7b-4390-a50d-329bb3e2153c","http://resolver.tudelft.nl/uuid:a7589ed5-aa7b-4390-a50d-329bb3e2153c","A hybrid ML-based model for improved detection of IoT botnets","Gnanavarothayan, Kabilan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Conti, M. (mentor); Lal, C. (graduation committee); Chen, Lydia Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","The use of Internet of Things (IoT) devices has experienced an increase since its inception and is expected to continue to do so. However, this growth has also attracted individuals with malicious intentions. Botnet attacks on IoT devices have become more potent each year, exploiting new vulnerabilities and attacking more devices. Therefore, it is imperative to improve countermeasures. N-BaIoT is a frequently used dataset that covers botnet attacks in various stages of the botnet life cycle. Nevertheless, when examining the state-of-the-art utilizing the dataset, there are certain limitations that need to be addressed.
One limitation is the lack of detailed feature analysis in most studies. This results in less comprehension of the behavior of the malicious and benign data in the dataset, leading to a lack of feature optimization. Feature optimization is crucial as it improves the computational time of the model and makes it efficient to deploy in real-life applications. Another limitation is the uneven distribution of the malicious and benign data, resulting in unreliable evaluation scores. This issue has not been addressed in many studies.
The main contribution of this thesis is the development of a hybrid ensemble model to detect IoT botnet attacks faster and accurately. Additionally, the aim of this study is to provide a clear analysis of the behavior of the malicious and benign data and optimize the number of selected features. In the hybrid ensemble model, a minimal number of features will be utilized to evaluate performance. The comparison will be achieved by taking into account both the unbalanced and balanced datasets used for training and testing. By considering both datasets, the limitation of the distribution can be highlighted by examining the distinct performance of each dataset, making the comparison extensive and reliable.
The results indicate that the selected features and detection models proposed in this research outperform those of other studies. In both cases using the balanced and unbalanced sets, the performance score and computational time are improved. In addition, this is achieved by using fewer features compared to several studies.","Machine learning; IoT; botnet; cyber security","en","master thesis","","","","","","","","","","","","Computer Science | Data Science and Technology","",""
"uuid:7c6480c0-c8fb-4a45-b2a3-ba24fb32d1ea","http://resolver.tudelft.nl/uuid:7c6480c0-c8fb-4a45-b2a3-ba24fb32d1ea","Coded Cover for Acoustic Vector Sensors","YAN, Chenyang (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Signal Processing Systems; Microflown Technologies)","Leus, G.J.T. (mentor); Comesaña, Daniel Fernandez (mentor); Joseph, G. (graduation committee); Myers, N.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study delves into the application of coded covers in enhancing Acoustic Vector Sensor (AVS) performance for sound source localization. We initially explored the use of a coded mask inspired by ultrasound imaging. However, our analysis indicated that the coded mask primarily acts as a scaling factor without substantial benefits for direction of arrival (DOA) estimation.
In response, we investigated an alternative approach using a larger cover with spaced channels, positioned above the AVS sensor. In this setup, each channel operates independently, and their combined acoustic outputs are analyzed upon reaching the sensor. Importantly, the upper surface channels can be viewed as a virtual uniform linear array (ULA), allowing the application of compressed analysis methods.
Two distinct DOA estimation approaches were developed: the compressive sampling (CS) method and the compressive covariance sensing (CCS) method. Both methods were validated, showing improved accuracy in angle estimation. Notably, the CCS method exhibited the potential to expand the number of detectable sound sources using a single AVS.
In summary, while the coded mask did not offer significant advantages, the coded cover design, along with the CS and CCS methods, improved DOA accuracy and extended the detection capabilities of single AVS.","AVS; DOA; Compressive Sensing","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:1c913193-6fe1-4b39-961e-ab73caf6bf47","http://resolver.tudelft.nl/uuid:1c913193-6fe1-4b39-961e-ab73caf6bf47","Haul Truck Electrification as a Pathway towards Carbon-Neutral Mining: A Comprehensive Emission Review and CO2 Payback Analysis","Graes, Fabian (TU Delft Civil Engineering & Geosciences)","Buxton, M.W.N. (mentor); Lottermoser, Bernd (mentor); Rinne, Mikael (mentor); Delft University of Technology (degree granting institution); Rheinisch-Westfälische Technische Hochschule (degree granting institution)","2023","This thesis investigates the impact of truck electrification systems on CO2 emissions and financial aspects in mining. Through comprehensive simulations, the study analyses diesel-electric trucks with trolley assist as well as battery-electric variants with trolley assist and stationary charging. The research findings reveal a noteworthy decline in CO2 emissions and an improvement in cost-effectiveness for the diesel-electric variant with trolley assist. Comparable CO2 savings are observed in battery-electric scenarios, but with varying financial profiles. The transition to battery-electric trucks with trolley assist leads to improved efficiency and associated cost savings. On the other hand, stationary charging entails financial challenges owing to high equipment costs. The analysis of emissions was conducted by different scopes, allowing a differentiated analysis of direct emissions (Scope 1) and indirect emissions (Scopes 2 and 3). This research highlights the significance of adopting a comprehensive approach towards achieving a sustainable future in mining. It provides clear insights into the potential of electrification technologies, particularly highlighted by a 49% CO2 reduction in battery-electric scenarios.","mining; haul truck electrification; trolley assist; Battery-electric haul trucks; CO2 Emissions; Simulation analysis","en","master thesis","","","","","","","","2023-11-28","","","","European Mining, Minerals and Environmental Programme","",""
"uuid:d1c12832-89b3-435c-8a32-3bcdb7287128","http://resolver.tudelft.nl/uuid:d1c12832-89b3-435c-8a32-3bcdb7287128","Design and Validation of an Octopus-Inspired Suction Cup with High-Resolution Tactile Sensing for Soft Robotic Arms","van Veggel, Stein (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Industrial Design Engineering)","Wiertlewski, M. (mentor); Doubrovski, E.L. (mentor); Kooijman, A. (mentor); Scharff, R.B.N. (mentor); Sakes, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the field of soft robotics, rigid joints and links are replaced by soft, deformable elements, This causes soft continuum robot arms to excel in unpredictable environments, but to face challenges during control and shape reconstruction. The sensing ability present in octopus suckers provides inspiration for solutions. Octopuses employ their suckers not only to strengthen their grasp but also as tactile sensors to control the shape and position of their soft arms. This has motivated researchers to integrate artificial sensorized suckers in soft continuum robot arms Although various sensorized suckers have already been developed, their employed sensing methods tend to be low in resolution and are often poorly embedded into the overall sucker architecture. In this work, these limits are overcome by presenting an octopus-inspired suction cup with integrated high-resolution tactile sensing abilities. This is achieved by utilizing the Chromatouch Principle, which relies on embedding colored markers in the suction cup membrane. Tracking these markers with a camera produced tactile images containing useful information about forces, deformations and interactions with objects. Fabrication with multi-material additive manufacturing enabled direct integration of these markers into the suction cup membranes. We demonstrated the design’s basic functionality by conducting pull-off and pickup tests. The design exhibited a normal pull-off force of 9.53 N and a shear pull-off force of 5.28 N. It was also able to successfully pick up both flat and curved objects. The sensing ability was showcased by training a Convolutional Neural Network to learn the relationship between the camera images and the orientation of the suction cup with respect to a touching substrate. Using a spherical coordinate system, the orientation could be predicted with an error of less than 2 degrees for latitude and less than 9 degrees for longitude. This performance was validated by using the trained network to successfully correct the orientation when picking up objects under an angle. For a single suction cup, this ability can be utilized to correct the orientation and achieve perpendicular contact with an object, crucial for achieving a seal. On a larger scale, the integration of multiple suction cups in soft continuum robot arms has the potential to form a representation of the arm shape as a whole. It can thereby contribute to overcoming the control challenges faced in the field of soft robotics.","Soft Robotics; Octopus; Suction cup; Vision-Based Tactile Sensing; Bio-inspired design","en","master thesis","","","","","","Double degree in Integrated Product Design and Mechanical Engineering | Biomechanical Design","","2025-01-31","","","","Integrated Product Design","",""
"uuid:b20bdb25-5941-4f92-9928-edb5ae1d6b9e","http://resolver.tudelft.nl/uuid:b20bdb25-5941-4f92-9928-edb5ae1d6b9e","A VCO-based ADC for MEMS microphones","Guo, Junwei (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pertijs, M.A.P. (mentor); Jiang, Hui (graduation committee); Muratore, D.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","MEMS microphones offer a significant scope to improve miniaturization, integration and cost of acoustic systems, poised to be the preferred microphone option for consumer electronics and medical advancements. A MEMS microphone needs a readout interface to convert the microphone’s output to a digital code for further processing, while its poor driving ability poses a challenge on the design of readout ADCs.
In this thesis, the theory and implementation of a high input impedance continuous-time sigma-delta modulator (SDM) for a MEMS microphone readout is presented. A pseudo-virtual ground feedforward structure is used to eliminate the internal feedback DAC and contribute to enhanced linearization. To meet the requirement of high input impedance, a Gm-C first integrator is employed, featuring a resistive source degeneration structure and a local Gm-boosting loop to enhance the linearity of the first stage. For the second stage, a VCO-based integrator and quantizer are employed, offering advantages including inherent multilevel quantization and intrinsic clock-level averaging (CLA). The second order SDM consumes an estimated power of 57μW, achieving an 83dB SNR and a 79dB SNDR in simulation, reflecting its efficiency in audio applications.","MEMS microphone; Sigma-delta modulator; Gm-C integrator; Gm-boosting loop; voltage-controlled-oscillator","en","master thesis","","","","","","","","2024-01-31","","","","Electrical Engineering","",""
"uuid:1ad53b1c-5a90-4b4d-ba37-bdb60358aad5","http://resolver.tudelft.nl/uuid:1ad53b1c-5a90-4b4d-ba37-bdb60358aad5","Cost-Optimal Transition of the Dutch Electricity System From 2030 to 2050: Development of a Myopic Electricity System Optimisation Model","Doppenberg, Ruben (TU Delft Technology, Policy and Management)","Bots, P.W.G. (mentor); De Vries, Laurens (graduation committee); Delft University of Technology (degree granting institution)","2023","An important process for navigating the uncertainties associated with the energy transition is power system planning. Energy system models are considered to be useful tools for supporting the power system planning process but are limited in several ways. Firstly, energy system models often have a high computational burden, limiting the possibility of conducting a large range of experiments. Secondly, to reduce their computational burden some energy system models have limited temporal detail, resulting in overestimation of VRE capacity in the system, underestimation of VRE curtailment, and undervaluation of flexible resources. Thirdly, many energy system models are considered to be ‘black boxes’ due to their high complexity and limited transparency. To address these problems related to models for power system planning, the Operation and Planning Model (OPM) has been developed in this study. The OPM is a myopic electricity system cost-optimisation model with a high level of temporal detail and a relatively low computational burden to allow for exploring the development of a national energy system, focussing on the interplay between demand, supply, and storage of electricity.
The OPM has been applied to a reference case and two targeted experiments on the development of the Dutch electricity system from 2030 to 2050. The reference case showed that in case natural gas-fired power plants with carbon capture and storage are included in the OPM, the electricity system becomes highly dependent on this technology for the provision of electricity and flexibility. If this technology is not included in the OPM, the maximum number of additional generation and storage units per year is shown to have a major impact on system development. In case this factor is sufficiently high to not limit investments, the system becomes mainly dependent on onshore wind for the provision of electricity and energy storage in underground hydrogen storage facilities for flexibility. In case this factor is limited to a lower level, the system develops a more balanced technology mix. Multiple recommendations are made for future research continuing on the work presented in this report.
1. Applying the OPM to more experiments can result in more in-depth insights into factors influencing the development path of the Dutch electricity system. This could entail analysing scenarios with variations of the costs for the different technologies to assess under which cost levels the system develops a favourable or unfavourable technology mix.
2. The spatial scope of the OPM can be expanded to assess the importance of cross-border trade for ensuring system reliability and gaining insights into the co-evolution of interconnected electricity systems.
3. The demand side is assumed to be inflexible in the OPM. Including demand side flexibility can result in a more accurate view of the electricity system's development between 2030 and 2050.
4. The used time series data is based on a single year. An alternative approach is suggested in which time series data from multiple years is used which would better represent that perfect forecasting of capacity factors and electricity demand for a future year is not possible.","Optimisation modelling; Electricity system; Energy system modelling","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:2d93abf3-30f4-4160-8fa2-6654a1b01fcb","http://resolver.tudelft.nl/uuid:2d93abf3-30f4-4160-8fa2-6654a1b01fcb","CFD simulation to analyze the added mass and drag behavior of a semi-submersible wind turbine floater when subjected to a forced oscillatory motion","Salcedo Velasquez, Santiago (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Wind Energy; TU Delft Aerospace Engineering)","Ramesh Reddy, L. (mentor); Viré, A.C. (mentor); Colomés, Oriol (graduation committee); Delft University of Technology (degree granting institution)","2023","Sustainable and renewable energies are essential in achieving climate targets set by the Paris Agreement and Sustainable Development Goals (SDGs). Floating offshore wind turbines (FOWTs) represent an innovative technology with considerable potential to contribute to these goals. However, being a relatively new technology, FOWTs pose challenges that must be addressed to enhance their development. To speed up FOWT installation and optimize their design, accurate numerical simulation tools are essential, particularly mid-fidelity models, which use less computational time but require precise hydrodynamic characteristics to be tuned. These tuning parameters are obtained with experimental tests or with Computational Fluid Dynamics (CFD) simulations.
This thesis aims to analyze the added mass and drag coefficients of the MaRINET2 semi-submersible wind turbine floater when subjected to forced oscillatory motion, utilizing a validated CFD model. To achieve the objective, a nonlinear Navier Stokes numerical model was developed within the open-source CFD software OpenFOAM. The interFoam solver was employed for the simulations. A mesh convergence study identified an optimal mesh configuration, balancing computational efficiency with result accuracy. Two types of simulations were conducted: free decay simulations for model validation against University of Strathclyde (UoS) experimental data and forced oscillatory simulations to compute added mass and drag coefficients under varying oscillation parameters.
It was found that heave added mass is sensitive to both oscillation amplitude and period, while heave drag coefficients displayed minimal influence at shorter periods. For high-amplitude oscillations, surge drag coefficients remained stable across oscillation periods, yet longer periods increased coefficients for
mid and low amplitudes. Pitch added mass coefficients remained unaffected by oscillation amplitude but increased with longer periods. Comparing these hydrodynamic parameters with two reference papers revealed both similarities and discrepancies in trends. It is challenging to attribute these discrepancies
only to floater geometry due to the lack of specific experimental data for this floater.","Semi-submersible floater; FOWTs; CFD; added mass; drag coefficients; free decay simulations; forced oscillations simulations; OpenFOAM","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:8c9c976a-2ed6-45a6-b2bd-85e1dfb4ae60","http://resolver.tudelft.nl/uuid:8c9c976a-2ed6-45a6-b2bd-85e1dfb4ae60","Perceived risk of interaction with e-bikes","Han, Lu (TU Delft Civil Engineering & Geosciences)","Farah, H. (mentor); Afghari, A.P. (mentor); Nordhoff, S. (mentor); Delft University of Technology (degree granting institution)","2023","E-bikes have gained global popularity due to their environmentally friendly and sustainable attributes, as well as their ability to provide fast speeds and power assistance. However, the increasing popularity of e-bikes has introduced conflicts and crashes with other road users, especially the interaction with conventional bikes, as e-bikes and bikes share the same cycling infrastructure. To study the perceived risk of interaction with e-bikes, this research delves into the various factors, in terms of traffic environment, bicycle type, and individual factors.
This research has used the video-based survey method to measure the perceived risk of cyclists and presented traffic environment and bicycle types in hypothetical traffic scenarios with pre-recorded videos. A questionnaire is designed to investigate participants` perceived risk of hypothetical bicycle interactions and their personal information on demographics, cycling experience, competence of riding skills, cycling behaviors, and expectancy on e-bikes. Moreover, the perceived risk is measured by two items separately: the rate of perceived risk and the likelihood of being involved in a crash. After recruitment and data collection, the effects of various factors have been estimated by the random-effects ordered logit model.
Results implied that compared to interaction between conventional bikes, riding on an e-bike when encountering a conventional bike decreased the perceived risk. Moreover, riding at peak hours and having a conflict with left-turning cyclists was found to increase the perceived risk, while riding at a large intersection was found to decrease the perceived risk. In terms of individual factors, the experience of bicycle crashes and the preference to use an e-bike were found positively related to the perceived risk. While the competence of cycling skills and age were found negatively associated with perceived risk. Additionally, it was found that traffic environment factors were more prominent in predicting the rate of perceived risk, while individual factors had a stronger influence on predicting the likelihood of being involved in a crash.
These findings provide insights into the influence of e-bikes in shared cycling spaces, underscore the importance of traffic management and safety measures in crowded bicycle traffic, and emphasize the significance of intervention and educational initiatives for cyclists to decrease the perceived risk and enhance cycling safety.","Interaction with e-bike; Perceived risk; Cycling safety; Video-based survey","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:32b38b40-c9d3-4223-b3c6-af5fc2930d07","http://resolver.tudelft.nl/uuid:32b38b40-c9d3-4223-b3c6-af5fc2930d07","Security with New Products: A case study analysis on innovation portfolio management at the Dutch Ministry of Defense","Mouton, Sam (TU Delft Technology, Policy and Management)","Veeneman, Wijnand (mentor); Smit, A.C. (mentor); van der Eerden, M.E. vd Eerden (graduation committee); Delft University of Technology (degree granting institution)","2023","(Innovation) portfolio management literature is extensive but barely touched upon in the public context. Portfolio management is instrumental in resource allocation and strategic decision-making, directing investments toward strategic priorities. This thesis explores how innovation portfolio management (IPM) is performed at the Dutch Ministry of Defense, and a comparison is made with private and public sector literature available.
Key findings illuminate pronounced disparities between the MoD’s IPM methodologies and those established in the private sector. Notably, the MoD’s IPM framework places subjective risk-reward metrics at the forefront of decision-making, diverging from conventional private sector emphasis on monetary considerations. Furthermore, the MoD’s IPM approach primarily revolves around project-level evaluations, constraining portfolio-level prioritization decision-making. The conspicuous absence of a articulated innovation strategy and the decentralized nature of portfolio oversight serve as additional distinguishing features of the MoD’s IPM practices. Moreover, the MoD’s unique procurement model, which relies extensively on external development, and its innovation strategy, predominantly shaped by market dynamics, set it apart from IPM practices commonly observed in the private sector. Existing public sector literature has provided valuation methodologies across diverse sectors;
however, these contributions have often neglected to provide a comprehensive under standing of how IPM is executed and have been relatively under-referenced. Within the MoD, this thesis reveals that the valuation of IPM, while exhibiting variations among different departments, predominantly relies on multi-criteria analysis (MCA) with a retrospective focus. Notably, subjective measures such as relevance and urgency are employed as yardsticks for assessing project value. This research enhances our understanding of IPM in the public sector and underscores the need to further explore and refine IPM methodologies within public organizations. It highlights the distinctive characteristics of IPM within the MoD and emphasizes the importance of aligning IPM practices with organizational objectives and strategies to optimize resource allocation and decision-making. With the results of this thesis, public entities have guidance on how to organize portfolio management and how prioritization
can be performed.
This research addressed the challenge of flood protection in the Geul catchment. It focuses on studying the impact of UNbSs and developing a methodology to select, model, and assess their performance in the catchment. This has been done by answering the following questions:
Which Urban Nature-based Solutions are suitable for the Geul catchment?
What is the hydrological effect of these Urban Nature-based Solutions locally?
What is the hydrological effect of Urban Nature-based Solutions at catchment scale?
This research followed a three-step workflow in line with the research questions. Firstly, the study focused on an assessment of neighbourhood types in the catchment and selecting suitable UNbSs for the Geul catchment. Based on this assessment, UNbS measures were chosen for their compatibility with these neighbourhoods. Next, this research analyzed the local effects of the implementation of these measures into the chosen neighbourhoods using the Climate Resilient City Tool. The final step involved an assessment of the hydrological impact of UNbSs on catchment scale. This was achieved by converting the previous results to wflow parameters.
The research succeeded in establishing a workflow for modeling UNbS impact at the catchment scale. It involved selecting UNbSs for three neighborhood types, resulting in the selection and implementation of green roofs, water roofs, permeable pavement, retention ponds, removing pavement to plant green and bioswales.
Locally, the study found that permeable pavement and bioswales were most effective for increasing storage capacity, evapotranspiration, and groundwater recharge. However, the overall order of magnitude for all measures remained consistent across neighbourhoods. Considering the total storage capacity increase, the order of magnitude was found to be between 34- and 39-mm storage equivalent over the total surface area of the neighbourhoods.
On a catchment scale, UNbS implementation resulted in a discharge reduction ranging from 1.71% to 3.10%, with a more pronounced effect upstream. Three neighbourhood scenarios exhibited minimal differences, all below 0.1%, which is considered insignificant. Absolute discharge reduction consistently followed patterns across low and high discharge periods, with more substantial reductions during peak discharges. However, the percentage difference between original and altered discharge was found to be similar across all periods, making this trend less evident.
Based on these results, the research proposed the following five recommendations regarding future research and implementation: 1) improve model transparency and sensitivity analysis, 2) consider practical implementation challenges, 3) refine typology mapping and data, 4) evaluate Nature-based Solutions in diverse landscapes and 5) promote the role of UNbSs in climate resilience.","Urban Nature-based Solutions; Geul; wflow_sbm; CRCTool; Catchment; Hydrological modelling","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","","50.864460, 5.832190"
"uuid:b15d8ca0-ef54-4801-bc04-64818de3abaf","http://resolver.tudelft.nl/uuid:b15d8ca0-ef54-4801-bc04-64818de3abaf","Design of a high-speed 20,000 TEU nuclear container vessel","Leurs, Zeno (TU Delft Mechanical, Maritime and Materials Engineering)","Gelling, J.L. (mentor); Visser, K. (graduation committee); de Vries, Niels (mentor); Delft University of Technology (degree granting institution)","2023","This thesis delves into the intricate process of formulating an answer to the pivotal research question:
""What are the design characteristics of an economic high speed nuclear container vessel?""
The report systematically unfolds in six chapters, primarily focusing on a comprehensive literature study.
The exploration begins with an in-depth analysis of various nuclear reactors, emphasizing aspects such as load following, capital costs, fuel expenses, operational and maintenance costs, and decommissioning expenditures. Key challenges in implementing these reactors within ship designs are scrutinized, including considerations of safety, location, and refueling intervals.
Subsequently, the study investigates the intricate relationship between vessel speed and economic factors such as income, operational costs, and freight rates in liner shipping. Operational costs, especially fuel expenses, are found to significantly impact speed-dependent factors.
Concurrently, the impact of speed on hull shape and propulsors is evaluated, revealing a proportional increase in wave-making resistance at higher speeds and necessitating a reevaluation of power estimation methodologies.
The literature study is concluded with an assessment of three types of propulsors, where conventional propellers emerge as the preferred choice due to their efficiency, power range, and scalability.
The research then starts with the economic speed determination process, vital in shaping the vessel's design. This involves constructing a resistance curve based on a volume-scaled high-speed model vessel and factoring in components like CAPEX, OPEX, voyage costs, and freight rates. The study highlights the significant influence of freight rates on speed for all cases and underscores the viability of nuclear-powered vessels in achieving higher economic speeds due to lower fuel costs, especially over extended service lives.
With these foundational insights, the design process is initiated, emphasizing the optimal balance between speed, capacity, and real-world constraints. A scaled-down version of the nuclear concept vessel is developed, demonstrating a decrease in resistance while adhering to stability criteria. The resulting nuclear vessel design showcases a streamlined hull shape, minimal general arrangement alterations, and enhanced stability, with a notable preference for a three-propeller layout to optimize performance.
In conclusion, this study presents a concept design for a 20,000 TEU nuclear container vessel that achieves an increased economic speed, leading to design refinements in hull shape and propulsors. The research underscores the viability of nuclear propulsion in enhancing the efficiency of container shipping, providing valuable insights for future innovations in maritime transportation.","Nuclear power; Ship design impact; Container ships; Small Modular Reactors (SMR); Generation IV; Economic speed","en","master thesis","","","","","","","","","","","","Marine Technology | Ship Design","",""
"uuid:9ce89b5c-4b97-4ae0-bc57-cb9ef29b88bb","http://resolver.tudelft.nl/uuid:9ce89b5c-4b97-4ae0-bc57-cb9ef29b88bb","Instance Attribution in Information Retrieval: Identifying and Selecting Influential Instances with Instance Attribution for Passage Re-Ranking","Hacipoğlu, Sara (TU Delft Electrical Engineering, Mathematics and Computer Science)","Anand, A. (mentor); Idahl, Max (graduation committee); Verwer, S.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","The complexity of deep neural rankers and large datasets make it increasingly more challenging to understand why a document is predicted as relevant to a given query. A growing body of work focuses on interpreting ranking models with different explainable AI methods. Instance attribution methods aim to explain individual predictions of machine learning models by identifying influential training data. However, despite their popularity, instance attribution methods are largely unexplored in the information retrieval context, particularly in text ranking. This thesis introduces an application of TracInCP, an instance attribution method, to infer the influence of query-passage training data on ranking model predictions. We propose and evaluate training data subset selection approaches based on influence. By analyzing patterns in influential examples, we find common query and passage characteristics in the training data that affect the model’s ranking decisions. Finally, we demonstrate possible challenges in using instance attribution to create smaller datasets for text ranking tasks.","Explainable AI; Information Retrieval; Instance Attribution; Passage ranking; Document ranking","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:c238601a-df06-454e-8b02-28dd9f49a46d","http://resolver.tudelft.nl/uuid:c238601a-df06-454e-8b02-28dd9f49a46d","Estimation of conditional CDFs using machine learning","Rang, Jugo (TU Delft Electrical Engineering, Mathematics and Computer Science)","Jongbloed, G. (mentor); Derumigny, Alexis (mentor); Delft University of Technology (degree granting institution)","2023","This paper presents a novel approach for the estimation of conditional multivariate cumulative distribution functions (CDFs) within a nonparametric framework. To achieve this, we introduce a binary random variable that indirectly represents conditional CDFs and construct a dataset by pairing input vectors with the binary variables. We developed a general approach compatible with various machine learning methods.
We have also developed an R package that facilitates the application of machine learning methods. This package leverages a range of machine learning models, including decision trees, neural networks, random forests, and bagging neural networks. Through systematic learning of the intricate relationships between the covariates and the binary variables, we effectively estimate conditional CDFs.
To enhance the accuracy and reliability of the estimated CDFs, we incorporate a rearrangement technique which transforms the estimated functions into monotonic representations, aligning them more closely with the target CDFs and mitigating potential inconsistencies [6].
Through simulations, we evaluate the performance of the estimation approach under various scenarios and assess the impact of sample size and correlation on estimation accuracy, using Mean Integrated Squared Error as a key performance metric. The results demonstrate the effectiveness and robustness of the methodology in estimating conditional CDFs, providing a valuable tool for capturing complex dependencies in multivariate data, with potential applications in risk assessment, finance, and environmental modeling.
The study combines multiple data collection methods including: interviews, observation, and document analysis. Analysis of the repair cafe community in Amsterdam revealed that the community currently consists of twenty-eight active repair cafes at the local level, plus the Repair Cafe International Foundation, which operates at a national and international level. Eleven repair cafes and the Repair Cafe International Foundation were visited and representative volunteers were interviewed during data collection between April and June 2023. By exploring the sociotechnical imaginaries in the repair cafe community in Amsterdam, this research shows that the community showcases features of alternative circular imaginaries going beyond the techno-economical focus that currently dominates circular economy thinking and implementation. The results reveal a shared vision of a repair society wherein product repair is normalised and involves a diverse and accessible repairing infrastructure. Additionally, the results show alternative circular performances, such as community-based, socially inspired, and neighbourhood-oriented activities. Besides the features of alternative imaginaries, the results also reveal several tensions between the present-day performances and future aspirations: such as disparities between the goal to collect data and an unwillingness to do so at the local level, a vision for collaboration with other parties, and limited networking at the local level in practise. The study proposes that these tensions point towards the fact that repair cafes provide spaces where future visions are confronted with present realities, leading to a plurality of initiatives at the local level. As such, the repair cafe community’s aspirations and performances reveal aspects of both the dominant techno-economic circular economy imaginary and an alternative imaginary centred around social interactions and local engagement. In conclusion, this research highlights the significance of considering social dimensions within the circular economy framework. While technological and economic aspects are pivotal, they must be balanced with a focus on community engagement, inclusivity, and citizen empowerment. The repair cafe community in Amsterdam serves as a noteworthy example of how bottom-up initiatives can contribute to a more sustainable and resilient future. However, the diversity of motivations and goals among local repair cafes, coupled with the varying degrees of alignment with the Repair Cafe International Foundation, may pose a challenge to the realisation of a unified vision. Stakeholders and policymakers therefore must foster collaboration and facilitate a shared understanding of the repair society’s objectives...","Circular economy (CE); community repair; repair cafe; sociotechnical imaginary; Amsterdam; bottom-up initiative","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:4e3e15c3-5ad4-47be-9063-45a6f914ca7c","http://resolver.tudelft.nl/uuid:4e3e15c3-5ad4-47be-9063-45a6f914ca7c","One-dimensional turbomachinery models for pumped thermal energy storage systems","Singhal, Akhilesh (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy)","Klein, S.A. (mentor); Pecnik, Rene (mentor); Hooman, K. (graduation committee); de Servi, C.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The rise in temperature attributed to human CO2 emissions and the escalating energy needs of society necessitates the development of clean energy production. Solar and wind energy, both renewable sources, have emerged as cost-effective alternatives to conventional fossil fuel systems. They now account for a substantial portion of the world's electricity generation (IEA, 2022). However, their intermittent nature poses a challenge to their reliability. To overcome this, the implementation of grid-scale energy storage systems is crucial. Such systems can store excess energy produced during peak periods and release it during low-generation or high-demand periods, ensuring a stable and dependable power supply to the grid.
Pumped Thermal Energy Storage (PTES) is one such type of promising grid-scale storage solution based on the concept of storing electricity in the form of heat. These systems are not reliant on rare earth metals, are not restricted by geographical location, and are relatively economical over their lifetime. They employ a heat pump cycle for charging and a heat engine cycle during times of discharge. Often, in the thermodynamic modelling of PTES systems, a fixed value of turbomachinery efficiency is assumed. This approach holds well for the first estimate of performance, but for better accuracy and further analysis, meanline models could be used to arrive at the efficiency value and preliminary geometric design. Hence, this work presents a method for developing meanline models for centrifugal compressors and radial inflow turbines. Modelling techniques and guidelines from the literature are noted and presented here. The accuracy of these models is dependent mainly on the loss models used. Using suitable models selected from the literature, a fair agreement was found between the meanline model's prediction and experimental data from open literature, validating the methodology.
An essential function of energy storage is to provide load flexibility, meaning its charging and discharging cycles must adjust to match the net load curve. As a result, the turbomachinery would need to operate under off-design conditions to meet these demands. Therefore, this report introduces an approach to extend the PTES model by Radi (2023) for off-design operation based on turbomachine performance.
For the time being, these maintenance activities are carried out with the aid of trailing suction hopper dredgers (TSHDs), which emit greenhouse gases during dredging operations.
As the world continues to prioritize sustainability, the field of marine engineering is no exception.
The Dutch Rijkswaterstaat goal is to reduce these emissions to zero by 2030 at the latest.
For this reason, C-Job Naval Architects has designed the Autonomous Low Energy Replenishment Dredger (ALERD) to meet the anticipated increase in Dutch coastal maintenance while simultaneously providing sustainable and cost-effective solutions for such maintenance work.
The development of autonomous replenishment dredgers has to be further investigated in order to determine the energy requirements and if it is a worthy future investment.
Regarding the research’s approach, first, the environmental conditions need to be determined in order to identify the environment in which these submarines will operate and the relevant destabilizing forces that they create. Ocean currents, ocean waves, as well as seabed interaction with submarines and generally underwater vehicles will be reviewed with respect to modelling, as they can have a significant impact on stability and manoeuvrability.
In order to counteract the developed forces, forward thrusters, vertical tunnel thrusters, and the trim tanks will be modelled.
Investigating the aforementioned topics, the simultaneous research about the modelling of the environmental forces and the seabed interaction on underwater vehicles and the existence of a model that can estimate the energy consumption is recognized as a “gap” in the literature.
As a result, a simulation model for an underwater vehicle, especially a submarine that operates in shallow water, that takes into account all the previously mentioned destabilizing factors and counteracting forces, will contribute to the advancement of a model that effectively estimates the required energy, using Matlab, Simulink.
In the developed model, three PID controllers in the three different degrees of freedom, surge, heave and pitch, are applied.
They control the rpm for the forward thrusters and the vertical tunnel thrusters in surge and heave respectively.
The PID controller in pitch direction adjusts the water mass that needs to be transferred from one trim tank to another in order to handle the pitch motion.
The ALERD is used as a case study for the generated model.
At the present phase of the ALERD concept, detailed hydrodynamic characteristics remain unavailable. The undertaking of CFD simulations or scale-model tests is deemed undesirable due to their perceived expense and time-consuming nature, particularly given the incomplete status of the ALERD's key parameters.
The mathematical equations that describe the former are modelled in Matlab, Simulink to perform time-domain simulations.
The ALERD has an unique operational profile in which it operates underwater in all three of its operational modes: transit, dredging, and discharge. This operational profile for the ALERD is based on conventional dredgers working in coastal replenishment along the Dutch coastline. Each operating mode has its own set of criteria, such as the goal depth, desired speed, and operational time.
It is worth noting that given the operational profiles for the velocity in surge, the depth and the pitch, the ALERD follows them successfully.
Nevertheless, the presence of the waves cause an oscillating behavior of the ALERD in heave and pitch directions which cannot be eliminated if only PID controllers are utilised.
The PID controllers fail to cancel out the oscillations by themselves.
Since the implementation of a different control strategy is not in the scope of this project, a different solution needs to be explored to estimate the required energy.
For this reason, a low-pass filter is applied at the resultant rpm of the PID controller in heave direction in order to make the oscillating behaviour of the rpm converge to a value, and calculate the required thrust.
As a result, the consumed energy for a full dredging cycle is determined per actuator.
It needs to be mentioned that when factoring in all environmental conditions and seabed interactions, the ALERD exhibits a maximum overshoot of 6.25\% when descending to a depth of 8 meters. This deviation is not considered critical, as it poses no risk of grounding.
In the case of operation at a depth of 15 meters during dredging conditions, the combined maximum overshoot, which accounts for both the depth controller and pitch controller, is estimated at 7.3\% (0.58m). This level of overshoot is considered safe, given that the ALERD has a clearance of 2 meters.
Regarding the energy results, it is concluded that the forward thrusters consume 4192kWh, the vertical tunnel thrusters 340kWh and the pump for the trim tank system 0.2kWh.
These results cannot be verified since the ALERD is a unique concept design and there are no available data of similar submarine dredgers.
However the developed model can be used for the estimation of the required energy of similar designs that are in a preliminary design phase.
Furthermore, the maximum demanded power for the thrusters and the pump can be determined using the model, in order to define the size of the necessary machinery.
The concluding recommendations mostly revolve around the functionality improvement of the tool, in order to increase its quality and generate models of higher accuracy.
An adaptive PID controller is recommended to be implemented, since the gains for the PIDs can change dynamically. By using adaptive coefficients, a PID controller can be made more robust and versatile, able to handle nonlinearities, and changes in system dynamics.
Furthermore, a state-observed is suggested to be applied in combination with the already existed PID controllers in order to estimate and compensate for unknown environmental forces in real-time and eliminate the oscillating behaviour.
Last but not least, the hydrodynamic coefficients should be improved to the actual hull shape of the submarine in further investigation.","","en","master thesis","","","","","","","","2025-10-24","","","","Marine Technology | Ship Design and Marine Engineering","",""
"uuid:dfe70a09-2e03-4460-b5cd-3fd38aa65733","http://resolver.tudelft.nl/uuid:dfe70a09-2e03-4460-b5cd-3fd38aa65733","Exploring Modelling Assumptions and their Impact on Extreme Discharges for the Meuse Catchment","Taylor, Elizabeth (TU Delft Civil Engineering & Geosciences)","Ragno, E. (mentor); Hrachowitz, M. (graduation committee); Bouaziz, Laurène (graduation committee); Couasnon, Anaïs (graduation committee); Delft University of Technology (degree granting institution)","2023","Floods are the most frequent natural disaster and due to climate change the frequency and intensity of these events are increasing. Therefore, it is becoming increasingly important to obtain accurate estimations of extreme discharges. Statistical modelling is widely used to estimate extreme discharges by fitting observed extreme discharges to an extreme value distribution. However, limited historical data makes it difficult to confidently model the tail behavior of extremes. Additionally, several modelling assumptions impact extreme discharge estimates including selection of the nonstationary method, extreme value distribution, parameter estimation method, and the impact of seasonality. In an effort to reduce uncertainties, a new method has been developed to derive design discharges for the Meuse in the Netherlands. This method, GRADE (Generator of Rainfall and Discharge Extremes) consists of three components: a stochastic weather generator, a hydrological model, and an extreme value analysis (EVA). However, the stochastic weather generator is not capable of producing daily rainfall that exceeds the range of historical data. Therefore, a physically based climate model, RACMO, is now being studied. RACMO is capable of generating 1,040 years of synthetic meteorological data that can be routed in a hydrological model to obtain 1,040 years of synthetic discharges. The physically based climate model makes it possible to capture the underlying physical processes of extreme events and the hydrological model can provide discharge information at locations where there are no observations. This thesis evaluates the impact various modelling assumptions have on estimated discharges using synthetic data generated by the RACMO through application of a case study in the Meuse.","Statistical Analysis; Extreme Value Analysis; Nonstationarity; Mann Kendall; Sen's Slope; Extreme Discharges; Meuse","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:e5eb894d-22f4-461a-a736-5b3c74fa42f0","http://resolver.tudelft.nl/uuid:e5eb894d-22f4-461a-a736-5b3c74fa42f0","Aircraft Tail-Specific Performance Modeling for Fuel Efficient Flight Operations in the Cruise Phase","Vossen, Frans (TU Delft Aerospace Engineering)","Sun, Junzi (mentor); Santos, Bruno F. (graduation committee); de Wilde, Jasper (mentor); Evertse, Christiaan (graduation committee); Delft University of Technology (degree granting institution)","2023","Fuel-efficient flight operations and improved Air Traffic Management (ATM) operations are identified as one of the main pillars in achieving net-zero CO2 emissions by 2050. While considerable research has focused on airspace management and ATM operations, flight operations as managed by airlines have received little attention.
Accurate aircraft/tail-specific performance modeling is crucial for identifying savings while existing models such as Euro-Control’s BADA and manufacturers’ book models remain too generic. Additionally, trajectories including optimal routes, altitudes, and airspeeds, must be determined to minimize fuel consumption. Emerging solutions leverage in-flight data connectivity and Machine Learning (ML) methods to provide pilots with real-time decision support. However, quantifying and validating saving potentials present challenges due to unpredictable variables and performance modeling complexities.
This thesis aims to address these challenges by developing a tail-specific performance modeling framework using high-fidelity flight data and ML methods. The framework identifies and corrects tail- and flight-specific biases from the flight data, allowing fuel savings to be identified on a per-flight basis in post-flight analysis. The tail-specific performance model shows different Maximum Range Cruise (MRC) speeds than generic values determined by the aircraft. The benefits emerging from these optimal speeds are determined by high-accuracy simulations of different cost index strategies on flight-specific and network-wide levels. Three cost index strategies are evaluated and compared to generic MRC operations. Savings in both fuel and time are observed of 75 kg and 93 s, 96 kg and 111 s, and 127 kg and 107 s, on an average per-flight basis. In conclusion, this research demonstrates the existence and magnitude of fuel and time savings by flying tail-specific cruise speeds compared to generic values determined by the aircraft.
In a simplified context, a box simulated the combustion chamber near the injector. A transverse jet was introduced through a lower wall hole, intersecting the incoming crossflow. Wedges on the upper wall generated induced shocks at varying distances from the jet exit. These experiments spanned different locations and strengths of shock impingements, all relative to the diameter of the jet's injection port hole.
The investigation aimed to comprehend how an additional shock wave influences mixing within the combustor. In the upstream scenario, the shock's proximity to the jet exit led to enhanced compression and the emergence of Kelvin-Helmholtz instability. Downstream impingement, on the other hand, disrupted the usual behavior of this instability. In both cases, the initial downward movement of fluid structures toward the wall was followed by ascent, expanding the region for crossflow-wall mixing downstream. Close-to-jet exit shock impacts intensified these effects, causing explosive disruptions and enhanced mixing.
Ultimately, the study revealed that closer and more intense shock impacts near the jet exit had a significant negative impact on volumetric energy, detrimental to combustion and propulsion potential. Optimal results were achieved when the shock impingement occurred farther downstream. The research also highlighted the need for improvements in simulation techniques and recommended further exploration of various parameters for comprehensive insights. This work serves as a foundation for future studies tailored to specific needs, promising more insightful outcomes.","Supersonic flow; Combustion; Numerical Simulation; CFD; High order methods; JISCF; Induced shock","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:f2e40066-8afd-40b5-9b6d-d11cdf159975","http://resolver.tudelft.nl/uuid:f2e40066-8afd-40b5-9b6d-d11cdf159975","Climate Adaptation in the Built Environment: A hollistic approach to barriers in the climate adaptation process","van der Linde, Dorine (TU Delft Technology, Policy and Management)","van Bueren, Ellen (mentor); Houwing, E.J. (graduation committee); Oerlemans, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:1ad9d0fe-0391-48f0-8da4-1241b6dfee82","http://resolver.tudelft.nl/uuid:1ad9d0fe-0391-48f0-8da4-1241b6dfee82","Machine Learning for IWT Ship Traffic Analysis (Object Detection vs. AIS Data)","Vadachennimalai Selvaraj, Suryaa (TU Delft Mechanical, Maritime and Materials Engineering)","Schulte, F. (mentor); Wenzel, P.A. (graduation committee); Pourmohammadzia, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Automatic Identification System (AIS) is used in the maritime domain to improve sea traffic safety by requiring vessels to broadcast real-time information such as identity, speed, location, and course. As it allows global monitoring of almost any larger vessel and has the potential to considerably improve vessel traffic services and collision risk assessment, AIS has been used in an increasing number of applications. This emphasizes why the quality of data transmitted is critical. We are also becoming more aware of the possibilities of spoofing or fabrication of AIS data, which has a direct impact on the dependability of AIS data. This study looks into comparing video surveillance with Automatic Identification System (AIS) data to detect data overlap or spoofing in the maritime environment. An object detection model was proposed and trained to fill the research gap mentioned above. Data from video surveillance and AIS were collected. A comparison of the results of object detection and AIS data was performed using a Python script. The analysis assisted in identifying data variations and understanding the potential and constraints of the current Algorithm. Work for the future is suggested to tackle the current constraints.","AIS data; Object detection; Anomaly detection","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:9dc46e2e-cfad-4ae6-97fc-448c7a8006ba","http://resolver.tudelft.nl/uuid:9dc46e2e-cfad-4ae6-97fc-448c7a8006ba","A Novel Neural Recording IC with Adaptive Gain Control for Wide-Dynamic Range Closed-Loop Neural Interfaces","Zhang, Remy (TU Delft Electrical Engineering, Mathematics and Computer Science)","Muratore, D.G. (mentor); Abdelgaliel, B.H.B.M. (mentor); Du, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Bidirectional neural recording ICs faces the challenge of simultaneous stimulation and recording. The recording IC should endure large stimulation artifacts while capturing weak neural signals excited by the stimuli. The stimulation artifacts can be as large as hundreds of millivolts, which can saturate the recording front end. Conventional neural-recording ICs use a low-noise-amplifier (LNA), a programmable-gain amplifier (PGA) followed by an analog-to-digital converter (ADC), leading to low power consumptions and great noise performances. However, the dynamic range (DR) is usually limited to 50 dB. State-of-the-art recording ICs using direct-conversion ADCs have been intro- duced to increase the DR. However, the typical power and area consumption for these architectures are exceeding the requirements for next-generation brain-computer interfaces. This work proposes a novel neural-recording IC system architecture with satu- ration prevention in presence of large stimulation artifacts. The proposed architecture consists of an AC-coupled boxcar sampler, switched-capacitor low-pass filter followed by a 10-bit asynchronous SAR ADC. The integrated voltage at the output of the boxcar sampler is sampled by the ADC and monitored by a level-cross detection block. Based on the output of the level-cross detection block, the integration time, and thus the gain, can be tuned to different configurations pre-defined in a look-up table (LUT). The additional noise penalty due to noise-folding from decreasing the integration time is compensated by oversampling and averaging. The proposed system architecture is partially imple- mented at transistor level while the ADC and digital blocks are modeled using verilog-A as a proof-of-concept. The analog front-end achieves a DR of 69.5 dB with a peak-to-peak maximum input amplitude of 180 mVPP and a typical ENOB of 8.01 bits.","Bidirectional neural interfaces; Artifact mitigation; Wide dynamic range; Boxcar sampler; ADC; Adaptive Gain; OTA; Saturation prevention","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:7e3dee2a-e9e3-4576-9f4a-404fa0406f05","http://resolver.tudelft.nl/uuid:7e3dee2a-e9e3-4576-9f4a-404fa0406f05","Exploring MINI's contribution to urban ecosystems: Igniting strategies on heat wave mitigation","Roth, Jutta (TU Delft Industrial Design Engineering)","Cila, N. (mentor); Kim, E.Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","This executive summary provides an overview of the key findings and insights presented in the master's thesis named ""Exploring MINIs contribution to urban ecosystems. Igniting Strategies on heat wave mitigation."". The study investigates the role of the brand MINI, in addressing the pressing issue of urban heat waves and its transformation to an ecosystemfocused contributor. With systemic design, a transition of viewpoint is offered in this thesis, and an additional planet centered approach is used to find new solutions which take the entanglements of the city into consideration. Urban heat waves have become a critical concern within the context of global climate change and urban development. Within the automotive industry, the heat generated by vehicles in cities has been identified as a blind spot that has received insufficient attention in recent decades. With this thesis a possible strategy with examplary interventions to address this gap is derived to make a meaningful contribution. This executive summary outlines the different chapters which include strategies, solutions, and recommendations presented in the thesis to address this challenge. After the introduction and table of figures the following chapters are: Chapter 3 – Background: This chapter introduces the urban heat wave problem and its impacts on urban ecosystems. It highlights the pivotal role of MINI in recognizing the challenge and aligning its strategies with the broader initiatives of urban development. Additionally, a comprehensive trend and context analysis, identifying the crucial role of automobiles in exacerbating this problem. Chapter 4 – Design Process: Chapter 4 explains the Design Process of this Master Thesis in three diamonds, which involves exploration through research, envisioning potential futures and third, defining a strategy for action. Chapter 5 – Stakeholder Analysis: In this chapter the outcome of Interviews conducted with both citizens and experts are presented, to shed light on the system’s issues and deepening the understanding of the problems at hand. Chapter 6 – Co-creation: To foster a co-creative approach, three workshops to facilitate dialogue and solution generation in collaboration with stakeholders where conducted. Chapter 7 – Synthesis: In a final Synthesis a Strategy for MINI is presented with the goal to shift the mindset of citizens and vehicle users through a three step approach. The first step involves raising awareness on heat waves by providing information. The second step focuses on developing vehicles that minimize heat generation. The third and most transformative step encourages a change in consumer mindset towards a concept where individuals gain access to mobility in exchange for contributing to society. The overarching goal is to reduce heat in cities effectively and contribute to the larger city ecosystem. Chapter 8 – Conclusion: By aligning MINIs strategy with the city goals, advocating for sustainable mobility, and collaborating with stakeholders, MINI can strive to create cooler, more sustainable urban environments for all. With the transition of MINI towards an ecosystem mindset and active contribution to the fight against urban heat waves, a transformation of users and citizens mindset can be achieved. The democratization of mobility through collaboration with city governments, citizens, and relevant stakeholders to determine the optimal vehicle solutions is recommended. The recognition that there is no one-size-fits-all solution underscores the need for a democratic approach.","systemic design; Strategic Roadmap; Heatwave; co-creation","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:f7da5090-1990-4cab-8f3e-b900a8c8b208","http://resolver.tudelft.nl/uuid:f7da5090-1990-4cab-8f3e-b900a8c8b208","Exploring the Concept of a Book & Claim Based Carbon Insetting System for the Maritime Shipping Industry at the port of Rotterdam","Düzgün, Osman (TU Delft Technology, Policy and Management)","Renes, Sander (mentor); Bruninx, K. (graduation committee); van den Berg, N.G. (graduation committee); van de Kaa, G. (graduation committee); Bergsma, J.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Alternative fuels can help the maritime shipping industry to lower its emissions. However, there are commercial risks that surround the adoption of these cleaner fuels. A book & claim based carbon insetting initiative could reduce these commercial risks and accelerate the decarbonization of the maritime shipping industry. Carbon insetting is the process of funding an emission reduction within the sector where the emissions that are being compensated originate from, through the purchase of certificates.
Book & claim facilitates the generation and trade of certificates for certain products & their properties. Combining carbon insetting with the book & claim chain of custody concept enables the generation and trade of scope 3 CO2 certificates for shippers when vessels bunker alternative fuels. Effectively enabling shippers that want their goods to be transported sustainably to pay the price premium for using alternative fuels, thereby facilitating change and sustainability in maritime shipping, without a change in logistics.
The purpose of this master’s thesis is to understand how the concept of book & claim based carbon insetting can enhance the competitive position of the port of Rotterdam for the bunkering of alternative fuels. By simultaneously understanding what potentially the most effective way is to implement this concept at the port. This is achieved through a literature review, together with a workshop conducted for Port of Rotterdam Authority (PoRA) employees.
The workshop results show that the participants have different views on how a book & claim based carbon insetting initiative should be approached. The participants’ answers covered a range, lying on two different ends of the spectrum for potential starting points.
A book & claim based carbon insetting initiative at the port of Rotterdam can potentially enhance the port’s competitive bunkering position for alternative fuels. Implementing this concept in the port would create a clear demand stream for alternative fuels, which will enable the scaling of the supply of alternative fuels within the port, improving their affordability.
Three frameworks were identified that each have their own approach to implementing book & claim based carbon insetting in the maritime shipping industry. These frameworks were evaluated based on six criteria, which were formulated from the results of the workshop. All three frameworks were evaluated for two scenarios based on the two different starting points addressed by the workshop participants.
Based on the above research results, a business strategy has been formulated for the PoRA regarding book & claim based carbon insetting. This proposed business strategy consists of general recommendations and a range of possible approaches the PoRA could take to support the concept.
Book & claim based carbon insetting for the maritime shipping industry is still in its infancy. It has the potential to lower the commercial risks surrounding the adoption of alternative fuels, accelerating the development of a market for sustainable shipping. In turn, accelerating the decarbonization of the maritime shipping industry while potentially enhancing the competitive bunkering position of the port of Rotterdam for alternative fuels if such an initiative is set up in the port.
The main goal of this research is to conduct a comprehensive exploration of various parameters, with a particular emphasis on hydrodynamic factors, and their impact on the structural and aerodynamic performance of semi-submersible floating wind turbines. To achieve this, an extensive sensitivity analysis approach is employed, utilizing the multi-fidelity model OpenFAST. The simulations are conducted on a semi-submersible floating wind turbine, specifically the one used in the OC4 experiment, featuring the 5MW NREL wind turbine. The Elementary Effects (EE) method is employed in this study to assess the significance of various factors. These factors include the hydrodynamic drag coefficients, wave height, peak wave period, wind speed, wind direction, current speed, current direction, and turbulence intensity. Their influence on the Damage Equivalent Load (DEL) of different loads acting on the floating offshore wind turbine (FOWT) is thoroughly examined.
The analysis conducted in this study has unveiled several key findings. The most influential parameters identified are the current speed, primarily impacting mooring line tension, and the significant wave height, which exhibits a balanced effect across various outputs, including hydrodynamic loads, tower loads, and rotor dynamics. Additionally, turbulence intensity emerges as a significant factor, particularly concerning rotor thrust and torque.
When employing the Morison equation, the order of parameter significance remains consistent with the hybrid theory (Potential + Drag). However, it is noteworthy that the added mass coefficients carry greater significance compared to the drag coefficient. Furthermore, simulations conducted using probability distributions for different locations confirm that wave height consistently ranks as the most significant parameter. However, the overall significance may vary and decrease in more severe environmental conditions.
The comparison with the OC3 spar platform revealed a similar pattern when examining the influence of current speed and significant wave height. Nonetheless, the simple hydrodynamic drag coefficient used for the whole platform also displayed significance, emphasizing the sensitivity of the spar platform loads to this modelling parameter. This significance is much higher than the corresponding one of the semisubmersible platform.
The research underscores it is imperative to mitigate the uncertainty associated with various parameters. This can be achieved through experimental verification and establishing correlations between modelling parameters and the specific conditions being simulated. Additionally, given that the most influential parameters are related to external conditions, it becomes crucial to simulate conditions that closely resemble the anticipated deployment location for the floating offshore wind turbine (FOWT). By doing so, the accuracy and reliability of the simulations will be enhanced to better inform FOWT design and deployment strategies.
We do this by setting up different PWA and non-PWA control laws for two inverted pendulum systems and training several neural networks to approximate these control laws. We first observe a significantly better performance in approximating the PWA control laws compared to the non-PWA control laws. When varying the activation functions of the neural networks we find that for PWA control laws a MMPS activation function can offer a better performance, but it is not guaranteed for all MMPS functions. We also find that networks with custom max-plus layers can offer a similar performance on approximating control laws compared to networks with traditional layers. When investigating what sampling strategy is most beneficial we find comparable performance with a stratified sampling strategy and a uniform sampling strategy. Depending on what areas of the control law you want to capture with the most detail, you can choose the most viable sampling strategy. With this, we have researched various factors that influence the performance of approximations of MPC control laws. The thesis ends with a recommendation to research even more factors that might offer even better approximations.","MMPS; max-min-plus-scaling; Neural Networks; Model predictive control; MPC","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:b003bd43-1479-48db-bcd0-eeb00975490e","http://resolver.tudelft.nl/uuid:b003bd43-1479-48db-bcd0-eeb00975490e","Mars in-situ Propellant Production: Design and Modeling of an in-situ Propellant Production Plant on Mars for SpaceX's Starship Rocket","Batacchi, Tommaso (TU Delft Mechanical, Maritime and Materials Engineering)","de Jong, W. (mentor); Delft University of Technology (degree granting institution)","2023","Embracing the innate human desire to explore and expand our horizons, Mars presents an unparalleled opportunity to secure the future of our species and inspire generations to come. Aiming to facilitate a sustainable human presence on Mars, this master thesis focuses on the design and modeling of an in-situ rocket propellant production plant to fully refuel SpaceX's Starship for the return mission to Earth. The study identifies the best design practices for the in-situ resource utilization (ISRU) rocket propellant production setup and examines its integration with state-of-the-art technologies. The main results include an exergy analysis of the plant to determine its second-law efficiency, and the methodology necessary to conduct an exergy analysis on a different planet with different standard conditions. Furthermore, this work investigates material recycling, sensitivity analyses, exploitation of the Martian environment, and heat recovery opportunities within the plant's modeling. Three essential key performance indicators (KPIs) have been spotlighted to assess the plant’s performance. The first KPI scrutinizes the equipment mass to propellant mass ratio, providing invaluable insights into the economic efficiency of In Situ Propellant Production (ISPP). This ratio reveals that ISPP contributes to an 88.9% reduction in the mass that needs to be transported to Mars, highlighting the economic feasibility of the thesis. The second KPI is the Specific Energy Consumption (SEC) of the plant, standing as a measure of the plant's efficiency. The SEC, calculated by dividing the total power input by the sum of CH4 and O2 mass flows at the outlet, is found to be 6.52 kWh/kg. Furthermore, the plant’s second-law efficiency is examined, revealing how the plant's performance deviates from its theoretical maximum. The second-law efficiency, a significant indicator of the plant's ability to convert high-grade input energy into useful output without substantial degradation, is found to be 62.7%. Lastly, the work addresses the plant's dynamic behavior across Martian seasons, employing data derived from the Curiosity Rover. The focus here is to understand how the plant’s parameters, especially those interacting with the Martian environment, are impacted by diurnal and seasonal cycles. A special operational philosophy is adopted to ensure stable operations amidst these fluctuations. Through these findings, this research offers a thorough understanding of the current best practices and technologies for designing and modeling a propellant production plant on Mars. The output of this work is a model of a full-scale rocket fuel production plant. In conclusion, the insights provided by this work are of great significance for the future exploration and colonization of Mars, as they contribute to the development of a sustainable ISRU rocket propellant production plant, which is a crucial development necessary to guarantee return missions to Earth, thereby making sustained human presence on Mars a closer reality.","MARS; ISRU; PROPELLANT; ISPP","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:0c06b852-e78e-4ff9-8a58-3a9ba40cd166","http://resolver.tudelft.nl/uuid:0c06b852-e78e-4ff9-8a58-3a9ba40cd166","The influence of coarse content on the erosion of clay lumps during dredge pipe transport","van Beek, Mats (TU Delft Civil Engineering & Geosciences)","Dieudonné, A.A.M. (mentor); Kirichek, Alex (graduation committee); van Paassen, LA (graduation committee); Hoffmann Jauge, CA (graduation committee); Delft University of Technology (degree granting institution)","2023","In dredging and land reclamation, there are growing economic and environmental pressures to make beneficial use of fine-grained/cohesive soils. Due to these pressures, there is renewed interest in researching ways to optimise the beneficial use of fine-grained soils in dredging and reclamation.
Stiff cohesive clayey soils soil break up into lumps rather than fluidising when being dredged from the sea floor. These lumps are hydraulically transported in the dredge pipe, and erode to a spherical shape due to the forces of scour and abrasion. The presence of clay lumps has a large impact on various parts of the dredging process, such as clogging of dredging equipment, head loss in dredge pipes, wear of equipment, bulking factor, and geotechnical properties (strength, consolidation) of a reclamation. Accurately estimating the presence and prevalence of clay lumps in early phases of a dredging project can significantly improve project outcomes.
The presence of clay lumps is for a large part dependent on the degree to which clay lumps erode during transport through dredge pipes. Currently, methods for predicting this consist of practical experience or design charts, and mostly result in a binary prediction rather than a quantified prediction. Alternatively, physical tests can lead to more quantified predictions, but are expensive and time-consuming. In order to improve predictions of clay lump erosion in the dredge pipe, an analytical approach may be taken. This could consist of a clay lump erosion model (CLEM) equation, representing remaining solid lump mass over time.
Inputs of a CLEM would consist of model parameters that can be correlated to soil parameters and other factors such as dredge pipe transport velocity. These correlations can be found by using an index test apparatus (clay lump erosion test) that simulates dredge pipe transport of clay lumps. Such a test can be used quantify the relationship between a soil parameter and clay lump erosion.
There is no consensus as to which equation is the most suitable as a CLEM, and no quantified correlations exist between soil parameters and clay lump erosion. In this thesis, a suitable CLEM equation is identified and the influence of coarse content (%>63 microns) on clay lump erosion is studied. 35 clay lump erosion tests were performed on various soils. Using this data, a suitable CLEM is selected consisting of an exponential decay curve, where a single model parameter c represents erosion resistance. For 17 of the clay lump tests, soil lump samples of varying coarse content were prepared by mixing clay and sand in various proportions. Fitting the selected CLEM to the results of these tests resulted in a clear relationship between coarse content and c. Lower coarse content corresponds to a higher c, indicating a higher erosion resistance.
These results consist of a starting point in a broader framework for prediction of erosion of clay lumps in the dredge pipe based on soil parameters and dredge pipe transport factors. In order to use a CLEM to make predictions of clay lump erosion in actual dredging projects, the relationship between clay lump erosion test results and clay lump erosion in actual dredge pipes must be studied.
Besides selecting a suitable CLEM equation and studying the relationship between coarse content and clay lump erosion, this research also resulted in a broad compilation of knowledge on clay lump erosion. Also, valuable experience was gained in conducting an experimental study on the relationship between a soil parameter and clay lump erosion in the dredge pipe.","clay lumps; clay balls; Dredging; dredge pipe; coarse content; clay lump erosion; degradation; attrition; Slurry","en","master thesis","","","","","","","","2025-10-20","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:410a2eb5-df0b-44c5-b8ec-b774a57d1914","http://resolver.tudelft.nl/uuid:410a2eb5-df0b-44c5-b8ec-b774a57d1914","Uplift Prevention Analysis of a Water Retaining System Subjected to a Large and Rapid Drawdown","Relats Martinez, Mònica (TU Delft Civil Engineering & Geosciences)","Broere, W. (mentor); de Nijs, R.E.P. (graduation committee); Voorendt, M.Z. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research addresses the complex challenge of mitigating uplift within a water-retaining system, specifically focusing on the Valmeer Energy Storage Lake (ESL), an integral part of the DELTA21 project. The need for rapid emptying of the ESL during storm surges, often within a tight 12-hour window, has raised concerns about potential seabed uplift and associated volume loss. The central objective of this research is to ensure the stability and integrity of the ESL under these drawdown conditions, particularly by investigating how the soil at the lake’s bottom can achieve equilibrium.
This study explores the Delta21 plan and the energy storage lake concept. Subsequently, it investigates the geological characterisation of the ESL site, providing data for subsequent analyses. Furthermore, a possible solution for using anchor piles is proposed; for that, a review of the Eurocode is done to understand the mechanisms of anchor piles and anchor pile groups. This research study employs Finite Element Method (FEM) calculations to assess bottom stability, both with and without incorporating anchor piles.
Throughout the research, a combination of hand calculations and Finite Element Method (FEM) analysis was employed to understand the subject matter comprehensively. The optimal centre-to-centre distance of 1.2m emerged as the key to effectively preventing uplift. The study also explores the interchangeability of volume elements and embedded piles within the FEM analysis, highlighting their comparable functionality.
Furthermore, an investigation into complete collapse scenarios suggests that tension piles ́ contribution to soil stability might be closely related to their weight rather than their spacing. Nevertheless, the spacing implementation presents challenges, emphasising the necessity for innovative solutions.
Additional soil investigations are recommended to understand the impermeable layer's exact location further. With its potential for uplift due to backpressure, this impermeable layer adds complexity to the interaction between soil layers. Recognising the constraints of the research scope, it is evident that further field investigations and research endeavours are indispensable for a comprehensive understanding of the site conditions and the impermeable layer’s behaviour.
In the final stages, the research concludes with a discussion and recommendations for the DELTA 21 plan specifically and presents the results obtained during this research.","DELTA21; Tension Piles; Soil stabilisation; Rapid draw down","en","master thesis","","","","","","","","","","","","Geotechnical Engineering","",""
"uuid:13363bba-c43e-47eb-abc7-e1b1162c594e","http://resolver.tudelft.nl/uuid:13363bba-c43e-47eb-abc7-e1b1162c594e","The design of a kitesurf harness that decreases discomfort while increasing performance: A kitesurf harness for Mystic Boarding","van der Linden, Bowien (TU Delft Industrial Design Engineering)","Jansen, A.J. (mentor); Hoekstra, G.P.M. (mentor); Rupert, Peter (graduation committee); Delft University of Technology (degree granting institution)","2023","The objective of this thesis is to enhance existing harness designs, with a focus on reducing discomfort and enhancing overall performance.
The contextual analysis, literature review, and desktop research yielded crucial insights and identified areas of improvement. Supplementary interviews were conducted with the user group. Initially, anthropometric data was sourced from existing databases like DINED; however, it lacked specificity to kitesurfing and the stances taken during kitesurfing, and some measurements relevant to the design of a kitesurf harness were missing, such as the torso length. To address this, an analysis of individual scans was done, followed by 3D scans of persons in kitesurfing stances.
It was found that the main factors leading to discomfort are the movement of the harness on the body, the pressure on the ribs, and the lack of pressure distribution. The main design goals stated are improved pressure distribution within the variation of movements and body types.
The findings of this thesis offer valuable insights into the potential for enhancing kitesurfing harnesses in terms of comfort, safety, and overall performance. By taking into account both the engineering and ergonomic aspects, this research contributes to the ongoing evolution of kitesurfing equipment, catering to the diverse needs and preferences of kitesurfers.
The final design is a modular kitesurf harness increasing the repairability, maintainability and upgradeability. The project includes anthropometric design guidelines for a kitesurf harness and multiple prototypes to test the concept. Resulting in a set of recommendations and designs that the Mystic team can take into consideration in the future steps towards developing the ultimate harness.
Amixed methods approach was taken, beginning with a literature review to identify barriers and stakeholders involved in CDWM. This was followed by a comprehensive review of international case studies pertaining to Shenzhen, Singapore City and Hanoi to identify international best practices for compara
ble Asian contexts. Semi-structured interviews with stakeholders from Mumbai’s construction industry were conducted to adapt these identified solutions to Mumbai’s unique industry fabric. This was followed by the application of the Socio-Technical Systems (STS) theory framework to investigate the interplay of technical and social dimensions within their relevant environment. This leads to societal outcomes in the form of solutions for CDWM in Mumbai, steeped in its specific context using a phase wise approach.
The literature review revealed that the construction industry in India and by extension Mumbai suffers from; insufficient infrastructure, lack of knowledge and technology, lack of governmental incentives for CDWM,mindsetproblems, lack of enforcement, fragmented nature of the industry, supply chain issues and corruption as barriers towards the adoption of effective CDWM measures. Out of these barriers; the fragmented nature of the industry, lack of enforcement and corruption emerged as the key barriers that serve as stumbling blocks towards the adoption of effective CDWM. Studying international best practices through a case study approach provided solutions that can be divided in to 4 categories,
these are; strong governmental interventions, improving monitoring and enforcement, establishment of a thriving recycling market and improvements in infrastructure and technology.
These best practices were aligned to Mumbai’s unique context through stakeholder interviews and thematic analysis was conducted using the 4 dimensions of STS analysis as the themes. This allowed for the application of the STS theory framework to Mumbai’s construction industry, employing a phase-wise solution due to its suitability to transition projects and the requisite-prerequisite nature of some of the suggested measures. The solution is divided into 3 phases namely; Foundation and Preparatory Measures, Strengthening Implementation and Innovation and lastly Advancing Utilisation and Transition. These measures were suggested with the aim of transitioning Mumbai’s construction industry to largely eliminate illegal dumping, improve infrastructure and technology through collaborative research, improve quality of recycled materials, set up a thriving recycling market and introduce technical specifications for their use resulting in establishing material circularity in the industry. The final aim is for landfilling to be restricted purely to soil with all recyclable materials being recycled and processed to improve material circularity and reduce environmental impact of the construction industry in Mumbai.
The research also revealed that SME’s operating in Mumbai can be integrated positively into CDWM endeavors by improving the enforcement in the industry, providing incentives against punitive measures and enabling them to incorporate material circularity in projects without significant changes to their operating procedures. This cohesive solution, inclusive of all industry sectors, offers a means for the government to integrate, the historically challenging to organise SME sector into CDWM endeavors in Mumbai.","","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:d0d75a60-07c9-4326-89ab-986ee5bd63d7","http://resolver.tudelft.nl/uuid:d0d75a60-07c9-4326-89ab-986ee5bd63d7","Modelling an upend hinge in hydrodynamic simulations of a monopile upend operation","van Rooijen, Dylan (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Offshore and Dredging Engineering)","Metrikine, A. (mentor); Lourens, E. (mentor); van Wuijckhuijse, J.B. (mentor); Cabboi, A. (graduation committee); van Dalen, K.N. (graduation committee); Delft University of Technology (degree granting institution)","2023","The offshore wind energy industry is quite literally reaching for the sky to meet the increasing demand for renewable energy in Europe. Offshore wind turbines are sprouting out of the waves off the coast of many European nations and their size is increasing rapidly. As the turbines become larger and the waters in which they are placed become deeper, the foundations that carry these behemoths must grow as well. The most frequently used foundation is the monopile foundation. Over the years, both the length and the diameter of these monopiles have steadily increased. Installing monopile foundations has, as a result of this, become more and more difficult.
An important aspect of the installation of monopile foundations that has become more challenging is the upending of the monopile. When the monopiles are transported to the site of installation, they are sea-fastened to the deck in horizontal orientation. When they arrive, they need to be rotated to a vertical orientation for installation. This can be done with the aid of an upend hinge. This thesis focusses on the modelling of such a hinge for operability studies.
The goal of these operability studies is to determine the weather and wave conditions in which the operation can be safely performed. Conventionally, the stiffness of the upend hinge is approximated by several linear springs between the vessel and the monopile. The aim of this thesis is to apply dynamic substructuring to existing Finite Element models of a hinge to more accurately describe its dynamic behaviour within hydrodynamic simulations. Hereafter, the response of the dynamically substructured model can be compared to the response of the conventional model.
The findings of the research show that it is possible to use dynamic substructuring to reduce the Finite Element models of an upend hinge and implement them into hydrodynamic simulations of an upend operation. When comparing the conventional model to the substructured model, it can be seen that there is a significant difference between the high-frequency response of the models. However, the responses of the models to prevailing ocean waves are very similar. For operability studies, this response is most relevant. Furthermore, the required computation time is significantly higher for the simulations employing the dynamically substructured models than for the conventional models. Therefore, it is not recommended to apply dynamic substructuring to model this upend hinge in operability studies. For situations where the high-frequency response is more relevant, however, dynamic substructuring may prove a valuable tool to more accurately describe the dynamic properties of an upend hinge or other marine equipment.","Dynamic Substructuring; Offshore; Upending; Computational dynamics","en","master thesis","","","","","","","","2025-10-20","","","","Offshore and Dredging Engineering","",""
"uuid:07a933ae-3d2c-47b6-8fc6-891601205de1","http://resolver.tudelft.nl/uuid:07a933ae-3d2c-47b6-8fc6-891601205de1","Satellite Formation Flying: Development and Implementation of a GNC System","Hélant Muller, Diego (TU Delft Aerospace Engineering)","Speretta, S. (mentor); Noppen, M. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis report has explored the complex field of satellite formation flying, focusing on Guidance, Navigation and Control (GNC) strategies for maintaining formations of multiple satellites. The research has been guided by a series of research questions aimed at improving the understanding and implementation of GNC systems for satellite formations. The report begins by providing an overview of the background and context of satellite formation flying, highlighting its significance in modern space missions. It emphasizes the need for precise control systems to maintain formations and addresses the challenges posed by various disturbances and constraints. To address these challenges, the report presents a detailed methodology for developing an end-to-end GNC system. This methodology involves the use of nonlinear dynamics models, including considerations for Earth’s oblateness and drag effects, to describe the motion of satellites within the formation. Additionally, the report explores the use of both absolute and relative dynamics models to enable the control of large satellite formations. Throughout the report, the performance of the GNC system is analyzed through various simulations and experiments. Different thruster models, including variable and fixed thrusters, are evaluated, shedding light on their effectiveness in maintaining formations. The analysis also considers the impact of energymatching conditions on reducing the frequency of maneuvers. The results of the simulations demonstrate the challenges and limitations of the Sliding Mode Controller (SMC) based control system, particularly in the context of real-world thruster implementations. Tracking errors and drift in formations are observed, necessitating further research into mitigating these issues. In the final chapters, the report explores potential areas for future research and improvements. These include investigating alternative thruster models, optimizing control algorithms, and developing strategies for reducing drift in formations. In conclusion, this thesis report provides valuable insights into the complexities of satellite formation flying, and the challenges faced by GNC systems. It offers a comprehensive methodology for developing
and analyzing these systems and highlights areas for future research. Ultimately, the report contributes to the ongoing advancements in satellite formation flying, paving the way for more precise and efficient space-based operations.","Satellite Formation Flying; Nonlinear Control; GNC; Navigation; EKF; SMC","en","master thesis","","","","","","","","2025-10-20","","","","Aerospace Engineering | Space Exploration","",""
"uuid:c72280a2-1c80-4433-ab6c-d612daef5a8b","http://resolver.tudelft.nl/uuid:c72280a2-1c80-4433-ab6c-d612daef5a8b","Transposable Multiport SRAM-based In-Memory Compute Engine for Binary Spiking Neural Networks in 3nm FinFET","Huijbregts, Lucas (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bishnoi, R.K. (mentor); Hamdioui, S. (graduation committee); Gao, C. (graduation committee); Yousefzadeh, Amirreza (graduation committee); Delft University of Technology (degree granting institution)","2023","Ultra-low power Edge AI hardware is in increasing demand due to the battery-limited energy budget of typical Edge devices such as smartphones, wearables, and IoT sensor systems. For this purpose, this Thesis introduces an ultra-low power event-driven SRAM-based Compute In-Memory (CIM) accelerator optimized for inference of Binary Spiking Neural Networks (B-SNNs). In this Thesis, a custom-designed 3nm SRAM cell is developed, with up to four read ports to improve inference performance and one transposable read/write port for efficient on-chip learning functionality. The event-based nature of SNNs is exploited to minimize the computation and memory cost. The design benefits from technology scaling of fully digital design by synthesizing the accelerator in the imec 3nm FinFET technology node. The proposed accelerator's performance is evaluated by running MNIST inference at 97.6% accuracy, achieving an impressive throughput of 44M inferences/s at 607 pJ/inference (3.2 fJ per synaptic operation) while running at 29 mW. The results demonstrate that the proposed accelerator provides an energy-efficient and high-performance solution for inference of Binary SNNs, opening up new possibilities for Edge AI applications.","Compute in Memory; Spiking Neural Network; Neuromorphic; Digital Accelerator","en","master thesis","","","","","","","","2024-10-20","","","","Computer Engineering","",""
"uuid:ba70e10d-8dc7-449f-a5fb-3919728b7b28","http://resolver.tudelft.nl/uuid:ba70e10d-8dc7-449f-a5fb-3919728b7b28","Offshore Pumped Storage Hydropower: Design, Planning and Cost Assessment","Nessen, Sicco (TU Delft Civil Engineering & Geosciences)","Mai Van, C. (mentor); Moll, J.R. (graduation committee); Lavidas, G. (graduation committee); de Vilder, L.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research aims to improve the current knowledge and insight into the construction process, logistics, and construction costs associated with the offshore pumped storage hydropower plant. The main objective is to enhance the construction costs by optimizing the work method based on these sheltering effects.
The diffraction and sheltering effects due to the caisson dam will be determined using the Goda diffraction tables. Additionally, the Levelised Cost of Storage (LCOS) will be used to assess the cost-effectiveness of this bulk energy storage technology and enables the comparison with alternatives, such as lithium-ion and hydrogen storage.
In summary, this research addresses the promising potentials of work method optimization for offshore caisson projects regarding the local wave climate. For a case study in the North Sea, it has not only highlighted the relevance and importance of including wave sheltering. Moreover, with a LCOS of € 140-260/MWh it also shows the competitiveness of the offshore pumped storage hydropower concept once again. Alternative energy storage methods such as lithium-ion or hydrogen are in the range of € 200-400/MWh for lithium-ion and € 200-1900/MWh for hydrogen storage. Therefore, offshore pumped storage hydropower (PSH) can be a favorable solution enhancing the energy transition.","Pumped Storage Hydropower; Hydropower; Levelised cost of storage; LCOS; Sheltering effects; Goda diffraction; Offshore energy island","en","master thesis","","","","","","","","2025-10-20","","","","Civil Engineering | Hydraulic Engineering | Coastal Engineering","",""
"uuid:85f526c0-415f-40a9-a275-8ae5b46e0305","http://resolver.tudelft.nl/uuid:85f526c0-415f-40a9-a275-8ae5b46e0305","User Exploratory Behaviour and Perception in Unconstrained Tactile Exploration Using Electrovibration","Kejriwal, Abhishek kumar (TU Delft Mechanical, Maritime and Materials Engineering)","Vardar, Y. (mentor); Krishnaswamy Balasubramanian, J. (mentor); de Winter, J.C.F. (graduation committee); Dodou, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Electrovibration offers potential to enrich virtual touch experiences with authentic tactile sensations on touchscreens. In controlled environments, responses to tactile stimuli may be anticipated, yet this predictability becomes uncertain in unconstrained settings due to dynamic factors like varied applied force, finger scanning speed, and sensory adaptation. To address this issue, we conducted a psychophysical study with 21 participants to investigate the effect of tactile
rendering parameters on user exploratory behaviour and perception during unconstrained exploration of artificial textures, aiming to discern a predominant tendency of interaction. Our results revealed, signal amplitude shapes human tactile
perception considerably during unconstrained exploration. We also observed, higher signal amplitudes were associated with lower finger scanning speeds, a trend tempered by significant individual differences, thereby affecting its practical effect. In contrast, the measured applied normal force and obtained finger movement pattern remained consistent and were not affected by different tactile rendering parameters. Notably, the rate of change of measured lateral force was found
to be a better metric for the perceived tactile dimensions than the lateral force magnitude. These findings enhance our understanding of perception and physics of such interactions, that could be vital for designing and delivering improved
haptic feedback on electrovibration-based tactile interfaces.","Haptics; electrovibration; scanning speed; applied normal force; exploratory behaviour; adaptability; unconstrained exploration, virtual texture perception","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:36848ad1-f15b-417e-b044-f109be0f84e9","http://resolver.tudelft.nl/uuid:36848ad1-f15b-417e-b044-f109be0f84e9","Elastic Material Properties of Friction Stir Welded Joints in Steel: Digital Image Correlation Measurements, Algorithms and Data Analysis","CHEN, YUYUAN (TU Delft Mechanical, Maritime and Materials Engineering)","den Besten, J.H. (mentor); Troost, N.C.H. (mentor); Delft University of Technology (degree granting institution)","2023","","Friction stir welding; Digital image correlation; Elastic Property","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:a1361f79-cff8-4aa3-be1d-24f427a5b238","http://resolver.tudelft.nl/uuid:a1361f79-cff8-4aa3-be1d-24f427a5b238","Driving factors behind station-based car sharing use in the Netherlands: Discovering distinct user profiles through a Latent Class Cluster Analysis","van der Linden, Hidde (TU Delft Civil Engineering & Geosciences)","van Oort, N. (graduation committee); Kroesen, M. (mentor); Correia, Gonçalo (mentor); Koster, S. (mentor); Legêne, M. (mentor); Delft University of Technology (degree granting institution)","2023","In light of growing environmental challenges, the need to reconsider how we approach personal transportation is becoming increasingly evident. A shift from a private car-focused mobility system towards a more sustainable and equitable transportation system is desired. Car sharing is considered a means to achieve this. However, car sharing use and its related impact are not entirely understood, as many studies do not consider the car sharing motives of individuals and treat the car sharing population as a homogeneous group. This study aims to reveal distinct car sharing usage profiles to gain a thorough understanding of car sharing utilisation and its related impact. Six distinct user profiles are uncovered using a Latent Class Cluster Analysis (LCCA) based on station-based car sharer data gathered through an online survey (N=1281). This segmentation underscores the diversity in car sharing motives and reveals that the impacts associated with car sharing use differ across the identified user groups. The cluster profiles show that environmentally motivated car sharers use the shared car as a complete replacement for their private car, causing a substantial decrease in car ownership and use. More utility-focused car sharers, and especially initially carless individuals, show a less substantial decrease in car ownership and sometimes even an increase in car use. This study concludes with recommendations based on these findings and discusses its limitations.","Car sharing; Latent Class Cluster Analysis; Travel behaviour; Motives","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:ea6cd987-864a-437a-9215-3841a4098702","http://resolver.tudelft.nl/uuid:ea6cd987-864a-437a-9215-3841a4098702","A Life Cycle Perspective for Sustainable Real Estate Investment Decision-Making: An Integrated Life Cycle Costing and Assessment Approach for Institutional Real Estate Investment Funds - Balancing Economic Feasibility and Sustainability in Building Design","Frackers, Jesse (TU Delft Civil Engineering & Geosciences)","Schraven, D.F.J. (graduation committee); Reijtenbagh, Q.A.M. (mentor); van Bortel, G.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","It is observed that both cost and environmental impact are underemphasized criteria in the investment decision-making process of Institutional Real Estate Investment Funds (IREIFs). The limited adoption of Life Cycle Costing (LCC) and Life Cycle Analysis (LCA) within IREIFs' Performance Management Systems (PMS) hampers improved investment substantiation. This study aims to develop an LCC tool that accounts for environmental impact and to explore its potential implementation within an IREIF's PMS. The research question addressed is: 'How can an IREIF incorporate sustainability, defined here as both environmental and economic factors, into its decision-making process through LCC?' A double diamond design research approach led to the development of an Environmental Impact (EI)-LCC tool that monetizes environmental impact. This tool serves as a performance measurement instrument, offering insights into the environmental and cost implications of building elements over their lifespan. The study also discusses the tool's integration into an IREIFs existing Internal PMS as their Environmental, Social, and Governance (ESG) performance framework. Preliminary findings indicate that the EI-LCC tool, although in a nascent stage, has the potential to enhance the quality of sustainable investment decisions within IREIFs. The results provide a foundation for the tool's further development and broader implementation within the PMS of IREIFs.","LCA; LCC; LCT; Life cycle assessment (LCA); Life cycle costing (LCC); life cycle thinking; real estate investment; Investment Decision-making process; LCC-tool; Institituional Investors; LCCA","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:f2ae0a19-527b-41d8-8f3b-ea65f5255c93","http://resolver.tudelft.nl/uuid:f2ae0a19-527b-41d8-8f3b-ea65f5255c93","Unraveling Incentives: Understanding the Adoption Barriers of SBOM in the Software Supply Chain: Obtaining novel insights into how a current misalignment of (dis)incentives among business stakeholders in the software supply chain can explain the limited adoption of SBOM","Kloeg, Berend (TU Delft Technology, Policy and Management)","van Eeten, M.J.G. (graduation committee); Zhauniarovich, Y. (mentor); Ding, Aaron Yi (graduation committee); Pellegrom, Sjoerd (graduation committee); Delft University of Technology (degree granting institution)","2023","In today's business landscape, software has become an integral part of operations for all companies, with a growing reliance on third-party components. This increasing complexity in software supply chains has led to a significant reduction in transparency and visibility, posing challenges for effective management and security. Software Bill of Materials (SBOMs) emerges as a promising concept to address this issue by providing detailed information about software components and their supply chain relationships, ultimately enhancing transparency within these supply chains. However, despite its potential benefits, SBOM adoption remains limited in practice.
This research examines the perspectives of four key business stakeholders involved in the software supply chain to understand their incentives and disincentives surrounding SBOM adoption. Through a series of in-depth interviews with representatives from each stakeholder group, we aimed to identify stakeholder-specific risks, benefits, concerns, and incentives related to SBOM adoption. The analysis reveals that SBOM adoption potential is notably higher among system integrators and software vendors. These stakeholders perceive the benefits of enhanced transparency and supply chain risk mitigation, which align with their strategic objectives. On the contrary, B2B customers and Individual Developers exhibit the least motivation for SBOM adoption. Their limited interest stems from a perception that SBOMs may impose additional complexities without commensurate benefits. Given that B2B customers and individual developers are the primary consumers and suppliers of SBOMs, respectively, the findings suggest that the overall adoption potential of this technology remains restricted.
by Bisagni et al. [1] before this thesis. The thesis, which corresponds to the optimization of this same structure, is the continuity of this work. The foundation of this thesis is built upon a literature study that investigates the optimization of composite wing structures. It explores diverse optimization formulations, including design variables, objectives, and constraints. Different methodologies for optimizing wing structures, like multi-objective and probabilistic methods, are studied. Various algorithms used to tackle these challenges are presented, shedding light on their advantages and drawbacks. Once a solid knowledge of wing structure optimization is built, preliminary analyses (study of coupon geometries, failure criteria, and the buckling behavior of various materials and geometries) form the basis for the upcoming optimization strategy. These preliminary investigations refine our understanding and guide the selection of optimal constraints, such as failure criteria. Based on these preliminary analyses and the literature study, the optimization strategy has been established. A new configuration with cut-outs is developed to explore new innovative designs. To solve the optimization problem, Genetic Algorithms, inspired by real-life behaviors and processes, emerge as the optimization algorithm of choice. These algorithms contribute to the development of design solutions that are better than traditional designs. The objective is to achieve weight reduction in the structure while considering its structural performance. Consequently, the fitness function, employed to assess candidate designs within the Genetic Algorithm, is based on three primary factors: weight, stiffness, and buckling behavior. Weight carries the most significant part of the fitness function, accounting for approximately 80% of the total value, whereas the remaining two factors contribute approximately 10% each. To evaluate these aspects, weight is determined through basic calculations, while stiffness and buckling behavior are assessed by simulating a 4-point bending test using Abaqus.
The implementation of the Genetic Algorithm, adapted to our special case, allows us to showcase its effectiveness in achieving optimal designs. These optimal designs achieve remarkable weight reductions while maintaining great structural performance. Following 20 generations, each comprising 10 potential candidates, one of the two termination criteria is triggered: there is no improvement in the best solution for eight consecutive generations. The optimized solution obtained is 16.89 kg lighter compared to the baseline configuration studied by Bisagni et al. [1]. This represents a significant 25% reduction in structure weight. Concerning the structural performance, only a reduction of 1.5% and 0.25% is observed for the stiffness and buckling performance, respectively, which is acceptable regarding the weight reduction. Nonetheless, achieving such a reduction in wing weight may not be realistic as it was specifically tailored for the test case of this thesis, other loading scenarios need to be studied to assess its applicability.
These outcomes underscore the potential of optimization techniques in aerospace engineering, paving the way for the development of lightweight and high-performing composite wing components.","Optimization; Genetic Algorithm; Wing optimization; Composite","en","master thesis","","","","","","","","","","","","Aerospace Engineering","ODIN COST Action",""
"uuid:8f173142-2610-44e7-a999-86161de4b519","http://resolver.tudelft.nl/uuid:8f173142-2610-44e7-a999-86161de4b519","Niche Strategies for Reuse Innovations: Niche introduction strategies for large scale diffusion of reuse innovations in the European domestic soft drinks industry","Warns, Ruben (TU Delft Technology, Policy and Management)","Tavasszy, Lorant (mentor); Khodaei, H. (graduation committee); Kamp, L.M. (graduation committee); van Binsbergen, A.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Innovation, akin to crafting a novel recipe, involves identifying problems, experimenting, testing, and sharing solutions. This study delves into ""reuse innovations,"" which emphasize prolonging product usage before disposal. A prime exemplar is Home Carbonation Kits (HCKs), which can replace over 3000 single-use water bottles. Despite the significance of reuse innovations, there's a noticeable gap in academic literature addressing their adaptation phase, which lies between market introduction and mass-market acceptance. This phase is fraught with challenges, often causing many companies to falter. This research aims to discern how circular companies can navigate these challenges by targeting specific niches.
Utilizing the Technological Innovation Framework (TIS), tailored for reuse innovations, the study analyzes the HCK technology within the European soft drinks system. The research journey encompasses a semi-systematic literature review, framework adaptation, an explorative case study on HCK, and an assessment of niche strategies.
Key findings reveal dominant niche strategies like ""Stand-alone,"" ""Adaptor,"" and ""Educate."" These strategies address pivotal barriers related to logistics, market knowledge, and consumer education. Additionally, ""Supply Chain Redesign"" emerges as a novel strategy, emphasizing efficient, cost-effective, and goal-aligned supply chain structures. In conclusion, this research offers invaluable insights into the intricate world of reuse innovations, providing a roadmap for future endeavors in the realm of circular economy.","Technological Innovation System (TIS); Reuse; circular economy; reverse logistics; adaptation phase; niche strategies","en","master thesis","","","","","","","","2025-10-17","","","","Management of Technology (MoT)","",""
"uuid:8f273ea5-9f89-4069-a28f-85cc29e2e771","http://resolver.tudelft.nl/uuid:8f273ea5-9f89-4069-a28f-85cc29e2e771","Inkjet-printed boron-doped diamond electrode sensor for the detection of the antidepressants venlafaxine and desvenlafaxine","Peeters, Thomas (TU Delft Mechanical, Maritime and Materials Engineering)","Buijnsters, J.G. (mentor); Baluchová, S. (graduation committee); Vollebregt, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Boron-doped diamond (BDD) is a popular material for electrodes and it exhibits metal-like conductivity when a sufficient quantity of boron atoms is incorporated in the diamond lattice. BDD has distinct advantages over alternative electrode materials. It has diamond’s chemical stability and biocompatibility in conjunction with excellent electrochemical characteristics including large potential window and low background current. Thus, BDD has great potential as an electrode material in electrochemical sensors for the detection of pharmaceutical compounds.
Of particular interest is the monitoring of the antidepressants venlafaxine (VF) and desvenlafaxine (DVF). These pharmaceuticals are prescribed to those suffering from major depressive disorder, generalized anxiety disorder, panic disorder and/or social anxiety disorder. However, they can have adverse effects on the health and behaviour of aquatic life, and harmful quantities have already been detected in nature. Monitoring of DVF and VF in a patient’s blood and urine is required to ensure correct dosage levels, which in turn could mitigate environmental pollution. An electrochemical sensor with BDD electrodes would be well-suited for this application.
In this research, two distinct BDD electrode materials were used for the electrochemical detection of VF and DVF. For initial experimentation, a robust and well-established free-standing BDD electrode type was utilized in a voltammetric study. Optimized detection conditions were achieved on hydrogen-terminated BDD for DVF and oxygen-terminated BDD for VF in a 0.1 M H2SO4 solution (pH 0.6), yielding limits of detection (LOD) of 0.31 µM and 0.17 µM and limits of quantification (LOQ) of 0.94 µM and 0.57 µM for VF and DVF, respectively. The scan rate study demonstrated that the oxidation reactions for both compounds are diffusion controlled. VF and DVF have excellent repeatability in the presence of several interfering compounds, such as inorganic ions, sucrose, glucose, and dopamine. To assess the suitability of the detection method, electroanalysis of VF and DVF in synthetic human serum, synthetic urine, and river water was conducted. Besides, a commercially available BDD electrode chip was employed for VF and DVF detection under optimized conditions. The measurement results of the different BDD electrodes were compared; in particular, when the less robust commercial electrode chip was used, larger values of LOD (1.9 µM and 8.0 µM) and LOQ (5.8 µM and 24.1 µM) were reached for VF and DVF, respectively. The fabrication of analogue BDD-based electrochemical sensors by utilizing direct inkjet printing of diamond nanoparticles on silicon substrates was evaluated in parallel. Various electrode designs were successfully printed, however subsequent chemical vapor deposition of thin-film BDD did not satisfy the required electrode quality. Notwithstanding, the use of a BDD electrode allows for the creation of a modification-free and promising practical method for VF and DVF detection.
The first step to address the literature void is the development of the construct of inspection strategy based on design science cycles fueled by literature and interviews with regulatory authorities. The following definition of the construct is retrieved: an inspection strategy entails selecting and combining methods based on inherent considerations and operationalization on contextual considerations. The construct of inspection strategy is placed between inspection method selection and food safety regulation. The construct serves as a common language and shared understanding for food safety authorities.
The systems view is introduced to understand why inspection strategy design is complex for food safety authorities because of its context. Literature shows there is value in taking a systems view to regulation. In fact, the complex systems view applied to food safety regulation brought forward systems characteristics to consider in inspection strategy design, including adaptive, emergence, unpredictable and goal-seeking. The systems view showed that an inspection strategy is to be designed within the context of food safety regulation that is continuously changing in an unpredictable manner because of emerging food safety risks. Consequently, the complex systems view provided direction on how guidance for food safety authorities designing an inspection strategy within food safety regulation is to be developed.
Following the definition of inspection strategy and the exploration of the system complexities of the food safety regulation context, the requirements for an inspection strategy are identified. The requirements originated from the systems map, interviews and literature. Fourteen requirements are defined with corresponding categories and priorities. Based on the requirements, a visual framework is constructed, providing an overview of the considerations for food safety authorities designing an inspection strategy. The framework, when applied to strategies, exposes potential trade-offs. The framework respects the complexities of the previously uncovered complex system characteristics by providing insight without imposing rigid standards.
Guidance for food safety authorities is developed based on the previous findings. The guidance is twofold. First, a scale of encountered inspection strategies from random to risk-based is evaluated based on the requirements framework, guiding food safety authorities by providing insight into the associated trade-offs and helping them select a strategy. Second, questions are developed to guide food safety authorities before, during and after the design of an inspection strategy. Food safety authorities have to implement the guidance themselves.
Ultimately, the research holds potential for food safety authorities to improve their inspection strategies combining risk-based and random methods when implementing the guidance. Consequently, improving the state of food safety in an accountable, applicable, feasible and adaptive manner. Through validation is confirmed that the guidance is helpful for the NVWA. Furthermore, the research produces academic value by creating a common language in the academic field through the construct of an inspection strategy and by demonstrating the utility of systems thinking for regulation. The recommendation stands to implement the guidance, include participatory methods, validate with various food safety authorities and continue applying systems thinking in food safety regulation for future research.
The need to reduce greenhouse gas emissions is emergent throughout every industrial sector worldwide. For aviation, this has opened a market gap for small scale electric aircraft configurations (e-V/STOL). These configurations are very diverse and they are classified based on how lift is obtained. However, their flight envelope consists of the same operational regimes which in turn, are very diverse compared with the ones of a traditional aircraft. This challenges the way wind tunnel testing was developed and urges the need for new testing techniques and wall correction methods. It is known for over a century that the flow inside a wind tunnel is not exactly the same as a free-air flow. This has to do with the existence of the wind tunnel test section boundaries which affect the flow over the model. Thus, the measured wind tunnel data deviate from the desired free-air performance measurements, except if the model is extremely small relative to the test section size. This is almost never satisfied due to physical scaling and structural considerations. Wall or boundary interference, is the quantification of those effects with the aim of correcting the wind tunnel performance measurements to their actual free-flight values. This is achieved by wall correction methods. These methods were developed in absence of high computing power and their purpose is to perform real-time adjustments to wind tunnel data. Consequently, they depend on simplified modeling assumptions, such as the linearized potential flow. As a result, these corrections might prove ineffective in generating reliable outcomes when dealing with non-linear boundary interference fields characterized by significant variations. For a given model, it is generally known that there is a limit at which wind tunnel test data are able to represent free-air conditions. This limit is called wind tunnel flow breakdown and it occurs when the model wake impinges on the boundaries of the wind tunnel test section, causing flow phenomena which would never occur in a free-flight situation. This phenomenon succeeds the non-linearities of boundary interference described above and is the worst case scenario for wind tunnel tests. The wall corrections completely fail to produce any valid outcome and the wind tunnel data are considered useless. This happens at low-speed/high-thrust conditions which are typical for a V/STOL aircraft during transition from hover to forward flight. Thus, powered wind tunnel testing at such conditions poses challenges in terms of data representation to an interference-free, free-air situation. Such conditions may also be encountered when the aircraft is operating in-ground vicinity and thus, the interference field for those cases is of interest. In the latter case, it is expected that the magnitude of the corrections and the wind tunnel flow breakdown limits would be significantly lower. This Thesis aims to investigate wall interference effects between the rotor and the wind tunnel test section boundaries at low-speed/high-thrust conditions, establish the flow breakdown limits for a given propeller and test section and to gain insight into the effect that the bottom wall of the test section exhibits to the boundary interference field. This is realized by conducting wind tunnel tests on two different fixed-pitch propellers with varying incidence angles in both a closed-wall and a 3/4 open-jet test section of the NLR Aeroacoustic Wind Tunnel. Static wall pressure measurements are acquired and the flow-field in the vicinity of the propeller is quantified using large-scale, Tomographic Particle Tracking Velocimetry (PTV) with the use of helium filled soap bubbles (HFSB) as tracers. Finally, the interference-free performance data for these propellers are obtained from the second test campaign performed in the industrial Low-Speed-Wind Tunnel (LST) of the DNW. The global flow topology of the wall-bounded tests in the AWT-closed test section is presented by means of wall pressure measurements and PTV. It was found that a distinct rise of the pressure coefficient leading to a maximum peak, corresponds to wake impingement. This firstly occurs on the advancing side of the rotor due to the larger vortex which is deflected further downward than the one on retreating side. A flow breakdown criterion which makes use of the static pressure distribution on the bottom wall of the test section is introduced. It aligns well with existing benchmarks available in literature for closedwall test sections. This criterion can be applied to any test section consisting of a solid lower wall, as long as the distribution of static pressure is monitored. On the other hand, the comparison between the LST and AWT-closed test section data do not show any signs of flow breakdown. This could prompt additional questions regarding the necessity of an even larger test section for obtaining the interference free propeller performance data. Various wall correction methods are utilized in order to evaluate their applicability for powered wind tunnel testing and to assess the impact of wall interference. It is shown that the developed Two-VariableMethod (TVM) does not provide satisfying results with respect to lift interference, when compared with the Heyson method. Nevertheless, blockage predictions follow the expected trends even for lifting cases, at least for the higher advance ratios where no flow impingement is present. For a simple non-lifting case, this method is deemed more reliable since its underlying assumptions are satisfied to a greater extend and its blockage predictions are also in good agreement with the Glauert’s method. For the purely lifting angle of attack, the comparison between the two test campaigns is not satisfactory both for in-ground and out-of-ground effect. This discrepancy arises from the fact that the predictions of all wall correction methods applied do not abide by the trend that is discernible based on the deviations of the bounded (AWT) to the unbounded (LST) data. That is mainly attributed to the load measurement system and to the possibly stalling conditions at the higher wind tunnel speeds. For the 0◦ incidence angle, there was a very good agreement between the AWT-closed test section and the LST data for both flight conditions (OGE, IGE). This was validated by both applied correction procedures (Glauert’s method for off-center propellers & Two-Variable-Method). Even in that case, the AWT-3/4 open-jet results were not in good agreement implying effects which may not only be attributed to boundary interference.","Wall/Boundary Interference; Wind tunnel testing; Particle Tracking Velocimetry; Propeller Aerodynamics; Heyson Method; Two-Variable Method; Glauert Method; Helium Filled Soap Bubbles; Tomographic PTV; 3/4 Open-Jet Test Section; Shake-The-Box (STB)","en","master thesis","","","","","","","","2025-10-18","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:42b066a2-d99a-4ba8-86e5-8e5e31851fed","http://resolver.tudelft.nl/uuid:42b066a2-d99a-4ba8-86e5-8e5e31851fed","Once upon a Tuesday: Longitudinal analysis of the vulnerability management of Dutch municipalities","Angelova, Yana (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Wegberg, R.S. (mentor); Ethembabaoglu, A.M. (mentor); van Eeten, M.J.G. (graduation committee); Smaragdakis, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","In recent years, more and more emphasis has been put on the importance of good preventative cyber security and vulnerability management techniques such as ""Patch Tuesday"".
Despite the increased importance, not all organisations have the same resources and knowledge when it comes to securing their networks against cyber adversaries.
This research tries to examine the vulnerability posture of Dutch municipal ICT networks.
To accomplish this a network ranges dataset was curated using open source intelligence techniques.
These networks, related to current and previous Dutch municipalities, have been used to collect network data scans and observe the changes in software products and versions.
Based on the data collected we can observe the software update moments for different organisations and analyse how often software products are kept up to date.
Using this network scan data and a subset of open-source products, we were able to construct a case study analysis about the general trends of vulnerability management and the influencing factors thereof.
This was done through timeline analysis, involving also software update releases, security advisories, and publicly disclosed vulnerability exploits.
Our findings show uncoordinated strategies within the different organisations and rare proactive security behaviour.
Another contribution of this study is in the sphere of reconnaissance and open source intelligence gathering, showing that publicly available information alone is a time-consuming procedure that renders very few useful data points.
These later findings have implications for both adversaries as well as security organisations, as reliable data could only be obtained through direct contact with the underlying municipality.
Among the various charging solutions, Vehicle-to-Grid (V2G) technology offers significant potential for CPOs. However, prior research has not comprehensively addressed the financial implications, operational costs, and revenue streams specific to CPOs, particularly within the energy market.
The primary objective is to investigate public charging network business models through V2G integration in the Netherlands from a CPO perspective. The central research question is whether CPOs in the Netherlands can enhance their business model through V2G in the EPEX DAM market. The primary focus is on assessing the financial impact of V2G on CPO operations, considering factors such as electricity procurement costs, user behavior, and location-specific dynamics.
The methodology combines empirical analysis, simulation modeling, and data-driven insights. It commences with a literature review on EV charging, V2G technology, and CPO business models. Stakeholder consultations provide real-world insights into business dynamics and cost structures.
An Excel-based simulation model replicates various V2G charging scenarios using data from the European Power Exchange (EPEX) Spot Day-Ahead Market (DAM). A base case charging scenario, involving both V2G and regular charging, is developed, and the results are used to assess CPO profitability in the Public Charging Network business case.
An extended Cost-Benefit Excel model evaluates the profitability of the CPO's business model after integrating the reduced variable cost of procured electricity through V2G within the evolving EPEX DAM landscape. It considers factors such as battery degradation, customer compensation, charging behavior, and market dynamics.
The simulation models reveal pivotal findings. V2G integration strategically implemented has the potential to boost CPO profitability by significantly reducing electricity procurement costs. User compensation schemes, charging patterns, usage rates, and location-specific demand dynamics significantly influence earnings. Sensitivity analysis underscores the importance of usage rates, cost reduction for procured electricity, and network expansion in driving profitability.
In conclusion, this thesis uncovers the potential of V2G technology to enhance EV charging infrastructure while contributing to grid stability and renewable energy integration. The findings provide strategic guidance for CPOs to focus on densely populated cities to maximize revenue and profits. The insights extend beyond CPOs and are relevant to stakeholders within the EV charging ecosystem.","Electric Vehicle; V2G; Business models; Profitability; CPO; E-mobility; Public charging network","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:a978fed0-de02-453e-9c68-c893a6672dce","http://resolver.tudelft.nl/uuid:a978fed0-de02-453e-9c68-c893a6672dce","Motivating student engagement: Strategies to enhance waste sorting behavior in student housing","HU, YIDAN (TU Delft Civil Engineering & Geosciences)","Schraven, D.F.J. (mentor); Liu, Z. (graduation committee); Wang, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","The increasing generation of municipal solid waste (MSW) due to urbanization and population growth has highlighted the importance of effective Municipal solid waste management. Waste sorting plays a critical role in enhancing waste quality and boosting recycling rates, aligning with the circular economy goals of the European Union. However, despite significant waste production in cities, limited separation and recycling occur, primarily due to insufficient citizen involvement. When it comes to involving citizens in sorting household waste, there has been limited research and exploration of the waste sorting behavior of college students. Given that the majority of college students reside in student housing, the research aims to understand college students’ waste sorting behavior in student housing and recommend interventions that motivate students to engage in waste sorting.
To attain the objective, the research utilized a mixed research approach, initially employing semi-structured interviews as the qualitative method and subsequently conducting an online survey as the quantitative method. Qualitative results were derived through the application of thematic analysis and subsequently served as a basis for constructing the questionnaire survey in the subsequent quantitative phase. The factors that impact waste sorting behavior in student housing were identified and quantified in the quantitative phase by employing the partial least square structural equation modeling (PLS-SEM) method.
The results revealed that the subjective norm and perceived behavioral control directly impact students’ intentions to engage in waste sorting, which in turn, indirectly affects their waste sorting behavior. In addition, the waste sorting facilities and information publicity holds a significant influence on the students’ household waste sorting behavior.
Based on the findings, it is suggested that the intervention aimed at encouraging waste sorting among students could involve enhancing the publicity of waste sorting information by providing information prompts in the communal space within student housing and distributing information through social media. Furthermore, interventions like improving the waste sorting facilities could engage students in waste sorting. Additionally, promoting communication within the student housing community and incorporating waste sorting education into the college curriculum were also suggested as interventions to incentivize students to sort their household waste.","Waste sorting behavior; College Student; Student Housing","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:89d2b59c-0210-435b-a11b-db80a68fcbcc","http://resolver.tudelft.nl/uuid:89d2b59c-0210-435b-a11b-db80a68fcbcc","Introducing Basic Aspects of Quantum Physics through Cymatics","Weber, Martijn (TU Delft Industrial Design Engineering)","Lomas, J.D. (mentor); van der Helm, A.J.C. (mentor); Delft University of Technology (degree granting institution)","2023","The market of quantum computing is growing rapidly due to the rising demand of high-performance computing. By combining quantum theory and computer science, quantum computing shows great promise for future application in fields like algorithms, cryptography, machine learning and quantum simulation. Current breakthroughs in quantum technology and rising investments in the quantum computing market indicate a high demand of quantum physicists over the coming decade.
Looking at projected future investments in the quantum computing market, the current state of the quantum technology education infrastructure and it’s foreseeable shortcoming in providing a sufficiently strong workforce to answer the industry’s expansion, it can be expected that quantum education will be pressurized to conform to big change to keep up with the industry.
The project aims to provide a solution that will help open ways to achieve maintaining the balance of education and industry that is needed within the quantum technology sector if the industry keeps expanding as it is currently. It hopes to deliver where currently is missing: an engaging way to interest our current youth in quantum technology before they reach university, in a way that motivates them to become one of the future quantum physicists that the industry will drastically need.
Currently basic aspects of quantum physics are difficult to convey to a younger generation in a compelling and engaging manner. This project aimed to introduce basic aspects of quantum physics through a science museum exhibit using cymatics, the study of visual wave phenomena. The wave-like characteristic found in both quantum physics and cymatics was the primary factor to investigate how cymatics could be used to introduce basic aspects of quantum physics to young future scientists through a science museum exhibit design. The modes of vibration in cymatics are called eigenmodes.
The final design is an exhibit design that uses these eigenmodes to simulate how quantum physicists work with their delicate and sensitive quantum systems. In the design the eigenmodes resemble the sensitivity of the qubits that these physicists work with.
To reimagine church organization, I adopted a methodological approach combining Value-Sensitive Design (VSD) and Research through Design (RtD). VSD focuses on incorporating human values into design, while RtD uses design as a research tool. The research comprised three cycles. In the first cycle, I assessed the current state of the church and tested research methods, finding that sketches by interviewees were effective in exploring values and ideas. The second cycle involved collaboration with experts working with youth and church innovations. We prototyped through sketches, revealing a value tension between individual needs and the desire for community among young people. This led to the design of an infrastructure that facilitates the exploration of various religious communities, symbolized as a ""community garden."" The final cycle centered on engaging with religious wanderers at the Graceland Festival. Discussions using a toolkit revealed that each wanderer seeks something unique in a community. They appreciated the idea of exploring different ""gardens.""
The result of this research is a roadmap for building an exploration infrastructure, emphasizing the importance of relationships between organizations, trust-building, and value exploration for religious wanderers. This roadmap serves as a guide for city-based religious communities, encouraging them to establish similar infrastructures. This research contributes in two ways: first, by offering a design-based solution to reorganize the church to meet the values of its members and religious wanderers. It highlights the need for networked relationships between various organizations, though the practical implications may vary by location. Secondly, it demonstrates how design principles aid in the redesign of church organizations. The use of sketches, design materials, and toolkits facilitated the exploration of complex ideas, making participants consider their values more explicitly. Visual design allowed for better feedback on concepts.
In conclusion, this research project underscores the potential for designers to play a pivotal role in church innovation. Collaboration between designers and theologians can further the cause of church renewal on a systemic level, utilizing expertise from diverse scientific fields.
As there is a need for sustainable waste management solutions, this qualitative case study examines factors affecting the effectiveness of Kigali’s solid waste management (SWM) from the perspective of waste collection companies (WCCs), as these stakeholders’ views have not been explored before. Data were collected by means of observations, interviews, and a literature review.
The current status of the SWM system and obstacles experienced by respondents are categorized using Wilson’s Wasteaware indicators (2015). Finally, results are examined by means of the dimensions of the Modernized Mixtures Approach (MMA) (Oosterveer & Spaargaren, 2010).
Analysis shows that links within Kigali’s SWM are unprepared to handle separated waste streams, inhibiting recycling. Further, there is a lack of knowledge on proper waste disposal among residents, while government educational attempts remain largely ineffective. Also, policies, issued by many different government agencies, are often contradicting. As no data are collected on the status of SWM, there is little monitoring of progress. Finally, WCCs face defaulters, leading to a weakened financial situation.
Recommendations from this research are to centralize the system, within both government and payment system. Breaking the identified ‘multilevel non-separation feedback loop’ may create opportunities to handle separated waste streams, thus enabling recycling. Using media to educate residents regarding source separation and a color-coding system for different waste streams is potentially successful and might break the loop. Gaining visibility into the status of the SWM, placing a weighbridge at the landfill would be a good start.","Solid waste management; East-Africa; Wasteaware; Modernized Mixtures Approach; Waste collection companies","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:06115276-884c-4335-a7d8-024dcd59731f","http://resolver.tudelft.nl/uuid:06115276-884c-4335-a7d8-024dcd59731f","Accelerating DNA basecalling of Nanopore reads on FPGAs","Haenen, Jasper (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hofstee, H.P. (mentor); Al-Ars, Z. (graduation committee); P. Gonçalves, Joana (graduation committee); Delft University of Technology (degree granting institution)","2023","Genomics has revolutionized our understanding of evolution, hereditary diseases, and more. The advent of long-read DNA sequencers i.e. Oxford Nanopore Technologies' innovations, has opened many new research potentials in genomics. These sequencers produce significantly longer DNA reads, facilitating novel applications. However, this technological leap brings challenges, particularly in accurate basecalling which is the process of converting raw sequenced measurements into digital base pair sequences. While advances in basecalling accuracy have been steadily improving over the years, the computational intensity remains a bottleneck in genomic analysis workflows, demanding costly high-end GPUs for probabilistic neural network models.
The main problem this thesis addresses is the implementation of an accelerated hardware solution for the compute-intensive process of basecalling long-read sequences. The thesis presents an FPGA-based implementation of the computationally demanding Long Short-Term Memory (LSTM) layers within the basecalling network known as Bonito. However, due to the lack of floating-point arithmetic units available on the FPGA, the FPGA implementation could not achieve competitive performance compared to GPUs.
While the FPGA implementation falls short of GPU performance, it serves as a possible stepping stone toward developing an ASIC solution for implementing the Bonito LSTM layers or potentially implementing the entire Bonito model. An ASIC implementation has the potential for superior performance up to 9 times faster than a GPU implementation while additionally being cost-effective. This suggests that ASICs hold promise as a future direction for accelerating long-read sequence basecalling, allowing for faster and more affordable genomics research.","Genomics; FPGA; Basecalling","en","master thesis","","","","","","","","","","","","Computer Engineering","",""
"uuid:6935496b-1a2e-44b0-9cb0-a73c86033291","http://resolver.tudelft.nl/uuid:6935496b-1a2e-44b0-9cb0-a73c86033291","Full seismic waveform inversion of Europe and Western Asia: with optimally designed adaptive meshes","Schiller, Carl (TU Delft Civil Engineering & Geosciences)","Fichtner, Andreas (mentor); Noe, Sebastian (graduation committee); Wagner, Florian (graduation committee); Delft University of Technology (degree granting institution); ETH Zürich (degree granting institution); Rheinisch-Westfälische Technische Hochschule (degree granting institution)","2023","In Geodesy, the development of accurate Digital Elevation Models of the surface helps to provide height data for various applications in other Earth Science disciplines. These models are used as base models for studies. Geophysics can be a support science when providing base models for non-invasive studies inside the Earth. Such models can be multi-dimensional velocity models, where the relation of velocities and depth can be used for other structural parameter studies in e.g. Geodynamics or Geochemistry. With technological advancements in the recent decade, there is incentive to provide new regional continental-scale models. Full-waveform inversion (FWI) is an imaging method that iteratively inverts through seismic waveforms for structural heterogeneities in the Earth to create a high resolution static im- age. The development of event-specific adaptive SmoothieSEM meshes for regional meshes leads to a substantial decrease in computational costs of the FWI by lowering the amount of mesh elements needed to model a wavefield. This allows to push regional continental-scale tomographies towards period bands that were previously cost-prohibitive. Divided into two tomographic studies, on a bigger continental-scale mesh of Europe and Western Asia and a smaller mesh focused on Europe respectively, the study areas are lowered to a period of 24s. The reference base model is derived from the current generation of the Collaborative Seismic Earth Model (CSEM). Starting at a minimum period of 50s, the mimimum period is pushed in steps towards the target of 24s in a multiscale inversion approach to enable monotonic misfit reduction. The misfit optimization of the synthetic and observed waveforms is based on time-frequency phase misfits while the gradient optimization is based on the trust-region limited memory Broyden-Fletcher-Goldfarb-Shannon (L-BFGS) method and dynamic mini- batches. Waveform fits are quantified by the reduction of the time-frequency phase misfit and normalized amplitude difference misfits between the end model and the CSEM base model. The waveform inversion reveals more heteregeneous structures in Europe and Western Asia compared to previous studies.","Full-waveform inversion; Seismic Inversion; wavefield-adapted mesh refinement; continental-scale; HPC; Tomography","en","master thesis","","","","","","","","","","","","Applied Geophysics | IDEA League","",""
"uuid:45748fd9-1ce4-4fd0-9aed-4af03ab4b8df","http://resolver.tudelft.nl/uuid:45748fd9-1ce4-4fd0-9aed-4af03ab4b8df","Optimisation of a latent heat storage system containing a salt hydrate","Sanderse, Wessel (TU Delft Mechanical, Maritime and Materials Engineering)","Hooman, K. (mentor); Delfos, R. (graduation committee); Breugem, W.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study aims to develop a methodology for upscaling commercially available latent thermal energy storage systems using salt-hydrate HS48 as a phase change material, with a focus on determining optimal design parameters for different sizes and energy demands. The paper begins with a comprehensive review of phase-change materials' properties, theories, and practical applications in various energy storage systems, including integration into hot water storage tanks with diverse configurations. Subsequently, a research method is introduced, based on heat transfer principles and commercial heat battery specifications, providing a scalable simulation model validated through experimental setups. The model emphasizes two different scales and demonstrates non-linear charging time increases with PCM mass. After optimization, the model is scaled to match a commercially available heat battery's power output, evaluating various configurations based on key independent variables. Results favor the configuration, which consist of twice the number of parallel tubes, as the optimal design for reducing PCM mass, system size, and charging time. While the study shows promising outcomes, it also highlights areas for improvement, such as refining assumptions about phase change behavior and addressing specific application requirements like domestic hot water supply","PCM; Energy storage; Melting; Heat Exchanger","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:5d7e9443-dec6-4974-a18f-671f2f91aa38","http://resolver.tudelft.nl/uuid:5d7e9443-dec6-4974-a18f-671f2f91aa38","Designing an Accessible and Modular Temporary Tram/Bus Stop","Kunkeler, Jasper (TU Delft Industrial Design Engineering)","Vink, P. (mentor); van Breemen, E.J.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Temporary tram and bus stops can be seen as an overlooked structure in the city. While permanent stops are getting are improving greatly, temporary tram and bus stops are being left behind. Gemeente Amsterdam has the goal to make every stop in the municipality accessible to all users, including people with disabilities. This report explores a potential new temporary tram/bus stop.","Mobility; Accessibility; Tram; Station; Modular; city; urban planning","en","master thesis","","","","","","","","2023-11-20","","","","Integrated Product Design","",""
"uuid:1cc4e1a3-dcf6-42b2-8ada-1fa34155b11d","http://resolver.tudelft.nl/uuid:1cc4e1a3-dcf6-42b2-8ada-1fa34155b11d","Engaging residents in sustainable renovation by simplifying complexity","van der Vlugt, Marilou (TU Delft Industrial Design Engineering)","Boess, S.U. (graduation committee); van Leeuwen, G.E. (mentor); Delft University of Technology (degree granting institution)","2023","The energy transition holds significant importance across various sectors of our lives, and the housing sector is no exception. While constructing CO2-efficient buildings can substantially reduce emissions, the renovation of older structures is equally important. Renovation plans for the latter are predominantly focussed in increasing the thermal quality of the building and integrating green energy sources. Besides this climate goal, this challenge is also pushed by a social goal. Renovation is in some cases also vital to address the increasing number of households living in energy poverty. Households within this category have both high energy bills which is likely caused by the poor insulation and in addition to this, receive a generally low income.
Participation and engagement within this process is recognised as an important factor for successfully navigating the renovation journey. However, this is not alway an easy task since VvE boards are already carrying the heavy load of the practical renovation tasks. My research looked in the context for the barriers that hold participation back and for potential drivers that proved to be efficient in this context to stimulate participation. The six themes found are: position, trust in officials, knowledge, social cohesion, practical factors and personal factors. These themes overlap and influence each other.
Therefore, to explore and evaluate possible solutions to address the problem definition, I used the method Research through Design to observe how a design is responded to in the context. The five directions that I explored were around a vision building workshop, public discussion starters, serious gaming and interactive and visual knowledge tools.
The project concludes with an embodied design solution that addresses the problem using an interactive and visually engaging approach. The design aims to reduce barriers related to the four of the six themes found: position, knowledge, social cohesion and practical factors. Ultimately, to enable residents to engage and interact with the available information to let them experience the influence they can have in shaping the sustainable renovation process of their own homes.","Participation; Energy transition; Research through Design; Amsterdam South East","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:7f543ce2-b7a5-456c-ab7b-81171c353de2","http://resolver.tudelft.nl/uuid:7f543ce2-b7a5-456c-ab7b-81171c353de2","Manipulation of Deformable Linear Objects Using Dynamic Affine Curvature Modelling","Tiburzio, Seb (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Cognitive Robotics)","Della Santina, C. (mentor); Coleman, T. (graduation committee); Ferranti, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","In robotic manipulation of deformable objects, the continuum nature of the object state leads to prohibitively high degrees of freedom when traditional modelling techniques are applied, leading to much research focusing on approaches that avoid an explicit object model. However, without such a model the opportunities for long horizon planning over the object shape or the application of optimal control methods are limited. In this work, we take a step to address this gap for the category of deformable linear objects by developing an accurate, low-dimensional manipulator-object model using the Affine Curvature strain parametrisation. We derive the model kinematics and dynamics, and detail a procedure for experimentally determining the unknown material parameters, using heavy electrical cables as a realistic test case. We then prove the viability of the model by experimentally demonstrating accurate feedforward control of the object in steady state, allowing for a significantly expanded reachable workspace and control of the endpoint orientation.","Robotic manipulation; Model based control; Strain parametrisation; Deformable object manipulation","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:aa99cbac-93c1-4935-aa41-ad2d99a463c3","http://resolver.tudelft.nl/uuid:aa99cbac-93c1-4935-aa41-ad2d99a463c3","Retrofitting offshore wind foundations with airborne wind energy systems","Messer, Boris (TU Delft Mechanical, Maritime and Materials Engineering)","van der Stap, A.C.M. (mentor); Schmehl, R. (graduation committee); Mroczek, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis explores the feasibility of retrofitting ageing offshore wind farm (OWF) foundations with airborne wind energy (AWE) systems as a sustainable alternative to decommissioning or wind turbine (WT) refurbishment. These OWFs, starting their operational life between 1995 and 2003, face the challenge of reaching the end of their 20 to 25-year lifespan. Decommissioning incurs costs and environmental concerns, while refurbishment with larger WTs is increasingly expensive due to rapid technological advancements.
The study conducts a structural assessment of retrofitting offshore foundations with a 500 kW AWE system, covering the ultimate limit state (ULS) and fatigue limit state (FLS) evaluations. ULS calculations confirm that the foundations can withstand new AWE-generated wind and wave loads without exceeding design limits. Fatigue assessments demonstrate substantial expected foundation lifespans, even with a 99% initial damage assumption, suggesting AWE retrofitting preserves structural integrity.
Other AWE retrofitting scenarios are considered as well. Retaining the tower and mounting the 500 kW AWE system atop the tower is deemed possible, resulting in higher capacity factors. Calculations using a 2MW AWE system are performed as well. This is structurally possible, but the AWE technology of that size still faces technological challenges.
The economic feasibility of AWE system retrofitting is assessed through income and cost evaluations, comparing it to repowering with larger WTs. Results indicate competitive LCoE values for tower-mounted AWE compared to WT repowering, offsetting decommissioning costs and promising sustainable energy generation. Notably, 2 MW AWE systems exhibit economic potential in various scenarios.
This research contributes valuable insights into the viability of AWE retrofitting for ageing OWFs with AWE technology, offering a sustainable pathway forward and highlighting both the possibilities and challenges of this approach.","","en","master thesis","","","","","","","","2025-10-09","","","","Offshore and Dredging Engineering","",""
"uuid:8bc83fe7-3938-45c5-a67f-c5a1b71b2344","http://resolver.tudelft.nl/uuid:8bc83fe7-3938-45c5-a67f-c5a1b71b2344","Implementation of a high-voltage MMC submodule: For a multilevel modular converter","Hurkmans, Brecht (TU Delft Electrical Engineering, Mathematics and Computer Science)","Vaessen, P.T.M. (mentor); Ghaffarian Niasar, M. (mentor); Delft University of Technology (degree granting institution)","2023","In this master thesis, the design and implementation of a high-voltage switch using series-connected Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) is discussed. A high-voltage switch is needed for applications such as high-voltage DC converters. Multilevel modular converters (MMCs) can also aid in the voltage-blocking capabilities of converters. MMCs use multiple submodules to block the full voltage. Every submodule thus only blocks a part of the full voltage. Each submodule needs multiple components that can be expensive, like voltage sensors and gate drive circuitry. By having the submodules block a higher voltage, fewer components are needed. By implementing a higher voltage blocking switch into such a submodule, cost and components can be spared by having a lower total amount of submodules. Current technologies of high-voltage switches are MOSFETs, insulated gate bipolar transistors, thyristors or electro-mechanical switches. MOSFETs have the advantage of being faster and have lower losses than the other technologies. The downside of using MOSFETs is that the voltage-blocking capability is lower than the other technologies. This could be solved by connecting multiple MOSFETs in series for a higher blocking voltage. To do this, care has to be taken that the MOSFETs turn on- and off at the same time. They also need to share the voltage equally to take full advantage of the blocking voltage of each MOSFET. A cost-effective way to implement a high-voltage switch using series-connected MOSFETs is to use capacitive coupling. In this method, the gate charge needed to turn the MOSFETs on is stored in capacitors. When the first MOSFET is turned on, the other series-connected MOSFETs will also turn on. This method has the downside that the switch can only be turned on for a limited amount of time (a few microseconds) and that the voltage balancing is dependent on the load, the switching frequency and the parasitics of the circuit. For this reason, a single high-voltage MOSFET was used in this project, even though it can be slower and more lossy than the series-connected switch. An MMC submodule was made using a full-bridge configuration. Additionally required components such as a gate signal generator, power supply, voltage- and current sensors, and fault protection were also designed, built and tested. In this way, a functioning MMC submodule was created that can be used with a capacitor or an isolated transformer as its source.","MMC; Multilevel modular converter; High-voltage; Series-connected MOSFETs","en","master thesis","","","","","","","","2025-10-20","","","","Electrical Engineering | Electrical Power Engineering","","51.998881610419765, 4.373520983414435"
"uuid:0fb673fa-f0a4-4325-8cfc-8c00f6cd723c","http://resolver.tudelft.nl/uuid:0fb673fa-f0a4-4325-8cfc-8c00f6cd723c","Planar Flow Casting and Microstructure of Aluminium Silicon Alloy","Lingmont, Roald (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Precision and Microsystems Engineering; TU Delft Materials Science and Engineering)","van Ostayen, R.A.J. (mentor); Offerman, S.E. (mentor); Kumar, Siddhant (graduation committee); Kappelhof, J.P. (graduation committee); van der Vries, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In order to scale the planar flow casting (PFC) process to industrial levels a Python model is created. The model which is based on a combination of empirical and theoretical equations, is used to explore the limits of the process. The model is independently verified with a Comsol simulation, which is extended with solidification and heat transfer physics. The model is then used as a bases for a system and control simulation of the complete planar flow casting system. Extra elements are added, such as thermal expansion, out of roundness of the wheel, crucible and actuator mechanics, and sensor behaviour. The controllability of the system using a PID controller is presented. With this knowledge, the design requirements for the adjustment of the existing PFC machine were defined, qualitatively as well as quantitatively, for the nozzle, pressure system and gap sensor. Following this, the microstructure of the material produced by the free flow casting (FFC) process is analysed. The microstructure is measured using XRD, SEM and EDS techniques. These measurements are then compared with a model based on the Scheil equation and a kinetic phase diagram, developed for the aluminium silicon alloy. The microstructure found consisted of primary silicon particles in which a significant amount of aluminium was dissolved, as predicted by the material model. The silicon particles are dispersed within an aluminium silicon eutectic matrix with rounded needle like features. The silicon particle size is in the order of 5 μm, whilst the feature size in the eutectic is in the order of 100 nm.","Planar Flow Casting; PFC; Rapid solidification; RSP; Aluminium Silicon Alloy; COMSOL; Microstructure; Kinetic Phase Diagram; Scheil; Non-equilibrium phase diagram; SEM; XRD; EDS","en","master thesis","","","","","","","","2025-10-11","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:34017bec-dbc5-4e5f-92c7-f979e9569f4d","http://resolver.tudelft.nl/uuid:34017bec-dbc5-4e5f-92c7-f979e9569f4d","Serenitea: Exploring material-based opportunities and scent integration in Tea Clay for visually impaired inclusive design","Ko, Sylvia (TU Delft Industrial Design Engineering)","Parisi, S. (mentor); Ghodrat, S. (graduation committee); Zhou, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Each year, 5.9 million tonnes of tea is consumed worldwide. However, this large consumption of tea also leads to large amounts of tea waste. Approximately 90% of steeped tea is being thrown away. This waste leads to problems such as environmental pollution. In order to combat this issue, Tea Clay was previously developed as a material made from wasted tea leaves. However, this material was not suitable for large scale implementation.
This project aims to explore the material-based opportunities for Tea Clay to be implemented on a larger scale.
The Tea Clay has an envisioned implementation as a memory board game based on tactile and olfactory senses for visually and non-visually impaired people. The Material Driven Design method is used for developing the material further to fit this vision. Benchmarking has inspired the material to have a reprocessing step: creating bulk material that can later be reprocessed into the intended product. Through tinkering, a new material with added gelatine and water was created which can reshape the material once it has been steamed. The reprocessability of the material could benefit the availability of the material for product implementation. Material can be created at one place and sold to customers, who in turn steam the material and reshape it in different products. The reprocessability also facilitates recyclability at the product end of life.
Bending tests and hardness tests have shown that it has a similar Young’s modulus and hardness as that of polypropylene and polyethylene, resulting in a material that is suitable for the substitution of conventional plastics. Experiential characterisation tests have proven that users are willing to interact with a novel material with appropriate background information. Knowing the material composition can stimulate them to be more accepting and embracing towards the material. Scent implementation is important for the intended product, as the scent should not disappear over time. A user test was conducted to test the scent duration and strength. Coating the material with essential oil has proven to keep the scent over a period of 4 weeks without much disappearance. The results of the material exploration both technically and experientially have come together in the design of a board game for visually and non-visually impaired people. This design aims at enhancing social interaction between visually and non-visually impaired through a game where everyone has a sense of collaboration and autonomy during game play and setup.
Overall, this study has demonstrated the potential of Tea Clay to be used as a waste composite material for product development. Future studies are still needed to further analyse the material properties of Tea Clay, and to validate its application in design for visually impaired people.
The measurement of spectral albedo using an albedometer device is a crucial component in predicting energy yield for bifacial PV panels, expected to become the dominant photovoltaic technology by market share in 2030 [13]. Building on the work of previous thesis projects at TUDelft, this thesis focuses on the following three topics of improvement:
Accuracy improvement in spectral reconstruction: The albedometer device is recalibrated according to the recently recalibrated EKO device, succeeding in reducing the error uncertainty in the first and last wavelength ranges of the spectral irradiance reconstruction. Whilst the average errors lie outside of acceptable uncertainty bounds, the systematic errors in the first and last wavelength bands are identified and proposed solutions involve adjusting the PSO algorithm and using machine learning to improve the prediction of atmospheric absorption parameters such as total precipitable water.
Spectral albedo reconstruction using Machine Learning: Machine learning techniques are employed to reconstruct down-facing spectral irradiance, achieving errors below ±5% for various sky classes and demonstrating the method’s potential for spectral albedo reconstruction in future work.
Improving device usability: The Albedometer App centralizes and automates data processing code, simplifying spectral irradiance and albedo reconstruction processes and greatly enhancing user experience.
This research is key for the development for the albedometer’s accuracy, functionality and usability. By integrating the model for spectral albedo reconstruction this thesis advances the overall development of the albedometer device, bring it one step closer to realising its full potential as a high accuracy, low cost measurement device, making it a valuable tool for spectral albedo reconstructions and precise energy yield predictions in the bifacial PV sector.","albedometer; spectral albedo; Machine Learning","en","master thesis","","","","","","","","2025-10-09","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:60afc71f-d632-4fac-aaa7-63cc534fa315","http://resolver.tudelft.nl/uuid:60afc71f-d632-4fac-aaa7-63cc534fa315","Towards a healthier young generation: A strategy for the municipality of Rotterdam to stimulate VMBO students to make healthy dietary choices through design interventions","Savalle, Evelijn (TU Delft Industrial Design Engineering)","Schifferstein, Hendrik N.J. (mentor); Peeters, A.L. (graduation committee); De Jager, C.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","We live in a world where our plates are filled with convenience and our choices are influenced by an environment full of temptation. The current food system places immense pressure on planetary boundaries and affects people’s health.
A large portion of the Dutch population does not stick to healthy diet guidelines, including the citizens of Rotterdam. This city has the highest national rates of overweight and obesity among adults and children. In recent years, major changes have occurred in the city’s food landscape. The number of fresh food providers has decreased by 38 percent, while the number of fast-food locations has increased by 46 percent, especially noticeable in low socioeconomic status (SES) neighbourhoods (Mölenberg, 2021). This, coupled with rising food prices and limited financial resources, leads to less healthy lifestyles and increased health risks among citizens of low SES neighbourhoods.
The municipality of Rotterdam has the responsibility to secure the health of the city and its citizens. Among initiatives undertaken, the municipality takes part in the City Deal ‘Healthy and sustainable food environment’, to improve the food landscape in collaboration with other municipalities. Within this framework, and in partnership with the municipality of Rotterdam, this project has been initiated with the research question:
“How can the municipality of Rotterdam stimulate VMBO students toward adopting a healthier and more sustainable diet?”
Literature and field research findings reveal that VMBO students’ dietary choices are influenced by individual factors, their social environment, and the physical environment. VMBO students are in the midst of their development, in which their friend group and social status are important. Consequently, a design statement addressing these aspects has been created using the Social Implication Design (SID) method (Tromp & Hekkert, 2014):
“To ensure VMBO students will make healthy dietary choices, I want them to feel cool among their friends by making healthy choices tempting.”
The final concept, developed in co-creation with the target group and in line with the design statement, includes two design interventions to promote healthier dietary choices among VMBO students. The first intervention is the ‘Eat to your Beat’ food festival at school. Through a variety of festive activities, VMBO students gain knowledge and skills related to healthy eating. The first intervention aims to actively engage students to experience and discover that healthy food can be tasty and fun. The second intervention, ‘Eat smart, Play hard’, uses street art to draw the attention of VMBO students to healthy food choices. The art is linked to an online challenge complemented by a social media campaign. This intervention aims to seductively showcase healthy foods and engage with the target group in their online world.
Lastly, a roadmap presents the required steps for the municipality of Rotterdam to implement the design interventions. The first horizon of the roadmap focuses on laying the foundation, the second one is on expanding the concept, and the last horizon is on optimising the performance.
The concept aims to activate the municipality and improve the health of VMBO students in Rotterdam South by making healthy food cool!
The following paper will address this research gap by investigating a case study of water governance in Yangon, Myanmar. In February 2021, the Myanmar military staged an unexpected takeover of the government which has led to frequent clashes between the de facto authorities and the resistance movement. Within this context, the UN-Habitat office in Myanmar is in the process of establishing water distribution systems. These systems will provide clean water to inhabitants of informal settlements as a part of the COVID-WASH project. This project is an emergency response project designed to reduce the spread of COVID-19. When implementing projects in communities, UN-Habitat uses a participatory methodology called the People’s Process. The People’s Process includes the creation of Community Development Committees (CDCs) which, in the COVID-WASH project, will manage the water distribution systems. However, it is unclear how the conflict setting impacts the People’s Process and whether it is able to establish water governance systems that will be able to sustainably supply clean water to the informal settlement residents.
The research question is thus, “Does the People’s Process contribute to creating sustainable water governance structures in the current context of Yangon’s informal settlements, and if so, in what ways?” The following sub questions are used to answer the main research question: (1) What best practices exist for creating community-led sustainable water governance structures? (2) How does UN-Habitat operationalize the People's Process for water governance in Yangon's informal settlements? (3) In what ways does the People's Process compare to best practices for creating sustainable water governance and why? (4) In what ways does the conflict setting affect the ability of the Peoples' Process to create sustainable water governance and why?
Sub question one is answered through a literature review which investigates relevant fields such as participatory processes, governance of common pool resources, collaborative governance, and water governance. Literature on contextual factors relevant to the situation in Myanmar are also discussed. The results of the literature review are then used to create a theoretical framework for assessing UN-Habitat’s water governance structures. The resulting framework is grounded in a realist review which investigates how and why systems work the way they do. The following sub questions are answered with data from interviews. Nine interviews were conducted with UN-Habitat staff, members of CDC from similar projects, and one representative from the NGO WaterAid. Transcripts of the interviews were coded for analysis.
To answer sub question two, an outline of the People’s Process as used to implement the COVID-WASH project is presented and compared to other outlines of the People’s Process. Sub question three is then answered by investigating where UN-Habitat’s process aligns with the theoretical framework and where and why it does not. An actor analysis is another key result of this research. The discussion then expands on the results in order to answer the main research question, as well as sub question four.
Ultimately, the People's Process emerges as a powerful participatory model that fosters community ownership and engagement. The CDCs follow a well structured and functional governance framework. Given the 5-10 year lifecycle of the distribution systems, the governance structures appear to be somewhat sustainable within limitations. However due to a lack of clear cut parameters for sustainability, it is difficult to assess to what degree these structures are sustainable. Two points stand out from the research which may serve as areas for improvement. First, CDCs face difficulties in incentivizing community members to fill vacant positions. Second, financial constraints limit the CDCs' ability to undertake substantial improvements beyond routine O&M. It was additionally found that the conflict setting has a greater impact on UN-Habitat’s processes than on the CDC’s themselves. For the CDCs, the conflict setting primarily serves to exacerbate existing problems. As such, it may be more productive to search for ways to improve the resilience of the governance structures as a means of ensuring they are sustainable in the face of shocks to the system.
For UN-Habitat, recommendations therefore include considering how collaborative governance may be used to enhance the resilience of the systems by sharing the governance responsibilities of the CDCs among multiple actors. Additionally, greater reflection on what outcomes are sufficiently sustainable and how those can be empirically monitored and evaluated would allow for a better judgment on where improvements to the water governance structures are needed. Recommendations for future research include adding aspects of causality to the theoretical framework in order to identify which elements are directly linked to sustainability as an outcome. Additionally, further investigation into how community-led, multi-actor systems operate in a variety of conflict settings could be useful in refining the conclusions of this research.","Water Governance; Conflict; Myanmar; Sustainability; Participatory Methods; Community Development; Informal Settlements","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:8e5c629a-c3ab-4d91-bfb7-527440c82977","http://resolver.tudelft.nl/uuid:8e5c629a-c3ab-4d91-bfb7-527440c82977","Sea Turtle Nest Inundation in Ras Baridi: Improving Flood Risk Modeling in Data-Limited Coastal Regions","Dédina, Daniel (TU Delft Civil Engineering & Geosciences; TU Delft Hydraulic Engineering)","Antolínez, José A. Á. (graduation committee); Christiaanse, Jakob (mentor); Reniers, A.J.H.M. (graduation committee); Roelvink, Floortje E. (graduation committee); Elshinnawy, Ahmed I. (graduation committee); Delft University of Technology (degree granting institution)","2023","Sea turtles, with six of the seven species listed as endangered by the IUCN, face significant threats. Their eggs exhibit sensitivity to fluctuations in temperature, salinity, and moisture during their 6-8-week incubation period. Inundation of these eggs can substantially decrease their viability and influence sex ratios. This thesis centers on mitigating the wave runup-driven flooding of sea turtle nesting beaches. Mitigating nest flooding can involve relocating at-risk nests to higher elevations. This thesis aims to assist in responsible nesting relocation by providing flood risk information as a function of beach elevation. The study site, Ras Baridi, is a significant nesting site for green turtles (\textit{Chelonia mydas}) in the Red Sea. The research focuses on the development of a methodology for assessing flood risk in environments with limited data.
The risk of sea turtle nests to flooding at Ras Baridi was assessed by employing two different metamodels, BEWARE 2.0 and HyCReWW, that were recently developed to assess wave runup in coral reef environments at low computational costs. These models employ different strategies to model wave runup. BEWARE 2.0 uses real bathymetry, whereas HyCReWW schematizes the input bathymetry. 40-year hindcast datasets of waves and water levels from 1978-2018 were used in combination with 10 m horizontal resolution bathymetry data from the Allen Coral Atlas as model inputs. HyCReWW exhibited maximum runup at an 80 m reef width (0.95 m for 1 year return period (RP), 1.95 m for 40 year RP) BEWARE 2.0’s highest runup was at an 80 m reef width (1.35 m for 1 year RP, 2.7 m for 40 year RP). Median inundation durations from BEWARE 2.0 and HyCReWW were 4 and 7 hours, respectively. Beach elevations associated with 5-year return periods were identified as suitable minimum nesting elevations to mitigate inundation risk.
BEWARE 2.0 and HyCReWW results for Ras Baridi lacked direct real-world validation, prompting a methodological validation approach. Six validated XBeach 1D NH models in fringing reef environments globally were utilized for comparison against BEWARE 2.0 and HyCReWW. The comparison revealed increased median scatter indices and root-mean-square (RMS) errors for both BEWARE 2.0 (0.07 and 0.1 m increases) and HyCReWW (0.1 and 0.15 m increases) with low-resolution bathymetry (10 m horizontal). BEWARE 2.0 had lower median RMS errors and scatter indices for high-resolution (2 m horizontal) and low-resolution bathymetry than HyCReWW, as well as smaller spreads. Consequently, utilizing BEWARE 2.0 results is recommended for Ras Baridi and similar data-scarce coastal environments over HyCReWW.
In summary, this thesis addresses the pressing issue of sea turtle nest flooding, providing a methodology to assist in responsible nest relocation in low data environments. The 5-year return period runup elevation of the BEWARE 2.0 results (1.25-1.75 m along the beach) has been identified as a suitable minimum nesting elevation in Ras Baridi, and the likelihood of flooding as a function of beach elevation has been provided. These results can aid coastal managers in making informed decisions for the protection of these endangered species.
Introspective methods are gaining momentum within the design field. They add a subjective dimension to the existing body of research, enriching our understanding of complex phenomena, human experiences, and psychological processes. The personal, revealing nature of introspection is what gives this method its unique opportunities, however, its risks too. Introspection can pose a variety of risks to the researcher themselves, as well as to those they refer to in their research. The rise of introspection in design must be met with a corresponding commitment to ethical responsibility. To get there, the gap must be addressed between existing procedural ethics and the realities of introspective practice.
This Master thesis researches how we can design to support researchers who use introspection as a method to assess the ethical risks and opportunities of their introspective research and manage these throughout their study. Through literature and empirical research, five risk dimensions and six opportunity categories were identified, providing guiding lenses through which researchers can assess and navigate risks and opportunities (R&O). Through a focus group, interviews, and an introspective self study, three key problems emerged: 1) researchers have little awareness and understanding of potential R&O, 2) it is difficult to look ahead and identify R&O in their own study, and 3) they have little know-how on how to deal with risks (and opportunities). This especially goes for researchers who are new to using introspection as a method.
A toolkit prototype was developed and evaluated with end-users. Evaluation showed that the toolkit provides valuable guidance for researcher practitioners to minimize the risks of their study, while maximizing the opportunities. Based on the evaluation feedback, a final design update was done, resulting in the final design: The Introspector’s Toolkit for Responsible Practice. This toolkit aims to help researchers understand, identify and manage the risks and opportunities of their introspective research. For further development of the toolkit, ten recommendations are proposed, including improving its collaborative use, expanding the content, improving form and interaction, and more elaborate testing.","Introspection; Experience design research; First-person methods; Ethics; Responsible Research","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:9c117857-0f8a-4f74-a8a9-098b11b54590","http://resolver.tudelft.nl/uuid:9c117857-0f8a-4f74-a8a9-098b11b54590","A Semi-Analytical Approach for Predicting the Stresses and Failure Modes of Composite Lugs","Pouchias, Konstantinos (TU Delft Aerospace Engineering)","Kassapoglou, C. (mentor); Castro, Saullo G.P. (graduation committee); Pascoe, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Lugs are a specific type of joint with a semi-circular geometry around the pinhole utilized in the aerospace field. Its distinct geometry and ability to carry loads in its plane make it a very common choice for design engineers. Although this type of joint is widely used, there are no analytical equations that can predict the stresses around the hole. This research topic presents a semi-analytical approach to predict the in-plane stresses and failure modes of a composite lug under tensile pin loading. The methodology developed is based on stress functions for anisotropic beams implemented in stress equations for solid bodies in a polar coordinate system. The results of this approach are validated with the use of numerical simulation models, whose trustworthiness is verified by tensile tests. The outcome of this thesis is in-plane stress distribution graphs for every layer of the laminate around the hole and the in-plane failure mode of the lug. The results show a good accordance between the stresses predicted from the semi-analytical approach and the numerical simulations. Moreover, two lug designs with different geometric characteristics were tested in order to observe the influence of the geometry on the failure load. It was concluded that the geometry affects the maximum failure load but not the stress distribution, which is concurrent with the literature. Overall, the methodology presented in this research topic provided promising results. The findings of this thesis can have a great impact and aid engineers in estimating the stresses in a composite lug from the preliminary phase of a project.","Composite Material; Joints; Lug; FEM; Tensile tests","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:cb35f120-a8e1-4166-aafa-2f908f235685","http://resolver.tudelft.nl/uuid:cb35f120-a8e1-4166-aafa-2f908f235685","Evaluating Non-Invasive Spontaneous Breath Detection in Preterm Infants: Development and Assessment of a Data Extraction Method to Clinically Validate the Pressure Trigger Algorithm in the SLE 6000 Ventilator","Blom, Fabio (TU Delft Mechanical, Maritime and Materials Engineering)","te Pas, Arjan (mentor); Cramer, Sophie (graduation committee); Brouwer, Fleur (graduation committee); Delft University of Technology (degree granting institution)","2023","The pressure trigger (PT) algorithm of the SLE 6000 ventilator is a non-invasive respiratory rate (RR) monitoring technique that can detect spontaneous breathing during non-invasive respiratory support. The PT algorithm can detect spontaneous breaths based on air pressure changes in the ventilatory circuit, labelling the pressure fluctuation as a PT. The threshold for the algorithm to detect a PT is set by the trigger sensitivity (TS), a user-set variable ranging between 0-100%. Although regularly used in the neonatal intensive care (NICU) of the Leiden University Medical Centre (LUMC), the PT algorithm still needs to be clinically validated as its performance in spontaneous breath detection is still unknown. To investigate the accuracy of the PT algorithm, it is necessary to collect timestamps of detected PTs, but these are not yet accessible. The aim of this study was to design and validate an extraction method to obtain the PT timestamps. For this, an experimental setup (EXP-SET) was designed, which connects to the ventilatory circuit of the SLE 6000 and allows us to perform to acquire the necessary timestamps. A replicated version of the PT algorithm detects PT timestamps from the raw pressure data acquired from the EXP-SET. To validate the similarity in PT detection between the EXP-SET and the SLE 6000, standardized and unstandardized bench tests were performed. The SLE 6000 was assumed to be the golden standard in PT detection during the bench tests. In the standardized bench test, the EXP-SET showed a sensitivity between 0.952 - 1.0 and a positive predictive value (PPV) between 0.997 - 1.0 for 50% TS. However, when increasing TS to 100%, the EXP-SET’s sensitivity and PPV decreased to 0.466- 0.618 and 0.673 - 0.844. In the unstandardized bench test, the sensitivities and PPVs were 0.794 and 1 for 50% TS, 0.901 and 0.996 for 75% TS and 0.785 and 0.958 for 100% TS. The results show that the designed EXP-SET does not yet detect PTs identically to the SLE 6000. The most probable cause is the different sampling frequency used in the EXP-SET compared to the SLE 6000, which leads to different behavior between the PT algorithm and replicated algorithm. Nevertheless, if the EXP-SET is further improved, it shows potential as an alternative acquisition method for the timestamp collection of spontaneous breaths for the SLE 6000. A properly functioning EXP-SET will enable clinical validation of the PT algorithm in the SLE 6000.","Preterm Infants; Non-invasive respiratory support; Spontaneous breathing; Pressure trigger","en","master thesis","","","","","","","","","","","","Technical Medicine","",""
"uuid:3903b806-a9c5-4d5b-9bd6-4505c83fdb3a","http://resolver.tudelft.nl/uuid:3903b806-a9c5-4d5b-9bd6-4505c83fdb3a","Weight classification during actively assisted elbow flexion and extension","Heyns, Kirsten (TU Delft Mechanical, Maritime and Materials Engineering)","Filius, S.J. (mentor); Harlaar, J. (graduation committee); Marchal Crespo, L. (graduation committee); Zgonnikov, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Severe muscle weakness is a symptom appearing in certain neuromuscular diseases (NMDs), such as Duchenne Muscular Dystrophy (DMD), affecting people's daily lives by reducing functionality, decreasing independence, and reducing the ability to perform essential daily activities. This patient group might benefit from using active-assistive devices by having the potential to provide precise support torque counterbalancing the passive forces acting on the arm, the movement intention of the user, and external forces exerted by lifted objects. However, the determination of support to counteract the weight of lifted objects is an ongoing challenge. This research aims to improve the understanding of external forces by using data classification algorithms to distinguish between different lifted weights in a human experiment. Fourteen healthy individuals participated in this experiment, lifting weights ranging from 0 - 1000 grams while an active-assistive device compensated for the passive torques acting on the arm. Data was collected using various sensors: a force sensor, an Inertial Measurement Unit (IMU), a joint encoder, and surface Electromyography (sEMG) electrodes. Subsequently, this data was processed and fed into a K Nearest Neighbour (KNN) classifier and a Support Vector Machine (SVM) classifier to determine the lifted weights during human elbow flexion and extension. The classifier showing the highest performance achieved an accuracy of 39.70% on the test dataset, indicating several misclassifications. However, a recall percentage of 76.95% for the 1000-gram class within the multi-class classification demonstrates the capability to distinguish larger weights. While demonstrating potential in weight discrimination, especially for larger weights, improvements in the compensation strategy, arm support alignment, and experimental design are crucial. Future research on the impact of picking and placing objects, the influence of muscle weakness, and the application of alternative data classification algorithms are essential to further enhance understanding of the interaction with objects and result in more accurate predictions.","KNN classifier; SVM classifier; arm support; sensor measurements; weight compensation; human experiment; human-machine interfaces","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:2b9c5c72-cec0-4a29-8aba-aad94a618366","http://resolver.tudelft.nl/uuid:2b9c5c72-cec0-4a29-8aba-aad94a618366","Optical fibre precision positioning at cryogenic conditions","Smits, Rudi (TU Delft Mechanical, Maritime and Materials Engineering)","Bhattacharya, N. (mentor); Ghatkesar, M.K. (mentor); Kooiman, H. (mentor); Delft University of Technology (degree granting institution)","2023","Single photon detectors are an important optical sensing tool in many industries. However, these highly efficient detectors suffer from variations in the size of an optical cavity when cooling down to 3K. An active positioning system is therefore required to correct the relative position of the fibre to the detector, so an optimal and reproducible cavity size can be achieved, thereby maximizing their efficiency. The literature study performed on cryogenic precision actuators showed that a stepper motor in combination with a motion reduction mechanism is the most feasible design. A stepper motor and a precision screw were two fundamental components of the preliminary design, so their lubrication was removed and were proven to work cryogenically. The rest of the design was based around these fundamental components. Room temperature tests were done to show the functionality of the design and it showed a positioning resolution between 10 nm and 30nm with just a 100nm loss of motion when unloading and loading the system. Cryogenic tests achieved similar results and it showed that the reflected power of a detector was reduced from 8.8% to 3.6%, indicating that the maximum achievable detector efficiency increased from 91.2% to 96.4%.","SNSPD; Actuator; Cryogenic; Nanopositioning","en","master thesis","","","","","","","","2025-10-13","","","","Mechanical Engineering | High-Tech Engineering","",""
"uuid:4133dca6-79f3-4777-8e4d-2849d343d899","http://resolver.tudelft.nl/uuid:4133dca6-79f3-4777-8e4d-2849d343d899","Deformation of crane hardstands","Krielaart, Thomas (TU Delft Civil Engineering & Geosciences)","Zwanenburg, C. (mentor); Brinkgreve, R.B.J. (graduation committee); van den Bos, W. (graduation committee); Alkema, S.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Crane hardstands serve as crucial platforms for supporting heavy lifting equipment and ensuring operational efficiency. However, crane hardstands are not infinitely stiff and will deform upon loading. Uneven loading during wind turbine installation will result in differential deformation of the crane hardstand, causing the crane to tilt. A small tilt of 0.3°, which is equivalent to around 30-60mm differential settlement depending on the crane, will create safety hazards, causing construction to be discontinued. As a result accurate deformation predictions are required to design a sufficient crane hardstand.
This research is conducted to investigate the influence factors of the deformation of a crane hardstand, evaluate the current prediction method and to improve the accuracy of future deformation predictions, so the hardstands can be designed more efficiently.
The research begins with a literature study on the above surface influences on the magnitude of the load and the corresponding soil behaviour of the soil profile beneath the hardstand. Furthermore the current prediction method is analyzed to dictate shortcomings. The expected influences found in the literature study are examined with full scale monitoring and testing cases. Finally, a sensitivity analysis is performed on the current prediction model to specify the parameters with the biggest influence on deformation for different variants. These parameters are then assessed on how a more accurate determination might influence the predicted deformations.
The numerical simulations are carried out using advanced finite element analysis software Plaxis, specifically the HS(small strain) model. This model enables the investigation of various factors affecting hardstand deformation, such as varying soil stiffness, load distribution, and foundation characteristics.
The biggest shortcoming of the current prediction method is found to be the exclusion of time dependent behavior. And the most influential soil parameters of the HS(small strain) model after the addition of a consolidation phase to the model are found to be the stiffness and permeability parameters. The deformation prediction is done for the entire range of uncertainty of these parameters (5-, 25-, 50-, 75-, 95- percentiles) to quantify prediction accuracy improvements were these parameters determined witch precise. For both peat and clean clay the permeability coefficient is found to, when determined more accurately, have a 50% chance to result in a predicted deformation reduction of between 40 to 60 %, while a more accurate prediction of the stiffness parameters Eoed E50 Eur has a 50% chance to result in a predicted deformation reduction of between 65 to 75%
The findings of the research can be used by engineers to test the effectiveness of their own hardstand deformation prediction method and provide advise on the benefits extra soil investigation might lead to.
Keywords: Crane hardstands, deformation analysis, differential settlement, cyclic loading, Hardening soil small strain, FEM-modeling, sensitivity analyses.","Crane hardstands; Deformation analysis; Differential settlement; Cyclic loading; Hardening soil small strain; FEM-modeling; Sensitivity analysis","en","master thesis","","","","","","","","","","","","Civil Engineering","","52.0022N, 4.3736E"
"uuid:56d6b1a0-232d-4e13-b76f-cbf93040cd66","http://resolver.tudelft.nl/uuid:56d6b1a0-232d-4e13-b76f-cbf93040cd66","Green Transition Efficiency in African Countries: The role of climate aid and climate readiness","Muciaccia, Stefano (TU Delft Technology, Policy and Management)","Correljé, A. (mentor); Slinger, J (mentor); Ndubuisi, G.O. (mentor); Delft University of Technology (degree granting institution)","2023","This research is designed to provide a structured and comprehensive examination of the complex relationship between climate readiness, climate aid, and carbon efficiency in African countries. At its heart, the primary goal is to construct a climate readiness framework initially designed to assess developing nations and subsequently refine it to align with the distinct contexts of African nations. This framework undergoes a two-fold process, starting with its conceptualization and followed by its adaptation through a combination of theoretical examination and real-world application. It forms the foundation of this research, supplying essential insights relevant to policymakers and institutions engaged in tackling climate-related issues specific to Africa.
In the second phase of the study, a linear regression model is employed to analyze the connections between carbon efficiency, climate readiness, and climate aid. Carbon efficiency is determined using Data Envelopment Analysis (DEA), while the climate readiness variable is derived from the developed framework. The empirical findings underscore the critical role of targeted climate aid, particularly in countries with higher climate preparedness. This synergy contributes significantly to decoupling carbon emissions from economic growth, emphasizing the importance of strategic climate aid in fostering sustainable and environmentally responsible economic development.
Overall, this research aims to shed light on the complex interplay of factors shaping climate outcomes in African countries, providing a foundation for informed decision-making and policy development in the realm of climate change mitigation and adaptation.
Designers who work with textiles have a tendency to view woven fabrics as unchanging, or static, materials. However, to truly utilize the benefits of textiles, a deeper understanding of how these fabrics can be designed to exhibit responsive behaviors in their use is required. This involves exploring and utilizing their inherent properties to create interactive systems that are dynamic and adaptive.
Textile motifs have been an integral part of human culture for centuries, reflecting various artistic, cultural, and social influences. With the advent of technology and the growing interest in interactive and transformative fashion, the concept of dynamic textile motifs has emerged as an exciting and innovative field of exploration. This project delves into this topic, investigating their potential to revolutionize the way we perceive and interact with garments.
The study begins with a comprehensive analysis of traditional textile motifs and the tools utilized in the creation of these. It further focuses on exploring their color-changing capabilities by aging, unveiling the potential of incorporating interactive elements and responsive features into fabrics, such as experimental compositions and new jacquard woven constructions.
The research seeks to enhance understanding and foster new methodologies, enabling unique and engaging experiences for users. Tools like Material-Driven Design, material tinkering, experiential characterization, and experience trajectories in longitudinal study revealed to be essential for the findings of the research.
Throughout the study, the topic shifts towards the conceptualization and creation of dynamic textile motifs that respond over time to various stimuli. Weaving, dyeing, and aging tests for discoloration/coloration through different environmental factors are some of the techniques that helped the study to showcase examples of responsive textiles or garments, where motifs adapt to the wearer’s actions over time. Moreover, the project emphasizes the importance of sustainability and eco-conscious practices in the development of dynamic textiles. It highlights the potential of utilizing natural dyes, organic materials, and circular fashion principles to ensure the responsible production and longevity of interactive garments.
This thesis also explores the user experience aspect, analyzing how wearers perceive and engage with dynamic textile motifs. By studying user interactions, preferences, and feedback, the abstract addresses the challenges and opportunities in making dynamic textile motifs an enjoyable experience for diverse audiences.
When combining all of the elements of this research creating a new fabric is still not a desirable outcome. If people could reuse existing textiles and a dyeing guide the longevity and satisfaction from a garment could be highly extended. I believe a product-service system can be created to facilitate such a product.
Finally, this project demonstrates dynamic textiles as an exciting and transformational option in the world of fashion. It emphasizes their ability to break down traditional barriers, improving wearers’ self-expression and emotional connection with clothing.
PET bottles and cans could be seen as a big contributor in the waste streams of Schiphol. This was concluded from research that was executed by TULIPS.
An opportunity for this project was found, as PET bottles and cans are a great option for recycling. This means that ideally these beverage containers should have their separate waste stream. Drawing upon Fogg’s behavior model (2009) as a foundational framework, design opportunities could be found. The model proposes that behaviour is a combination of three key factors: motivation, ability and triggers. In this context, motivation is explored in terms of environmental concerns, while capability is divided into
components such as time, money and physical effort, among others. Triggers, on the other hand, are categorised as sparks, facilitators and signals, each playing a different role in influencing behaviour. The research further delves into practical applications, with a focus on Schiphol and the challenges of PET bottle and can collection. Through a series of brainstorming sessions and idea generation exercises, participants created visual ideas and potential solutions. A final design is proposed which consists of an add-on at the current waste bins at Schiphol, and a campaign proposal. The report concludes with a series of recommendations and insights into what the final design could offer Schiphol as it moves towards a more sustainable future.","sustainability; recycling; Behaviour Change; PET bottles; cans","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:b71497fc-9d8e-4f8e-8af1-65a8d7781aa8","http://resolver.tudelft.nl/uuid:b71497fc-9d8e-4f8e-8af1-65a8d7781aa8","Dead Time Control Circuit in Monolithic GaN Class D Audio Amplifier","Pan, Jing (TU Delft Electrical Engineering, Mathematics and Computer Science)","Fan, Q. (mentor); French, P.J. (graduation committee); Berkhout, Marco (graduation committee); Delft University of Technology (degree granting institution)","2023","GaN transistors have advantages over conventional Si MOSFETs, such as lower on-resistance, lower parasitic capacitance, higher break-down voltage, etc. However, due to the lack of the body diode, when GaN transistors conduct reverse current during dead time, the source-drain voltage (VSD) can be very large (up to 4-5 V, depending on the output current). High reverse conduction voltage leads to large power loss during dead time for the GaN class D amplifier. In this project, a dead time control circuit is proposed. With the dead time control circuit, the dead time can be reduced from a large default value to around 5 ns. The output power of the class D amplifier can be improved, and the third-order harmonic distortion can also be improved by 5-10 dB for different corners and temperatures.","Dead time control circuit; GaN class-D amplifier; monolithic GaN circuit","en","master thesis","","","","","","","","2025-10-13","","","","Electrical Engineering","",""
"uuid:880db46c-d997-4d00-bfae-9156c0b64542","http://resolver.tudelft.nl/uuid:880db46c-d997-4d00-bfae-9156c0b64542","The Cost-Carbon Trade-off of Green Hydrogen Production: The influence of weather patterns and prioritizing on the design of green hydrogen production chains","Iwema, Rolf (TU Delft Electrical Engineering, Mathematics and Computer Science)","Goetheer, Earl (mentor); de Jong, W. (graduation committee); Ramirez, Andrea (graduation committee); Katakwar, Piyush (graduation committee); Delft University of Technology (degree granting institution)","2023","Green hydrogen has a pivotal place in the energy transition, addressing carbon emissions in hard-to-abate sectors. As global hydrogen demand rises, decarbonizing green production becomes vital. This study explores the influence of design choices and local weather patterns on the Carbon Footprint (CF) and Levelised Cost of Hydrogen (LCOH) for green hydrogen production.
An optimisation model is developed, simulating the production chain (cradle-to-gate) and optimising it for low CF and LCOH based on location-specific wind and solar data. Three locations (Duqm, Groningen, Dakhla) and three design choices (electrolyser, PV type and wind-solar ratio) are assessed.
Results indicate that local weather patterns significantly affect system performance. Dakhla boasts low production costs due to consistent solar and wind energy. Groningen has a low CF but high LCOH due to ample offshore wind but inconsistent sun. Duqm has a low LCOH but high CF due to abundant sun but inconsistent wind.
Design choices, particularly the solar-wind energy ratio, strongly impact both LCOH and CF. PV technology selection also matters, with CI(G)S performing well overall. Alkaline electrolysis is preferred over PEM.
The research demonstrates that design choices can substantially influence the CF, resulting in serious CF reduction at prices comparable to blue hydrogen.
Future research should include storage, transportation, and off-taker aspects and expand impact categories, including social and critical raw material depletion impact categories.","Green Hydrogen; carbon footprint; Electrolyser; Levelised cost of hydrogen; optimisation","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:96076fda-d0c7-450e-951d-b62d0f3675bf","http://resolver.tudelft.nl/uuid:96076fda-d0c7-450e-951d-b62d0f3675bf","Predicting erosion of vegetated dunes during hurricanes: Assessing the representation of vegetation effects in XBeach","Cuevas Salgado, Sebastian (TU Delft Civil Engineering & Geosciences; TU Delft Hydraulic Engineering)","de Vries, S. (mentor); Tissier, M.F.S. (graduation committee); van Dongeren, Ap (graduation committee); Quataert, Ellen (graduation committee); Delft University of Technology (degree granting institution)","2023","Coastal dunes serve as the primary defence mechanism against coastal storms for many coastal communities around the world. Vegetation plays a role in increasing dune resiliency as it enables dune growth, however not enough is known about its effects during storms. Research has shown that the current climate crisis will increase the intensity of coastal storms as the sea level rises and global temperatures increase. It is therefore of utmost importance to understand the effects of vegetation on coastal dunes. Particularly with current trends of nature-based solutions to plant vegetation in dune restoration projects.
In this master thesis, the impact of Hurricane Ian on two barrier islands is analyzed and modelled. The primary focus of the study was to investigate how dune vegetation influenced the erosional effects of the storm, with a particular emphasis on enhancing the existing methodologies for incorporating vegetation into morphological models such as XBeach. The research findings derived from the data analysis revealed that the most resilient dunes are high, broad and have a dense vegetation coverage. Moreover, the model outcomes highlight the substantial improvement in predictive accuracy achieved by integrating vegetation as a bed roughness coefficient within the XBeach model. Adding vegetation to the model directly influences current velocities, but does not affect water levels, wave heights, or infragravity waves. The primary influence of vegetation becomes pronounced when an island is inundated or breached, significantly reducing the currents and sediment transport caused by water level gradients. An additional effect of vegetation was observed in a comparative analysis between vegetated and unvegetated models. This analysis highlighted a delay in dune crest lowering when vegetation was present, showcasing the importance of vegetation in shaping the response of barrier islands during storm events.
Numerical modelling can help understand the complex processes that shape barrier islands during storms. This research emphasized the necessity of including vegetation in XBeach models to enhance their predictive capabilities. The best predictions occur when high-resolution bathymetric data is combined with land use land cover (LULC) data to include vegetation as a constant bed roughness parameter. Furthermore, reducing the land classes to four different ones based on dune vegetation zones improves the results of the model and facilitates the calibration of bed friction coefficients.
The most effective models applied in this study demonstrated impressive skill, ranging from good to excellent, accurately predicting breaches in the precise areas they occurred for both islands under investigation. This research contributes to the continued improvements of modelling with XBeach and provides a detailed method of analyzing the effects of dune vegetation on dune erosion to determine the impact of Hurricanes in coastal dunes.","Coastal dune development; Coastal erosion; Coastal modeling; XBeach; dune erosion; dune vegetation; land use land cover (LULC); XBeach vegetation; Coastal defence; barrier islands; Hurricane Ian; Morphodynamic modelling","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:8244baba-ba04-44f2-b9b4-6f9609fa8435","http://resolver.tudelft.nl/uuid:8244baba-ba04-44f2-b9b4-6f9609fa8435","RE4Design: Exploring the potential of Rhythmic Entrainment for Product Design","Stortelers, Frederike (TU Delft Industrial Design Engineering; TU Delft Sustainable Design Engineering)","Tempelman, E. (mentor); Huisman, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","This master thesis explores the potential of Rhythmic Entrainment (RE) for product design applications. The research starts by defining some of the important terms and providing example cases of RE from products and research. A comparison between the cases illuminates the possibilities RE can have for product design and research. [1] RE can create interesting new product interactions, [2] the theory is not applied as broadly across industries.
The second part of this thesis aims to collect and present the knowledge that is relevant to start designing with RE. A framework inspired by embodied interactions is presented, categorizing between human-product-context. The framework approaches designing with RE in an iterative manner, fostering simplicity while acknowledging the complexity of the design process. A product Benchmark test showed that being “hands on” can help designers understand the design tensions. These tensions are caused by the temporality of rhythm, the interaction between factors of the system and the particularity of cases.
Interviews with experts in rhythm (by job, hobby or ability) showed how it can evoke strong emotions and create engaging experiences. The latter occurs when the full system interacts with each other, creating a loop of continuous feedback on the human rhythm, provided by the context or product. In literature, these symmetrical interactions with rhythm are considered valuable, but the practical knowledge and applications are not available.
Therefore the last part determines the factors that influence different levels of responsive interactions from research. To test wether a more responsive interaction is preferable, a research through design case is executed with a design problem from Glimp. It wants to adjust its breathing rhythm to the user and guide it towards the goal rhythm of the exercise. This case resulted in a concept that applies a “configuration” level of responsiveness, while taking skill and data collection into account. The outcome of the research shows that a product can also be too responsive and that time influences sensor choices and the output.
All of the results are summarized in the RE4Design framework that aims to inform designers about the topic in a visual and understandable manner.
The material phase serves as a literature review to study the current technologies and available bioproducts in the market to make an informed selection. Once the materials are selected, the system design phase starts with an emphasis on dynamic construction and design for disassembly features.
Overall, this study aims to minimize reliance on non-renewable resources such as aluminium in façade systems. Therefore, it is important to analyse the thermal and hygrothermal behaviour of the materials to integrate design characteristics that can improve their performance while protecting their structural integrity.
After the feasibility of the design concepts gets validated, a comparative environmental assessment against standard aluminium façade systems is conducted to quantify the sustainability impact of the proposed system. Ultimately, the research project proposes a detailed exploration into the feasibility of circular, bio- based unitised façade systems. Therefore, the introduction of bio-based systems that support sustainable methodologies and practices from the material, design, and system level is demonstrated.","Circularity; Facade Engineering; Design for Disassembly; Building Physics; bio-based materials; sustainability; Hygrothermal performance; life cycle assessment (LCA)","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:2a8a4a43-52dc-4f84-8622-8c4f3c1acb68","http://resolver.tudelft.nl/uuid:2a8a4a43-52dc-4f84-8622-8c4f3c1acb68","Stability for Discrete Event Max-Min-Plus (MMP) and Max-Min-Plus-Scaling (MMPS) Systems: Max-Plus Lyapunov Functions for Stability Analysis and Control","Peijnenburg, Emiel (TU Delft Mechanical, Maritime and Materials Engineering)","van den Boom, A.J.J. (mentor); Markkassery, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research presents a framework for analysing the stability and control of discrete-event systems, specifically emphasising max-min-plus (MMP) and max-min-min-plus-scaling (MMPS) systems. These systems are valuable modelling tools for various applications, including production systems and urban railway traffic management, respectively. However, a critical challenge in discrete-event systems is the lack of a generalised approach to assessing the stability of time signals, particularly in the context of MMPS systems. To address this challenge,
this research will use max-plus Lyapunov functions already used to study the buffer stability in discrete-event switching-max-plus-linear (SMPL) systems.
This thesis provides a framework to use max-plus Lyapunov functions to determine buffer stability of MMP and MMPS systems, focusing on their time signals. The max-plus Lyapunov function uses a buffer for each pair of states. The system is considered stable if the difference converges to the buffer levels for every pair of states. Given the structure of MMP and MMPS systems, the difference between the states after one state update will often be bounded. To determine this boundedness of the buffer levels, a novel concept of ""fully correlated"" MMP and MMPS systems is introduced. Using the properties of fully correlated systems, an algorithm is proposed to determine the buffer levels for both MMP and MMPS systems. We also derive analytical methods using Markov properties to assess the additive eigenvalue of fully correlated time-invariant monotonic MMPS systems. Using the property of fully correlatedness, it is also derived that fully correlated time-invariant non-monotonic MMPS systems will always have a bounded buffer and growth rate and can have multiple additive eigenvalues. The findings show that fully correlated time-invariant systems consistently exhibit bounded growth rates.
In addition to providing theoretical insights, this study demonstrates the practical use of max-plus Lyapunov functions as a control Lyapunov function (CLF) in model predictive control (MPC). A novel control technique is proposed to stabilise naturally unstable discrete event systems. This approach has been effectively applied to stabilise inherently unstable discrete-event max-plus-linear (MPL) and MMP systems, indicating the practical significance of the proposed framework.","discrete event systems; max-plus algebra; MMP; MMPS; MPC; Lyapunov Stability","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:1561016a-a589-408b-be88-bd23e0987e4f","http://resolver.tudelft.nl/uuid:1561016a-a589-408b-be88-bd23e0987e4f","Analysis of low-voltage energy supply networks in x-by-wire autonomous electric vehicles and the thermal integration of the NV storage","Trevisan, Pietro (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Transport Engineering and Logistics)","Polinder, H. (mentor); Hackner, Thomas (graduation committee); Latorre Correa, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the rapid advancement of technology, we are moving towards a society that is increasingly driven by computer intelligence. At the same time, we are facing pressing environmental issues that are pushing us towards cleaner solutions. One industry that is heavily influenced by these two factors is the automotive industry, where autonomous electric vehicles are gaining more popularity. Audi AG, one of the biggest car manufacturer companies, is now trying to take a step forward in this market by implementing two low-voltage energy sources into the system to improve automation features. The main obstacle to the introduction of these energy sources is the strong influence that temperature has on them. Both high and low temperatures are detrimental to the battery's lifespan and performance and, in extreme conditions, they may completely impede the energy source from supplying energy or lead to thermal runaway. Therefore, effective thermal regulation of the energy source is fundamental to ensure battery availability and enhance efficiency. The objective of this research is to develop a new thermal regulating system for low-voltage energy sources in autonomous electric vehicles.","Autonomous vehicles; Thermal regulation; Low-voltage energy sources","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:98e85ae4-dbf1-49a0-a36b-cf9091cf7862","http://resolver.tudelft.nl/uuid:98e85ae4-dbf1-49a0-a36b-cf9091cf7862","Motion Control System for Offshore Lifting Operations","Eerden, Koen (TU Delft Mechanical, Maritime and Materials Engineering)","Metrikine, A. (mentor); Meijers, P.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","This MSc thesis presents an investigation into motion control systems aimed at reducing swing motion of a lifting load during offshore heavy lifting operations. Two distinct models are developed for this objective. Subsequently, an analysis is done to assess the impact of various control aspects.
First, an assessment of the offshore heavy lifting market is performed. Following this, diverse motion control systems designed for offshore heavy lifting operations are examined. Special attention is given to the principle of the current damping tugger system used on vessels of Heerema Marine Contractors. Subsequent to this examination, multiple alternative aspects for this current damping tugger system are suggested.
Thereafter, a detailed model is developed, capable of simulating an offshore lifting operation with the use of a motion control system. For this model, the equations of motion are derived and used as the foundation for numerical MATLAB models. These MATLAB models are subsequently transformed into a Simulink model, which serves as the basis for designing the required controllers and setpoints. This model serves as a tool for investigation of the dynamics of offshore lifting operations when using a motion control system, but due to excessive computation time, it was not suitable for analyzing the effects of different control aspects of a motion control system.
Therefore, a simplified version of the model for offshore lifting operations with the use of a motion control system is developed, aiming to reduce the computation time of the model compared to the detailed model. This simplified model is called the analysis model. This analysis model is used for an analysis on the effects of different control aspects of a motion control system during an offshore lifting operation. These aspects comprise two different motion sensors are controller input along with three distinct control methods. The two sensors in question are one for measuring the motion of the winch and another for measuring the motion of the load. The first two control methodologies encompass two divergent setpoint calculation methods, one based on a linear equation and another founded upon a PID controller, both coupled with a winch controller. The third control method includes a controller that combines the setpoint and winch controller to one single, combined controller.
Throughout this analysis, the performance of each control aspect is evaluated across 24 unique scenarios. From these results it is concluded that the use of a load motion sensor result in superior system performance in comparison to employing a winch motion sensor in almost all scenarios. Furthermore, it was found that in combination with a load sensor, the setpoint calculation method based on a PID controller appeared to be the optimal choice in most scenarios, while, when using the winch sensor, both the linear setpoint calculation method and the combined controller method yield the best outcomes in most cases.
The project also investigates CD methodologies. Among these, genetic algorithms stand out for optimization and design space exploration, while architected materials enable higher levels of control over geometry. The potential of CD in ID is outlined through a series of opportunities, such as the ability to: Explore a vast solution space, which can lead to new and innovative designs, augmenting creativity. Optimize technical requirements, from mechanical performances to those related to sustainability. Achieve hyper-control over geometry, which can lead to structures that can enable different mechanical properties and functions using a single material. Automate parts of the design process, which can increase efficiency and scalability. Create mass-customized products and configurators to meet the specific needs of individual users.
The first part of the thesis discusses CD’s present and past; the second part instead focuses on testing the water for possible adoption of the methodology among industrial designers. The collaboration with Accenture Industry X - Industrial Design (Formerly VanBerlo) was fundamental to outline the perspectives of industrial designers CD and in particular their view on opportunities and barriers to adopting the methodology. The research revealed a deep interest in CD: participants identified a vast range of opportunities from sustainability to using configurators for user research. However, there is a need to further explore CD and its future opportunities. The thesis concludes with a series of recommendations for making CD more accessible and usable in a professional context. This thesis intends to contribute to communicating the relevance of CD, hence spreading its use in ID.
The aim of the project was twofold, involving the development of an automatic workflow for coupled ALM-LES of wind turbine wakes which is then used to gather insights into the impact of inflow conditions on wake properties as well as the structural impact of different partial-wake incidence scenarios.
The workflow integrates the steps of external flow convergence, mesh refinement in the wake region and generation of the converged and time-averaged flow field. It allows parametric studies to be carried out with minimal intervention from the user, while ensuring the reliability of the results. With respect to the external flow, the recycling method was used to obtain fully developed turbulence with sufficient control on the turbulence properties.
An investigation of the inflow conditions showed the sensitivity of the velocity field in the wake to the ambient turbulence and wind speed. An increase in ambient TI from 5\% to 10\% led to 35\% more wake expansion in the lateral direction and 30\% faster wake recovery. The ambient wind speed played an important role in recovery by determining the operating condition of the upstream turbines which in turn affected the wake-added turbulence. An analysis of wake meandering showed that this phenomena is largely dependent on the ambient turbulence.
Lastly, the structural impact of two different partial-wake interaction scenarios was studied to highlight the importance of accounting for the wake position on the rotor of downstream turbines while carrying out load assessments. In one scenario, the turbine operated in half-wake and half free-stream while in the other, it operated under two half-wakes. It was observed that at above-rated wind speed, the first scenario led to a 35\% increase in the flap-wise damage accumulated by the blade. On the other hand, the edge-wise damage changed by 4\%. These results emphasized the need for considering the spatial distribution of the wake on the downstream turbines.
In this thesis, the Tydi-Chisel library is presented along with an A-to-Z design-process description for data-streaming accelerators. A stream-interface solution is presented that offers both compatibility with Tydi in traditional HDLs and maximum utility within Chisel through two intercompatible representations. In addition, design complexity is reduced through novel utilities like stream-complexity conversion, developed to alleviate interface specification mismatches between components. Using the presented toolchain and library, the amount of code required to specify Tydi interfaces for representative use-cases can be reduced several times compared to a Verilog description, while offering increased utility.
Tydi-Chisel aims to simplify the design of data-streaming accelerators through the integration of the Tydi interface standard in Chisel, along with helper components, syntax sugar, and verification tools. In combination Chisel and Tydi help bridge the hardware-software divide, making solo-design and collaboration between designers easier.","","en","master thesis","","","","","","https://github.com/ccromjongh/Tydi-Chisel Repository with project code.","","","","","","Computer Engineering","",""
"uuid:f07b8c9f-ec80-46f3-92a4-1a3f49aaa8a5","http://resolver.tudelft.nl/uuid:f07b8c9f-ec80-46f3-92a4-1a3f49aaa8a5","A future-proof water system for Campbelltown and the Greater Sydney area: Evaluating the potentials and implications of decentral wastewater treatment in suburban developments","YU, Zhuo (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Hackauf, U.D. (mentor); Abraham, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","This project explores the potential of a specific type of natural-based decentralised wastewater treatment solution in a fast-growing area: Campbelltown local government area (LGA), Sydney, with a focus on experimental design based on different densification scenarios and centralisation level of treatment scheme. The analysis and design are carried on for three scales: Greater Sydney area, Campbelltown LGA, and two samples sites in the city centre of Campbelltown LGA. for Greater Sydney area, the design is revealed as a long-term and all-rounded proposal; for the main city centre of Campbelltown LGA, the design focuses on the redevelopment and functional division of its main water bone Bowbowing Creek to serve as a treatment media. In order to experiment the schemes in detail, Leumeah centre and Campbelltown centre are designed with 6 scenarios (2 densification scenarios x 3 levels of treatment centrlisation) for each site.
The results are evaluated with the same criteria, which reveals the feasibility, pros and cons of each scenario while confirming the possibility of implementing decentralised wastewater treatment in this area although it does not bring out the same performance for all the scenarios. Further research can be carried out to simulate the long-term performance of the schemes and to test the performance with different technical components of DEWATS for the locations.","decentralised wastewater treatment; water re-use; constructed wetlands; climate adaptation; densification; Sydney","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","",""
"uuid:b1cddecd-ae86-450d-8db8-fd26388c1569","http://resolver.tudelft.nl/uuid:b1cddecd-ae86-450d-8db8-fd26388c1569","Tentacular interfaces - Uncovering latent qualities of East London industrial waterfronts","Adamik, Marta (TU Delft Architecture and the Built Environment)","van Lierop, J.P.M. (mentor); Alkan, A.S. (mentor); Eckardt, H.F. (mentor); Delft University of Technology (degree granting institution)","2023","The research aims to uncover the specific qualities of East London waterfronts and its post-industrial structures. The neglected, but still partially untreated by commercialized approach waterfronts were found to be a unique chain of polluted yet biodiverse areas.
The research is followed up by a design project in which the agency for resilient nature and industrial waterfront heritage became the conceptual premise. Bordering between disciplines of architecture, landscape architecture and urban planning, the research proposed a strategy and intervention for the fragment of post-industrial biodiverse waterfront in Purfleet. The project aimed to ‘reactivate’ the waterfront and reunite people with water while embracing the specific qualities of the area.","waterfronts; industrial landscape; 4th nature; terrain vague; bodies of water; re-transformation","en","master thesis","","","","","","","","2023-11-30","","","","Architecture, Urbanism and Building Sciences | Architectural Design Crossovers","",""
"uuid:4a77645a-79a4-4d63-a845-e344dc63cda6","http://resolver.tudelft.nl/uuid:4a77645a-79a4-4d63-a845-e344dc63cda6","Understanding Homeowners' Preferences and Motivations towards Public-Private Flood Protection","van Leiden, Jarno (TU Delft Technology, Policy and Management)","Bekebrede, G. (mentor); Cortes Arevalo, V.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Climate change is intensifying severe weather and flood hazards, putting riverfront residents at growing risk. Although existing public flood defences like dikes and nature-based solutions offer protection, recent events like those in Limburg in 2021 highlight the need to address flood risk at the homeowner level. With the expectation that homeowners must accept some flood risk, engaging them is crucial to tackling climate change's escalating impacts. Therefore, homeowners should implement private flood measures, but many fail to recognize the urgency. In the Netherlands, where a shared responsibility approach to flood risk management is evolving, provincial governments must engage homeowners to prepare for increasing flood risk. To do this, governments need insights into homeowners' preferences and motivations regarding public and private flood protection measures. This research addresses this need through a serious game and examines homeowner profiles and factors influencing private flood protection adoption.
The study begins with a literature review, incorporating theories like the Tiebout model and the Protection Motivation Theory (PMT). The serious game ""Where We Move"" is selected, and techniques such as latent class analysis, ANOVA, and T-tests are used to determine homeowner profiles and influences on private flood measure adoption.
Three homeowner attitude profiles emerge: ""Cautious Optimists,"" ""Informed Preparers,"" and ""Cautious Realists."" These profiles reflect different knowledge levels and future flood expectations. Optimists are less likely to adopt private measures, suggesting that increasing knowledge, particularly about climate change's impact on floods, could heighten perceived threats and drive greater adoption.
Preferences for private measures are influenced by environmental benefits, personal advantages like aesthetics, and societal benefits. Financial means affect the type of measure chosen, with wealthier individuals opting for more expensive options. However, income levels do not impact the inclination to protect against flooding, highlighting that financial capabilities influence the measure's cost but not the protective response.
The level of public flood protection affects homeowners' residency choices. Generally, ""grey"" solutions like dikes are preferred, but low-income homeowners prioritize well-protected areas, even at a higher cost. High-income homeowners choose cheaper dike area houses and allocate their savings elsewhere.
Information about the risk reduction effect significantly influences private measure adoption, affecting homeowners' coping abilities. This aligns with research showing that informed decision-making leads to increased adoption. Homeowners are motivated when they perceive high threat levels, possess coping abilities, and take ownership of the risk. Flood experience influences the type of measure but not the adoption rate.
To address homeowners' lack of urgency, the study suggests improving communication strategies to emphasize how private measures reduce risks. Subsidies and collaborative initiatives can narrow the gap between high and low-income homeowners. Future research should explore intangible factors like psychological stress and denial and consider cost-effectiveness, familiarity, knowledge levels, and perspectives on future flooding.
While this research provides valuable insights, it acknowledges limitations in sample variation and size adequacy and doesn't delve into nuanced factors like psychological stress. Future research can build on these insights, refining flood risk mitigation strategies for safer and more resilient communities in the face of flooding.
Experiments are still a vital part of these investigations, for validating ever-improving numerical techniques. An essential issue with experiments is the extent to which mode shapes and natural frequencies can be emulated in model scale. Traditional hydroelastic models are segmented and use either a flexible backbone or flexible joints to introduce stiffness. This often results in an excellent description of the 2-node bending mode, but an increasing error for higher modes leads to stress inaccuracies. In this investigation, a fully elastic model of a catamaran is designed and produced for hydroelastic experiments. The advantages and limitations of the concept are identified, and the verification against structural models is presented.","Additive Manufacturing; Elastic model; Hydroelasticity; Slamming","en","master thesis","","","","","","","","","","","","Marine Technology | Ship and Offshore Structures","",""
"uuid:08cf8d22-37f8-484a-adce-5359621d2c4e","http://resolver.tudelft.nl/uuid:08cf8d22-37f8-484a-adce-5359621d2c4e","A Shared Control Interface for Online Teleoperated Teaching of Combined High- and Low-level Skills","Rots, Astrid (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Cognitive Robotics)","Peternel, L. (mentor); Abbink, D.A. (graduation committee); Mugge, W. (graduation committee); Delft University of Technology (degree granting institution)","2023","We propose a novel shared control interface that enables teleoperated teaching of both high-level decision-making skills and low-level impedance modulation skills using a single haptic device. In the proposed method, high-level teaching is achieved by repurposing the haptic device to remotely modify Behaviour Trees (BTs), allowing human operators to guide decision-making. Repurposing of the haptic device is achieved by exploiting its degrees of freedom for different functionalities. Low-level skill teaching involves an impedance command interface, that is used to command endpoint stiffness by manipulating a 3D virtual stiffness ellipsoid with the haptic device. Both teaching modes are connected: a newly demonstrated low-level skill appears in the BT at a user-specified index. Control is shared between the human and the autonomous system on a high- and low-level. At the higher level, the human can change the BT online, while ongoing execution of the low-level actions within behavior tree remains uninterrupted. During low-level teaching, shared control is implemented between the robotic motion skill and human-demonstrated stiffness. To provide a proof-of-concept and demonstrate the main features of the proposed interface, we performed several experiments in a teleoperation setup operating a remote shelf-stocker robot in a supermarket environment. A predefined BT encodes high-level decisions for a pick-and-place task. The impedance command interface is evaluated in a “peg-in-hole”-like task of placing a product on a cluttered shelf. Ultimately, the proposed interface can facilitate teleoperation-based Learning from Demonstration for the transfer of both high- and low-level skills in an integrated manner.","Teleoperation; Shared Control; Robot Teaching; Impedance Control; Behaviour Trees; Human-Robot Interaction","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:4078d74d-b76a-4d27-8b89-7db54a1ddc2c","http://resolver.tudelft.nl/uuid:4078d74d-b76a-4d27-8b89-7db54a1ddc2c","Protruding Fiber Configurations using DRS in Bone Awls: Potential low-resource guidance technology for pedicle screw placement","Heintz, Justin (TU Delft Mechanical, Maritime and Materials Engineering)","Dankelman, J. (mentor); Losch, M.S. (mentor); Delft University of Technology (degree granting institution)","2023","In recent decades, spinal fixation surgery has become a routine surgery. The procedure stiffens the spine using a combination of pedicle screws and rods and eliminate any relative motion between adjacent vertebrae. The accurate placement of the pedicle screws is crucial as inaccuracies can compromise the integrity of the fixation, as well as result in damage to the surrounding tissues including the spinal column which runs through the vertebrae. State of the art guidance techniques, such as 3D printed, patient-specific guide plates help surgeons avoid misalignments. However these techniques are expensive, resource intensive and therefore inaccessible to a large percentage of the global population, highlighting the need for a more accessible alternative guidance technology. Diffuse Reflectance Spectroscopy (DRS) is a simple form of optical spectroscopy and could act a low-cost alternative to provide guidance to surgeons during the placement of the pilot holes for pedicle screws. This study proposes integrating two optical fibers into a bone awl and to use DRS as a guidance aid by warning surgeons if the tip of the awl is about to breach into an adjacent tissue.
To understand if DRS can be used for this application, the effect of the needle-shaped tip of the bone awl on the ability of the optical probe to provide the necessary guidance is explored. The light-emitting fiber is placed along the awl’s edge, while the light-collecting fiber is placed in the center of the needle’s tip for light-collection. This means the light-collecting fiber is protruding ahead of the light-emitting fiber and the sharper the needle, the greater this protrusion. Using Monte Carlo simulations in MATLAB and phantom experiments as the angle between the two fibers increases from 0◦ (blunt tip, no protrusion) to 60◦ in increments of 10◦. The performance of the probe is assessed at each angle by determining the maximum detection depth, as well as the strength of the signal received at the light collecting fiber.
The Monte Carlo simulations yielded satisfactory detection depths (> 1mm) at all fiber configurations tested, but a significant drop in the signal strength if the needle sharpness exceeds 30◦. To validate this, phantom experiments were performed using a custom designed and manufactured optical probe. The experiments suggest that the simulations were underestimating the signal strength and the sharpest angle at which the probe can provide guidance to surgeons is at 40◦. At this angle, the detection depth is greater than 1mm and the signal strength was still satisfactory. These findings warrant further exploring how DRS can be integrated into a bone awl. This study primarily acts as a proof of concept and further research is required to improve the tissue identification of DRS.","","en","master thesis","","","","","","","","2024-10-11","","","","Mechanical Engineering","",""
"uuid:72821daa-2034-4ac8-aabb-b03e0c4404c3","http://resolver.tudelft.nl/uuid:72821daa-2034-4ac8-aabb-b03e0c4404c3","Scale Learning in Scale-Equivariant Convolutional networks","Basting, Mark (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bruintjes, R. (mentor); van Gemert, J.C. (graduation committee); Hildebrandt, K.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In real-life scenarios, there are many variations in sizes of objects of the same category and the objects are not always placed at a fixed distance from the camera. This results in objects taking up an arbitrary size of pixels in the image. Vanilla CNNs are by design only translation equivariant and thus have to learn separate filters for scaled variants of the same objects. Recently, scale-equivariant approaches have been developed that share features across a set of pre-determined fixed scales. We further refer to this set of scales as the internal scales. Existing work gives little information about how to best choose the internal scales when the underlying distribution of sizes, or scale distribution, in the dataset, is known. In this work, we develop a model of how the features at different internal scales are used for samples containing differently-sized objects. The proposed model return comparable internal scales to the best-performing internal scales for different data scale distribution of various width. However, in most cases, the scale distribution is not known. Compared to previous scale-equivariant methods, we do not treat the internal scales as a fixed set but directly optimise them with regard to the loss, removing the need for prior knowledge about the data scale distribution. We parameterise the internal scales by the smallest scale which we refer to as σbasis, and the Internal Scale Range (ISR) that models the ratio between the smallest and largest scale. By varying the ISR, we learn the range of the scales the model is equivariant to. We show that our method can learn the internal scales on various data scale distributions and can better adapt the internal scales than other parameterisations. Finally, we compare our scale learning approach and other parameterisations to current State-of-the-art scale-equivariant approaches on the MNIST-Scale dataset.","Convolutional Neural Networks; Computer Vision; Scale-equivariance; Deep Learning; Classification","en","master thesis","","","","","","","","2023-11-10","","","","Computer Science","",""
"uuid:2a17fdb2-c3ff-4ada-bb2f-3530a44a3e90","http://resolver.tudelft.nl/uuid:2a17fdb2-c3ff-4ada-bb2f-3530a44a3e90","Community engagement professionals and their influence on project outcomes: a contractors perspective","Huisman, Ike (TU Delft Civil Engineering & Geosciences)","Leijten, M. (mentor); Ninan, Johan (graduation committee); van den Ham, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research is about the contribution of community engagement professionals (CEPs) to project result. CEPs focus mainly on stakeholder management but also have other responsibilities like permits, claim handling and cables and pipes detection. There are several studies on stakeholder management and its importance, however, there are no studies on how CEPs contribute to project results. This research distinguishes between three different levels in determining project success, these are output, outcome and impact. This research investigates how the vision and actions of CEPs, working for contractors, contribute to project outcomes. To investigate this, narrative interviews were done, with CEPs and stakeholders.
Based on these interviews, a general view of CEPs was determined. Furthermore, several categories were created. From these categories, three tasks CEPs perform and five actions CEPs do to accomplish these tasks, were established. Task 1 is “CEPs arrange permits"", Task 2 is ""Creating external stakeholder support"" and Task 3 is ""Connection internal and external stakeholders"". Through these tasks, CEPs contribute to their vision on community engagement. The five actions implemented by CEPs can be linked to two of the three tasks of CEPs. Task 2 includes the following actions: ""Engaging stakeholders early"", ""Stakeholder communication & needs gathering"" and ""Relationship building with stakeholders"". Task 3 includes the actions: ""Proactive stakeholder engagement & solution orientation"" and ""Project overview & intermediary"". The literature study revealed that there are three perspectives CEPs can have. CEPs focused on task 1 and 2 have a project management perspective. CEPs focused on Task 3 see themselves as intermediary. Furthermore, the literature study revealed three different stakeholder management approaches. The actions associated with task 2 are done with a descriptive and instrumental stakeholder management approach. The actions done to perform task 3 are done from a normative approach.
By analyzing the tasks, perspectives, actions, management approaches together with considering which actions contribute to which level of project result, it could be concluded that the vision and actions of CEPs working for contractors did not contribute to project success at outcome level.","Community engagement professionals; Projects; Outcome; Ouput; impact; Stakeholder management; Benefits management","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:27c5aaa0-94a1-4fe1-8b9d-f40c1600451b","http://resolver.tudelft.nl/uuid:27c5aaa0-94a1-4fe1-8b9d-f40c1600451b","Running a Digital Design Agency in Rural Kenya: A Working Process to Foster Teamwork and Ownership","Poon, Hotong (TU Delft Industrial Design Engineering)","Diehl, J.C. (mentor); Brouwer, W. (graduation committee); Delft University of Technology (degree granting institution)","2023","This graduation project aimed to support Digital Lions, a digital design agency in rural Kenya, in improving their working process on client projects. Digital Lions is a Fair Trade agency that delivers digital products in the areas of graphic design and web development to international clientele. The agency is a sister organization of an NGO that provides IT education in rural areas. Digital Lions serves as a stepping stone for some of the graduates from this IT education program. The mission of Digital Lions is to create jobs and skills development opportunities to these talents through real client projects.
Digital Lions’ context and working process were researched through interviews, observations, and informal interactions with key stakeholders, during a 7-week field research phase. This research consisted of living and working on the campus where Digital LIons is hosted in Loropio, Turkana, Kenya. The main findings from this field research were that Digital Lions’ founder desired to have a team that is self-managing. However, the employees of Digital LIons still lacked knowledge and experience in teamwork within an agency. Moreover, due to remote working arrangements and colliding activities outside of the agency, it was difficult for the team to align their schedules and collaborate synchronously. Consequently, there was little responsibility and ownership to address important matters that could improve the agency.
Thus, the design goal for this project was to enhance collaboration within the Digital Lions team, in order to initiate their transition from a collective of freelancers to a self-managing agency.
A list of design requirements was generated from an exploratory prototyping phase. This consisted of rapidly creating prototypes of possible interventions and testing them with subjects in close proximity. This provided quick insights into what could and could not work, as well as new design requirements.
The final design solution was three-fold.
1. The team of 7 employees are divided into two subteams, called the Think&Do Teams. This makes aligning schedules to communicate and collaborate synchronously significantly easier.
2. The Think&Do Teams are provided with Action Sheets that guide them through a series of outlined activities or tasks within a given strategy to address an important agency matter. An example of such matter is to collaboratively create a coherent Instagram content strategy for the agency. The Action Sheets provide high level instructions and links to useful resources, serving as a catalyst for effective teamwork on real tasks.
3. The Think&Do teams are facilitated by the agency leader and foreign volunteers that support the agency. They are provided with an Action Sheets template and a filled in example, as well as a handbook on how to prepare the Action Sheets, so that the team is enabled to address important agency matters effectively.
By implementing this solution, the team slowly transitions from working like a collective of freelancers to a collaborative team. Over time the employees gain ownership of important agency matters and experience in truly working as a team. This solution accelerates the team in becoming a self-managing agency.
dynamics. A 15-million cell, third-order MUSCL, Reynolds-Averaged Navier Stokes solver with the Menter SST turbulence model is used to estimate the aerodynamic coefficients. A new, CATIA-based, parametrization of the Flying V is the starting point of the design. Three manual design phases improve the aerodynamic performance while satisfying all constraints. Design
modifications included an increase in camber and aft-loading of the wing around 40% of the semispan and improved airfoil sections on the outboard wing generating the required lift coefficient towards an elliptical lift distribution. The twist distribution at the wing-winglet junction is optimized to reduce wave drag. This has resulted in an improvement of 𝐿/𝐷 from 21.3 for the baseline to 24.2 for the final version while reducing the cruise angle of attack from 5.2 to 3.6 degrees. The drag divergence Mach number is estimated at 0.925.
To investigate these key factors, two serverless cloud architectures have been designed. Based on the orientation and location of an AGV, these serverless cloud architectures can guide it along a predetermined path. The second architecture is an extension of the first architecture, but they differ in invocation. The invocation method of the first architecture is via the AWS Software Development Kit (SDK), while the system of the second architecture is invoked via MQTT and an IoT Rule, which can easily be used with IoT devices because the protocol is lightweight and the code footprint is small.
The components of these serverless cloud architectures have been analysed on their contribution to key factors such as latency, high variance in latency, and packet drop. The `IoT rule' service from AWS has the biggest contribution to the control latency and network delay is in the second place. Other factors, such as the sample period and the chosen cloud services contribute to the stability of the overall system.
The Direct Invocation architecture had a median control latency of 118.4 ms while the IoT Rule architecture had a longer median latency of 209.0 ms. These values varied throughout the day but were conform to the requirements set up by 3GPP (3rd Generation Partnership Project). These latencies are higher compared to traditional cloud architectures but financial costs can be reduced. With both serverless cloud architectures, it was possible to control a simulated AGV in a simulated environment over a predetermined path, while driving 1 km/h.
More investigation into the contributions of these key factors has been done by using a Design Space Exploration (DSE) simulation. This simulation showed that an AGV could drive safely 1 km/h within the simulated environment when the sample period lies between 50 ms and 350 ms for the Direct Invocation architecture and a sample period between 50 and 250 for the IoT Rule architecture. From the DSE it is estimated that a sample period of 50 ms and an average latency of up to 450 ms result in a stable system. A sample period of 300 ms together with an average latency of up to 250 ms also results in a stable system.
All in all, serverless solutions can provide control via the cloud but performance is traded in for reduced financial cost.","Serverless; Cloud; Automated Guided Vehicle","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:e6adab99-acf3-426f-89c2-28cf2251a2fa","http://resolver.tudelft.nl/uuid:e6adab99-acf3-426f-89c2-28cf2251a2fa","Life cycle analysis of perovskite solar cells for production in Europe","Ren, Kongming (TU Delft Electrical Engineering, Mathematics and Computer Science)","Vogt, M.R. (mentor); Xu, C. (mentor); Delft University of Technology (degree granting institution)","2023","The photovoltaic (PV) technology plays a significant role in the global energy transition and perovskite solar cells (PSC) have been experiencing rapid development in the past few years. The life cycle analysis (LCA) method evaluates the possible environmental impacts during each life stage of one product, and applying this method to the production processes of perovskite solar cells can assess the environmental implications in each phase of the PSC life cycle, from the initial raw material extraction to manufacturing, operation, and end-of-life stages.
This thesis mainly focuses on the cradle-to-gate stages of LCA, more specifically in raw material extraction and manufacturing of one perovskite PV module. This work selects a perovskite solar module with a mesoporous TiO2 scaffold as the studied module, then defines the goal and scope, including the definition of the research goal, functional unit and system boundaries of this LCA study. Followed by the selection of Ecoinvent V3.8 and Idemat 2023 databases, a new life cycle inventory (LCI) is created both in material and energy aspects. Based on the existing literature inventory, some changes and improvements are made to specialise the life cycle inventory data. Due to the limitation of existing databases, the missing materials and data are collected, self-calculated or replaced to complete the LCI. After calculating and integrating the LCI data by mass allocation, three impact categories are chosen to conduct the life cycle impact assessment (LCIA), which are separately climate change, human health and resource use (fossil). Next, the thesis compares the LCIA results in three different perovskite PV modules, one is the studied perovskite PV module with a silver cathode, one is the same studied module but with a gold cathode, and the other is the literature’s perovskite PV module (with a gold cathode). This thesis compares the environmental impact results in material, energy consumption and total three perspectives, simultaneously analysing the different LCIA performances between the metal gold and silver. Finally this work exerts the
contribution analysis on three life cycle impact categories, explains the LCIA results of three different perovskite solar modules and proposes further research advice.
The LCIA results illustrate that compared to the literature’s module, the studied perovskite PV module with silver cathode has the lowest life cycle environmental impacts in all three impact categories. More specifically, 50% in climate change, 12% in human health and 33% in resource use (fossil) compared to the literature. Furthermore, the metal gold has the highest contribution in all three categories, FTO and energy contribute the second and third both in climate change and resource use (fossil), and silver takes the second occupation in human toxicity.","LCA; Perovskite; PV","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:7b60761c-8ed5-47f2-af76-d205f11758b1","http://resolver.tudelft.nl/uuid:7b60761c-8ed5-47f2-af76-d205f11758b1","Preliminary Design of a Stand-Alone Mars CubeSat Mission Integrating DLR In-House Technologies","Juan Marí, Héctor (TU Delft Aerospace Engineering)","Noomen, R. (mentor); Ho, T.M. (graduation committee); Zandbergen, B.T.C. (graduation committee); van Kampen, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","CubeSat missions have been deployed to cislunar space and beyond, paving the way for the next significant advancement: a dedicated CubeSat mission to explore a planet near Earth. To contribute to this goal, the German Aerospace Center (DLR) has developed radiation-hardened small satellite technologies, including communications, power and onboard computer subsystems. This study presents a stand-alone Mars exploration mission using the CubeSat standard that will demonstrate DLR’s in-house technologies. This mission will perform an independent transfer to the Red Planet, achieve orbital insertion, and conduct measurements on its lower atmosphere and gravity field. A system concept has been created by integrating the in-house technologies, investigating the necessary Commercial-Off-The-Shelf (COTS) components, and performing the mission analysis to assess the feasibility of the mission. The resulting 12U CubeSat has a 20.8 kg wet mass, 6.3 km/s low-thrust maneuvering capability and can generate up to 90 W of power at Mars. The proof-of-concept mission is planned for a 4-year duration.","Preliminary Design; Mars; CubeSat; Systems Engineering; Mission Analysis; Stand-Alone; DLR","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:47a19948-7cc1-403a-a1ef-c388d7a7a0bd","http://resolver.tudelft.nl/uuid:47a19948-7cc1-403a-a1ef-c388d7a7a0bd","Inter-Array Cable installation: Optimization of the support vessel fleet composition","Tijsma, Feike (TU Delft Mechanical, Maritime and Materials Engineering)","Jiang, X. (mentor); Duinkerken, M.B. (graduation committee); Negenborn, R.R. (graduation committee); Lupea, Cristina (mentor); Delft University of Technology (degree granting institution)","2023","In the competitive offshore wind installation market, contractors like DEME strive to optimize operations. This thesis centers on the optimization of the support vessel fleet composition, which consist of a num- ber of walk to work vessels, and are a crucial part of inter-array cable installation. By fine-tuning this composition, operational expenses can be reduced by 10-20% to current industry practices.
The cable installation process involves a complex set of operations, each necessitating a crew to be present on the foundations. Support vessels play a central role in routing of these crews and their equip- ment to these foundations.This thesis introduces an innovative approach that integrates operational scheduling and crew routing into a single formulation for optimizing the fleet of walk-to-work vessels. This hybrid model combines elements of a continuous-time rich multi-visit multi-period Vehicle Routing Problem with a time-varying Resource Constrained Project Scheduling Problem. It also factors in the substantial impact of weather conditions on offshore operations by accounting for variable weather win- dows in each scheduling period.
The formulated model is rigorously verified and validated to ensure it closely mirrors real-world sup- port vessel behavior. Although it slightly underestimates fuel consumption, this discrepancy is deemed acceptable given its minor role in the overall objective. Sensitivity analyses highlight the critical impor- tance of accurate performance data for the cable laying vessel, which significantly influences the model’s outcomes. However, the model is found to be most effective for modeling a single cable string compris- ing 6-8 turbines, with scalability issues arising when attempting to expand beyond this scope.
A focused case study delves into the impact of various weather conditions and inter-array distances on the optimal vessel composition. The study evaluates two types of walk-to-work vessels, individually and in combination. Results reveal that, under the assumption of zero downtime, the industry norm of chartering cheaper vessels is cost-effective, while the pricier vessel results in a 10% costlier solu- tion. As weather conditions worsen, a composition of costlier vessels proves more cost-effective over the scheduling horizon. Such conditions are to be expected in far offshore locations, especially on the cheaper vessels, which have lower workability limits. The study identifies potential cost reductions of up to 25%, with even marginal downtime conditions yielding 10-20% reductions to the industry standard. Moreover, delays imposed on the cable laying vessel are significantly reduced when utilizing a compo- sition that includes at least as one of the more expensive vessels.
In summary, this thesis establishes a foundation for optimizing support vessels in offshore wind installa- tion. The presented model introduces a novel framework, combining multi-visit routing with time-varying resource scheduling, while considering shared vehicles and coupled routing and scheduling over a multi- period horizon. For regions prone to harsh weather conditions, such as those further offshore and during winter months, it is advised to utilize more expensive vessels that have higher workability limits and bet- ter performance figures. Additionally, there appears to be limited justification for simultaneous use of multiple vessels during the cable installation, as the added costs do not seem to outweigh the marginal improvements in installation duration. Future research should focus on refining solution methods for the proposed formulation and incorporating crew transfer vessels into the fleet composition.","Multi-visit routing; Multi-period; VRP; RCPSP; cable installation; support vessels","en","master thesis","","","","","","","","2025-10-10","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:6320374a-b84d-4bbf-be48-10cee914b9e0","http://resolver.tudelft.nl/uuid:6320374a-b84d-4bbf-be48-10cee914b9e0","Application of Control Barrier Functions to Collision Free Model Predictive Control: Robust UAV Trajectories with MPC-CBF and Euclidean Signed Distance Fields","de Vries, Rinto (TU Delft Aerospace Engineering)","Smeur, E.J.J. (mentor); Horstink, Thomas (graduation committee); Delft University of Technology (degree granting institution)","2023","Recent literature in real-time trajectory planning has proposed using Control Barrier Functions (CBFs) as collision constraints in Model Predictive Control (MPC) for efficient guidance, a concept referred to as MPC-CBF. This concept has been explored for both first and second-order CBFs. However, these approaches relied on an analytical description of the environment. Building upon this, we propose combining MPC-CBF with Euclidean Signed Distance Fields (ESDFs), eliminating the need for such an analytical model of the environment. Notably, we extend this approach to a new field by applying it to Unmanned Aerial Vehicles (UAVs). Through simulations, we compare flown trajectories and noise robustness for distance constraints, first-order CBF constraints and second-order CBF constraints. First-order CBF constraints outperform distance constraints, excelling in path planning and noise resilience. Second-order CBF constraints face challenges due to numerical approximations of the hessian of the ESDF and stricter dependency on an accurate acceleration model, limiting their practicality for UAVs. The proposed control framework was tested by safely maneuvering an enterprise inspection drone around a Boeing 787-9 aircraft inside an aircraft hangar, confirming its effectiveness in collision avoidance and real-world scenarios.","mav; mpc; mavlab; model predictive control; cbf; control barrier function; trajectory planning; esdf; euclidean signed distance field; uav; drone; collision avoidance; obstacle avoidance","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:747abd4a-ed7f-4adc-8961-47177104ba0e","http://resolver.tudelft.nl/uuid:747abd4a-ed7f-4adc-8961-47177104ba0e","Designing a bone fracture apparatus for surgical training applied on human cadaver","Wang, Wenhao (TU Delft Mechanical, Maritime and Materials Engineering)","Horeman, T. (mentor); Dankelman, J. (mentor); Rahimi, A. Masie (mentor); Delft University of Technology (degree granting institution)","2023","fracture simulation is crucial for understanding bone fractures and their underlying physiology and pathophysiology. To achieve this objective, a collaborative effort between the Amsterdam Skill Centre (ASC) and TU Delft (TUD) culminated in the development of an innovative fracture device. The ASC's surgical department furnished us with a set of requisites, which we meticulously classified into 12 pivotal design criteria, each associated with anticipated performance outcomes. The design approach revolved around two primary functions: fracture execution and specimen preparation. Brainstorming sessions are extensive and ultimately create an all-encompassing mind map full of actionable ideas which contributes to two conceptual designs and, combining criteria evaluation, ultimately identifying the most suitable one. The analysis focus on energy release system and stability during the impact. The materialization phase encompassed an array of metalworking processes, including chainsaw cutting, turning, milling, and drilling. AISI 304L stainless steel, S355+J structural steel, and AW-6082-T6 aluminum were used for manufacturing. Drop tests were conducted using simulation bone, homogeneous material, and reinforced material. Weight tests demonstrated the device's potential to create fractures with low impact energy and proved the stability of the constructed system. Further work is required to refine impact force estimation and cadaver specimen test. This study provides a comprehensive examination of a controllable fracture device, offering insights into its construction, potential improvements, and the exploration of a compact variant tailored for specific cadaveric regions.","Design Approach; FEA Simulation; Medical training","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:558378c8-fdca-4af9-97bc-b5f220a30e0d","http://resolver.tudelft.nl/uuid:558378c8-fdca-4af9-97bc-b5f220a30e0d","Home Energy Management System: A Machine Learning Approach","Deivamani, Karthikeyan (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft DC systems, Energy conversion & Storage)","Shekhar, A. (mentor); Bauer, P. (graduation committee); Cremer, Jochen (graduation committee); Delft University of Technology (degree granting institution)","2023","The increasing adoption of renewable energy sources, particularly photovoltaic (PV) systems in residential sectors has raised important energy balancing challenges due to the intermittent nature of energy generation. To address these challenges and prioritize cost savings for residential consumers, this research investigates the integration of battery energy storage systems (BESS) and dynamic pricing strategies through an intelligent energy management system (EMS). Given the stochastic nature of PV generation, market prices, and load profile it is still challenging to achieve optimal control. Therefore Reinforcement Learning (RL)-based EMS is proposed in this research to make real-time optimal control decisions. RL is a machine learning approach where an agent learns to make decisions by interacting with an environment to maximize cumulative rewards. In this study, a deep deterministic policy gradient (DDPG) RL architecture is chosen due to its capability to handle continuous action spaces. In addition, deep learning-based models are employed to forecast uncontrollable load, PV generation and market prices for the integration into the EMS for which Bi-directional LSTM (Long Short Term Memory) was found to be the most accurate for all three uncertain variables. The DDPG algorithm is trained with data from a single household from the Lucerne region, Switzerland for 30 days and tested for a week. The results showed that compared to a deterministic rule-based approach the RL-based EMS increased cost savings for the end consumer by 14.2% but reduced the benefits for the grid operator to alleviate grid congestion quantified in terms of load factor, peak power consumption and ramping. Further work could be undertaken in testing the model on more extensive data and finding the best trade-off between customer and grid operator benefits.","Energy management system; Reinforcement Learning; forecasting; DDPG; Machine Learning","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:3940c438-d076-4f13-ae14-47de304157c2","http://resolver.tudelft.nl/uuid:3940c438-d076-4f13-ae14-47de304157c2","Interpretable Parametric Modelling of the Heart based on ECG Signals","Wang, Chengyan (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Signal Processing Systems; TU Delft Microelectronics)","Hendriks, R.C. (mentor); Varon, Carolina (mentor); Boutry, C.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Atrial fibrillation (AF) is one of the most common heart diseases. Billions of people have suffered from it in the world. Although it can lead to terrible complications such as stroke and heart failure, the underlying mechanisms of it are still under-explored. Besides, there is no so-called optimal therapy for the patients. As the disease is progressive, it is important to detect it in an early stage. To develop methods for understanding and detecting AF, the interpretable parametric model can be an option. This model can provide physiological information at the signal level. In this case, the electrocardiogram, as the most commonly used invasive measurement of cardiac conditions, can be the data to model the heart structure and cardiac activities.
This thesis proposes an interpretable parametric model based on P-waves extracted from the ECG signals. Specifically, the autoregressive (AR) model is implemented, which is also known as linear predicting coding (LPC). The goal is to model the atrium and understand the function of the atrium, which can reflect on the varying parameters in the SR and AF cases. In this context, The formant of P-waves is modeled and estimated, which is a representation of the atrium activities. In addition, the parameters of the model are mapped into 2-dimension by the zero-pole plots in order to interpret the differences between SR and AF situations. Based on the differences between parameters and formants, a parametric classifier of high interpretability is developed to detect AF. An alternating searching algorithm is proposed to determine the parameters of the classifier.","AF; Parametric Model; ECG; LPC","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:7110fad9-e16f-4d3a-8daa-76c175c4fae3","http://resolver.tudelft.nl/uuid:7110fad9-e16f-4d3a-8daa-76c175c4fae3","Impact of niche strategies on the business model dynamics","Poduri, Sanjeev (TU Delft Electrical Engineering, Mathematics and Computer Science)","Kamp, L.M. (graduation committee); Khodaei, H. (mentor); Delft University of Technology (degree granting institution)","2023","This research investigates the relationship between niche strategies and business models, with a focus on tech-based startups/companies. The research makes use of historical cases to identify the relationship. Historical cases are studied by applying the TIS framework to past cases of new technology that achieved technology diffusion. Upon application of niche strategies, the business model and barriers to large-scale diffusion change. These changes are studied to derive two relationships. First, the impact of niche strategies on the business model and second, the relationship between niche strategies and barriers. Based on findings from historical cases, a conceptual framework is built. It is designed to aid in better decision-making for startups or companies that can potentially lead to the large-scale diffusion of their new technology. The framework is employed to trace the business model dynamics along with the evolution of barriers to large-scale diffusion.","niche strategies; Niche strategy implementation; Business Model; Business Model Dynamics; business model dynamics framework; business model canvas","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:e159a4b8-0672-4d4e-9a77-8486811b9b3e","http://resolver.tudelft.nl/uuid:e159a4b8-0672-4d4e-9a77-8486811b9b3e","Redesign of Ultrasound Gel Bottle: A Systematic Sustainable Solution for the Radiology Department at the Leiden University Medical Center","Shang, Tianxing (TU Delft Technology, Policy and Management)","Faludi, Jeremy (mentor); Kamp, L.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Radiology department at the Leiden University Medical Center (LUMC) aims at preventing ultrasound gel waste of leftover ultrasound gel in the bottle and reducing the waste of plastic gel bottles. The study identified a critical environmental issue – the excessive use of disposable plastic ultrasound gel bottles in hospitals – and undertook a multifaceted investigation to address this challenge. Through examination of the environmental impact, user feedback, and risk factors associated with alternative solutions such, the research presented three sustainable redesign proposals. Among these, the gel pack design emerged as the most promising option, offering substantial improvements in environmental sustainability without compromising usability or patient safety. Successful implementation of these solutions relies on collaborative efforts involving doctors, regulatory bodies, and industry stakeholders. This research underscored the vital role of sustainability in healthcare and highlighted the potential for significant reductions in environmental impact and plastic waste while upholding healthcare standards.","Sustainability; Redesign; LCA; Industrial Ecology; Sustainable Design","en","master thesis","","","","","","","","","","","","Industrial Ecology","Sustainable Hospital",""
"uuid:8a52cf8c-8e42-47e6-97d9-c58d2b3e73a8","http://resolver.tudelft.nl/uuid:8a52cf8c-8e42-47e6-97d9-c58d2b3e73a8","Building the stellar calibrator catalogue for the Ariel mission","Tonucci, Elena (TU Delft Aerospace Engineering; SRON Netherlands Institute for Space Research)","Kenworthy, M.A. (mentor); Cazaux, S.M. (graduation committee); Loicq, J.J.D. (graduation committee); van Kempen, Tim A. (mentor); Delft University of Technology (degree granting institution)","2023","Ariel is an ESA space telescope planned for launch in 2029. It is aimed at observing ∼1,000 exoplanets using transit spectroscopy at infrared wavelengths between 0.5-7.8μm, to characterise the chemical composition and thermal structure of their atmospheres. To detect minute flux variations and confidently extract the exoplanet signal, Ariel requires good instrumental flux stability. Moreover, the instrumental response must be monitored through time, and instrumental effects and stellar noise must be estimated and minimised to get as close as possible to the photon noise limit. To do this, Ariel must be calibrated in-flight by observing a set of stellar calibrators, stars whose spectral energy distribution is assumed to be well-studied and constant. This project is aimed at building the stellar calibrator catalogue for Ariel and looking for possible correlations between stellar properties and flux stability. This can also serve as a base study for future space missions other than Ariel. A starting candidate sample mainly including G dwarfs that were observed by TESS is filtered with a three-step procedure using the Lomb-Scargle periodogram and the reduced chi-squared statistic. Assuming an Ariel 3σ flux stability requirement of 100ppm over 6 hours, the defined Ariel Catalogue comprises 581 stars. These are fairly homogeneously distributed over the sky, without periodic variability, and without a large flux excess dispersion. It is found that when selecting the correct effective temperature ranges (5, 000 < Teff < 6, 300K), the probability of finding stable stars is almost constant at 30%. Only the dimmer stars seem to have a higher probability of passing the selection, which is possibly due to the higher noise in the data which makes variability more difficult to identify. Note, however, that the catalogue defined in this study still comprises calibrator candidates. The noise in TESS light curves is probably too high to effectively analyse the stability within the current requirement. So, follow-up observations of these stars with a lower noise are recommended, for instance with PLATO, to greenlight them as final Ariel calibrators.","calibration; flux stability; stellar calibrators; Ariel; flux variability","en","master thesis","","","","","","","","2024-09-21","","","","Aerospace Engineering","",""
"uuid:54d8ba82-7104-4f40-9ae6-8b81f364912c","http://resolver.tudelft.nl/uuid:54d8ba82-7104-4f40-9ae6-8b81f364912c","Weight Estimation Of Turboshaft Engines: Continuation in the development of WEST: a component based preliminary design and weight estimation tool for turbine engines","verweij, frederick (TU Delft Aerospace Engineering)","de Servi, C.M. (mentor); Colonna, Piero (graduation committee); Pini, M. (graduation committee); Yin, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","The need for more efficient aircraft has led to the conception of innovative engine configurations, such as the combined-cycle engine proposed by Delft University of Technology or the Water-enhanced turbofan developed by MTU and Pratt & Whitney. The evaluation of the potential benefits of these novel engine concepts requires detailed performance studies considering weight and drag penalties as figures of merit. At present, no weight estimation tools with sufficient level of accuracy and flexibility are publicly available, apart from WATE++, a tool developed by NASA. However, this software is subject to export control restrictions and cannot be used outside the USA. For this reason, the development of a new component-based preliminary engine design tool, ""Weight EStimation of gas Turbine engines"" (WEST), was started. The goal of WEST is to predict the weight of novel engine architectures with a reasonable level of accuracy, accounting for design parameters like turbine inlet temperature, overall pressure ratio, mass flow rate, and turbomachinery configuration, with a minimal set of geometry inputs specified by the user. A previous work demonstrated that WEST can effectively predict the weight of turbofan gas generators. As only the main components are modeled, the WEST estimates account for approximately 70-90% of the actual engine weight.
The capabilities of WEST were expanded in this study to allow for the design of small-scale turboshaft engines. To this purpose, a methodology to design radial compressor disks was implemented, and successfully verified against FEM results. Regarding the modeling of the complete turboshaft, it was found that the predictions of the tool account for only 60-70% of the actual engine weight. Such result was, however, expected, as WEST does not take into account the particle separator and the integrated gearbox, which may account for up to $30\%$ of the engine's total weight.
Two devices are introduced named the Hip Vortex Control (HVC) and wingsuit aiming to reduce the streamwise vorticity at the hips and upper arms respectively. A reduction of a crosswind-dependent weighted drag area of -1.35% for the HVC and -5.45% for the wingsuit is measured experimentally. Computational Fluid Dynamics (CFD) simulations and robotic volumetric Particle Tracking Velocimetry (PTV) provide the trends in the drag-reducing mechanisms. The HVC promotes separation on the lower back of the cyclist, reducing the presence of the hip vortices leading to an increase of the pressure on the lower back. The wingsuit limits the formation of the streamwise vortices around the upper arms, slightly increasing the wake and increasing the pressure on the upper arms resulting in the drag reduction.
The numerical and experimental results largely agree on the variations in the flow topology for each configuration. The results indicate that a reduction in streamwise vorticity in the wake of a bluff body can reduce the drag considerably. Both devices lead to an expansion of the wake which should be kept to a minimum to effectively reduce the drag. The results motivate the continuation of the research into the reduction of streamwise vortices in the development of cycling equipment and in other high-velocity sports.","Aerodynamics; Cycling aerodynamics; Computational Fluid Dynamics (CFD); Coaxial Volumetric Velocimetry; Drag Reduction; Flow measurements; Large scale; Robotic PIV; Flow topology; Wake","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:77d08184-93f7-4f0e-8665-140ba3b38792","http://resolver.tudelft.nl/uuid:77d08184-93f7-4f0e-8665-140ba3b38792","Predicting Future Aircraft Spare Part Purchases by Using Previous Sales Records and Technical Maintenance Documentation: Master Thesis Aerospace Engineering","Wittenberg, Mels (TU Delft Aerospace Engineering)","Santos, Bruno F. (mentor); Bombelli, A. (graduation committee); Pascoe, J.A. (graduation committee); Cappitelli, M. (mentor); Tormaehlen, O. (mentor); Delft University of Technology (degree granting institution)","2023","Efficient maintenance scheduling is a critical objective for aircraft carriers and Maintenance Repair and Overhaul Organizations (MROs) to minimize aircraft downtime. While predictive maintenance models have improved, accurately identifying materials, especially spare parts, for specific maintenance events remains challenging. This paper combines the challenges of identifying spare parts by MROs and aftermarket distributor demand models by developing a robust prediction model (SPSO-CM) to forecast subsequent customer-specific purchases of maintenance planning document (MPD) related spare parts, considering technical documentation and previous sales records. The proposed architecture employs a gradient-boosting algorithm with numerous data mining improvement techniques to predict the likelihood of a subsequent spare part purchase from a customer. A k-means clustering algorithm is used to group spare parts with similar characteristics, as certain specific spare part properties significantly influence demand prediction models. A unique feature selector and nested group K-fold TimeSeriesplit cross-validation method were developed and incorporated into the Bayesian search space to optimize hyperparameters and improve performance. Two test cases were simulated, and the results demonstrated that SPSO-CM is more effective in forecasting proprietary parts and frequently purchased spare parts than those with extremely lumpy demand patterns. Two potential applications for an aftermarket distributor are discussed, one from a customer-level perspective and another at a larger supply-chain level, highlighting its promising capabilities.","Aircraft aftermarket distributor; aircraft maintenance; classification model; demand prediction; gradient-boosting; machine-learning","en","master thesis","","","","","","","","2025-10-09","","","","Aerospace Engineering","",""
"uuid:78329dce-f255-4a26-ab90-93b0d8587fe2","http://resolver.tudelft.nl/uuid:78329dce-f255-4a26-ab90-93b0d8587fe2","September Melt at the Summit in Greenland: An Attribution Study of the September 2022 Extreme Melt Event and a Projection of Future Events","Tiessen, Nadine (TU Delft Civil Engineering & Geosciences)","Wouters, B. (mentor); Schleiss, M.A. (graduation committee); Vizcaino, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","In September 2022, Greenland experienced an extraordinary late-season melt event, characterized by temperatures exceeding the melting point at Summit Station for the first time on record and surface melt appearing across one-third of the ice-sheet. This thesis investigates extreme melt events at the Summit in Greenland, focusing on the attribution of the September 2022 extreme melt event to human-induced climate change. The study combines observational data and climate model simulations to assess the influence of climate change on these events and project their likelihood in the future. The research involved identifying melt events in observational and model data. Subsequently, melt-event probability ratios were calculated between the pre-industrial, current, and future climates. These ratios were synthesized to form an attribution statement and provide insights into future scenarios. The study reveals that melt events in any month at the Summit in Greenland have become 20 times more likely in the current climate compared to the pre-industrial climate. This increase in likelihood of melt events in any month is significant and can be attributed to human-induced climate change. However, for melt events specifically in September, although unprecedented in pre-industrial and recent times, no significant increase is found due to a lack of data. Definitive conclusions are expected with more data. Projections based on climate models indicate a substantial rise in future melt event probabilities, reaching up to a 46% chance of Summit melt in September and a 83% chance throughout the remainder of the year. The findings suggest that, while the September 2022 event cannot definitively be attributed to climate change, it highlights the increasing likelihood of such events and their potential impact on sea levels. However, the analysis carries inherent uncertainties due to limited historical and climate model data usage and limited consideration of atmospheric river circumstances. Despite these challenges, these insights contribute to enhancing our understanding of extreme melt events and, in turn, inform the formulation of future climate mitigation and adaptation strategies.","Greenland; Extreme Melt Events; Attribution; Climate Change","en","master thesis","","","","","","","","","","","","Geoscience and Remote Sensing","","72.5797, -38.5045"
"uuid:d472281f-ef58-4cc4-81c5-9a125ec57d3f","http://resolver.tudelft.nl/uuid:d472281f-ef58-4cc4-81c5-9a125ec57d3f","Seismic response of jack-ups: An improved earthquake screening procedure using time history analysis","Latooij, Sean (TU Delft Mechanical, Maritime and Materials Engineering)","van Uchelen, Perry (mentor); Tsouvalas, A. (mentor); Metrikine, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Jack-ups are used for offshore wind turbine installation (OWTI). To assess whether a jack-up can safely operate in a desired geographic location with known seismic activity, an earthquake response analysis is required for structural verification. This analysis is generally carried out according to the codes of the International Organization for Standardization (ISO). The prescribed procedure is called extreme level earthquake (ELE) screening and is performed using response spectrum analysis (RSA). This method is intended to be conservative and should produce higher utilization results than more detailed assessments. With offshore wind farm development moving into regions with greater seismic activity, more detailed assessments are required to demonstrate safe operation in those geographic locations.
The aim of this research was to improve the accuracy of earthquake screening of OWTI jack-ups in order to increase their geographic operability. A new ELE-screening procedure was proposed that uses time-history analysis (THA) and spectrum matched acceleration time history records (THR). For the development and benchmarking of the new method, an earthquake analysis was performed using both the new ELE-screening procedure with THA and the existing ELE-screening procedure with RSA. The analysis was performed for an OWTI jack-up based on the GJ-3750C located in a region offshore Japan. The RSA simulations were performed using Minifem; a new tool was developed in OpenSees for the THA simulations. To assess the effect of various parameters, simulations were run with different soil-structure connections, levels of damping, and design response spectra. The performance is evaluated using the global maximum action effects; limited to the forces and moments at the lower guide and footing. A soil-structure interaction analysis was performed on an equivalent single degree of freedom system to validate the soil-structure interface model, and the use of free field ground motions. A procedure and accompanying tools were developed for acceleration time history record selection and modification. The resulting spectrum matched THR are used for seismic excitation in the THA simulations.
The simulation results showed a reduction in the magnitude of calculated action effects when using the new ELE-screening procedure. A reduction of 10 to 20 percent in the global maximum shear force and moment was observed in the simulations best describing the jack-up and site-specific soil conditions. A small reduction of the global maximum normal force was also observed. The new ELE-screening procedure with THA can be used to demonstrate compliance with earthquake performance requirements when a jack-up does not satisfy the ELE-screening assessment criteria using RSA. Since safe operation can be demonstrated for more areas, the geographic operability of OWTI jack-ups is increased.
The primary objective was to identify the requirements for enabling real-time insights into SME manufacturing operations. Findings suggest that in-house infrastructure can facilitate the required transparency, provided it is lightweight and minimalistic. The essential factor is to transform machine-generated data into insightful metrics for relevant stakeholders. A significant aspect to consider is the human element— the adoption and commitment of employees towards the technology.
Upon analyzing the benefits, the research underscores that real-time insights lead to enhanced production efficiency. By offering an objective view into production data, SMEs can quickly identify and rectify bottlenecks. Moreover, such transparent insights improve communication within teams, empowering employees by showing the direct impact of their performance on overall production, thus boosting their morale and productivity.
The study also evaluates the key features needed to make these real-time insights actionable. Contrary to initial assumptions that a single KPI would suffice, it became evident that a more comprehensive view—incorporating metrics such as Overall Equipment Effectiveness (OEE)—was necessary. For SMEs with diverse product portfolios, additional parameters like average batch size, cycle time, and machine power-on time provide valuable context.
Lastly, the implemented design framework showcases the positive impacts of real-time insights on production. Access to objective metrics aids in performance evaluation, problem identification, and the formulation of improvement strategies. An essential feature highlighted is the predictive capability that guides resource planning, thus minimizing errors.
This research emphasizes that manufacturing SMEs with legacy assets can achieve real-time insights into their processes through cost-effective, lightweight solutions. The proposed methods and guidelines, as discussed in detail in the design section, are foundational for SMEs aiming to modernize their operations and bridge the knowledge gap in implementing real-time monitoring. By offering universal access to transparent production metrics, SMEs can optimize their processes, ensuring better efficiency and communication at all levels of operation.","Industrial Internet of Things; IIoT; IoT; manufacturing; SME; data visualisation; dashboard; real time insights; legacy assets; Management of Technology; MoT","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:86a4ca19-369b-4fae-a546-fe345b33e3eb","http://resolver.tudelft.nl/uuid:86a4ca19-369b-4fae-a546-fe345b33e3eb","Empower young experts: Co-designing a peer-sharing tool for Child Brain Lab participants","Hemmes, Charlotte (TU Delft Industrial Design Engineering)","Gielen, M.A. (mentor); Bogdanova, E. (graduation committee); Dieleman, Gwen (graduation committee); Delft University of Technology (degree granting institution)","2023","The Child Brain Lab (CBL), a part of the Paediatric Brain Centre at Sophia Children’s Hospital within the Erasmus Medical Centre, is a scientific research and testing facility dedicated to children with brain conditions (CB). These children frequently experience isolation and lack of social contact due to their situations. These observations lead to the following research question, “How can design empower participants aged six to eighteen in the Child Brain Lab to use peer-sharing for preparation and support during their visits effectively?”
As CB frequently visit the hospital and are familiar with the medical setting, a CBL visit does not trigger much stress. So, it became clear that the main challenge was not solely to reduce stress but to empower these children to share their experiences, gain control, and connect with their peers. The CBL provided an ideal opportunity to address these needs as it aims to test hundreds of CB annually. CB did express a desire to be informed about procedures and often felt alone during hospital visits.
Several key findings were established from this research. Firstly, it became clear that children with brain conditions (CB) have unique knowledge from their personal experiences and are therefore experts in the area of their condition. Secondly, these children have a strong desire to help others and to learn new things. In addition, a number of barriers were recognised that CB often face, including limited information and empathy that does not meet their needs. To address these challenges, the approach focuses on creating a safe and supportive environment for peer interaction, bridging information gaps and simplifying complex medical language, by equal communication.
As a result, the design goal is to empower participants of the CBL to recognise their expertise and support them to express their experiences and share them with their peers.
These findings led to Lab Maatjes, a peer-sharing app tailored to the CBL journey. It was designed around the principles of self-determination theory. For autonomy, the app allows users to explore what CBL involves and why it is important. It also offers guidance and support to navigate the app and express themselves independently. It promotes competence by allowing them to share their stories, feel heard and seen, and gather the information they want to know. Finally, it provides relatedness by creating a trusted environment where they can connect with peers and gain insight into their experiences and how they relate to their views. Lab Maatjes creates an engaging preparation process that puts participants in control, makes them feel valued for their contributions to the CBL and ensures that they do not feel alone as they connect with peers.
This thesis concludes with an evaluation of the app, using the feedback of the CBL participants for quick iterations. The final sections of this report identify areas for improvement and recommendations for implementing the design, including creating two versions of the app for different age groups, implementing advanced features such as audio recording, and doing more research on the sharing behaviours of the participants.","Child Brain Lab; Human-centred design; Co-design; Patient Experience; Peer-sharing","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:37a1a151-9506-452f-8cd7-3a8e6b856c80","http://resolver.tudelft.nl/uuid:37a1a151-9506-452f-8cd7-3a8e6b856c80","Numerical study on turbulence induced vibrations of fuel rods: Using an Anisotropic Pressure Fluctuations Model","Freitas, André (TU Delft Aerospace Engineering)","van Zuijlen, A.H. (mentor); Zwijsen, K. (mentor); Delft University of Technology (degree granting institution)","2023","In the evolving landscape of nuclear energy, ensuring the safety and efficiency of nuclear reactors remains paramount, particularly with the increasing demands for energy and a concurrent rise in global temperatures. A significant aspect of nuclear safety involves maintaining the integrity of the fuel rods, which are susceptible to Turbulence Induced Vibrations (TIV) resulting from axial flows of the coolant liquid. TIV can instigate severe repercussions including structural damage such as fatigue, wear, and stress corrosion cracking, posing substantial threats to reactor safety. Despite the historical attention this phenomenon has garnered since the 1950s, conventional semi-empirical methods offer limited predictive accuracy and do not facilitate extrapolations for multi-rod scenarios effectively.
Recent developments have turned to Fluid-Structure Interaction (FSI) simulations as a powerful tool to study fuel rods’ behavior under TIV effects, capitalizing on the increase of computational power available today. While Direct Numerical Simulation (DNS) and Large Eddy Simulation (LES) offer more accurate predictions, their computational demands make them unsuitable for complex FSI simulations. This has led to a preference for Unsteady Reynolds-Averaged Navier-Stokes (URANS) simulations, despite them underpredicting the displacement amplitudes of the vibrations.
Evolving from this shortfall, the current study directs its focus on a recently developed Anisotropic Pressure Fluctuation Model (AniPFM). This model generates a synthetic velocity fluctuations field, which is used to solve for the pressure fluctuations. The use of this model together with URANS poses as a possible way to inexpensively simulate the excitation mechanisms of TIV of fuel rods. While previous research has highlighted the potential of this model, it is important to note the considerable level of uncertainty still associated with it. Additionally, there are parameters, definitions and constants whose impacts on the model are not yet fully understood or even explored. This calls for a comprehensive research to fine-tune the model, optimize its performance and further validate it. This is precisely the goal of this study, carried out through the analysis of two pure flow and two FSI cases. Hypotheses were formulated and tested in pure flow scenarios before being further validated in FSI cases. Key advancements were made by optimizing the time correlation method used on the generated velocity fluctuations, which significantly reduced the model’s uncertainty. This method was then calibrated using DNS data of turbulent channel flow. Further calibration was undertaken, this time in the parameters part of the modelling of the turbulent kinetic energy spectrum, to address the overprediction of pressure fluctuations near the wall observed in the baseline model. Moreover, the turbulent annular flow was used as the second flow only case, providing more complexity compared to channel flow, by adding curvature, as well as another opportunity to test the hypothesis made.
Furthermore, the hypotheses underwent additional validation via FSI simulations, through a brass beam in turbulent axial flow, showing a substantial decrease in the average difference from the experimental data to 19% from a previous 68%, over the range of inflow velocities considered. Notably, the calibrated AniPFM surpassed LES in accuracy while requiring fewer computational resources. The results obtained are promising, but further validation is needed. This thesis also outlines and lays the foundation for further validation work, through the setup and initial simulations of a flexible cantilever rod in turbulent axial water flow.
In the Netherlands, approximately five thousand people are dependent on maintenance hemodialysis (HD) treatment. The one-year mortality of this patient population in 2021 was as high as 18%. A significant contributor to this high mortality and burden on HD patients is the high incidence of intradialytic hypotension (IDH), which can lead to hypoperfusion of vital organs. Despite advances in fluid management, including technical innovations such as relative blood volume (RBV) monitoring and bioimpedance measurements, the incidence of IDH remains unacceptably high. Therefore, the primary goal of this master thesis is to assess the feasibility of machine learning-based real-time prediction of IDH using patient data from HD sessions at the LUMC with the aim to enhance individualized fluid assessment. In this study, the performance of a recurrent neural network, known for its ability to retain time-varying information, will be compared to other machine learning models.
Methods
Patient demographics and intradialytic measurements, such as blood pressure and RBV measurements, were obtained from all HD patients of the LUMC with an age ≥18 years. The two definitions of IDH that were assessed were defined as IDH1, a drop of ≥20 mmHg compared to the pre-dialytic SBP and IDH2, is an absolute intradialytic SBP of ≤90 mmHg. Performance of a logistic regression, random forest and extreme gradient boosting (XGBoost) model were assessed and compared to that of a recurrent neural network (RNN). The models provided a prediction of IDH at every 30 minutes during HD treatment. Feature importances were determined for the logistic regression, random forest and XGBoost and two subanalyses were conducted to assess predictions made 60 minutes ahead instead of 30 minutes, and the effect of removal of blood pressure measurements on the prediction.
Results
37,025 HD sessions of 436 patients were included in the analysis of IDH1 and 43,722 sessions of 441 patient in the analysis of IDH2. The Area under the Curve (AUC) of the Receiver Operating Characteristics (ROC) curve for the logistic regression, random forest, XGBoost and RNN were 0.80, 0.81, 0.81 and 0.87 respectively for the prediction of IDH1 and 0.93, 0.84, 0.86 and 0.92 for IDH2. At the highest clinically acceptable false positive rate (FPR) of 0.1, the RNN demonstrated a precision, recall, and specificity of 0.56, 0.59, and 0.90, respectively, for IDH1, and 0.10, 0.76, and 0.90 for IDH2, and the logistic regression 0.63, 0.50 and 0.90 for IDH1 and 0.14, 0.80 and 0.90 for IDH2.
Conclusion
The machine learning algorithms showed the ability to learn from HD data to make a prediction of IDH at different intradialytic timepoints. However, the current models do not meet the criteria for clinical implementation due to their limited performance metrics. Evaluation of the subanalyses revealed that the RNN had the ability to detect patterns that did not only rely on the blood pressure. Therefore, the prospect of real-time predictions of IDH appears promising with further refinement of the RNN and the expansion of the database by incorporating additional features and sessions.
This method involves conducting a threat assessment, a Probability of Detection analysis, executing ASTM D7136 impact and quasi-static tests on coupon samples and conducting quasi-static tests on the propeller blade itself. The results from these tests were used to create a two-mass model which can predict the contact force during a propeller impact event. This model was validated using impact test on the propeller blade.
The study conducted a series of ASTM D7136 impact tests and quasi-static tests on coupons, revealing that the coupons exhibited greater load-bearing capacity under impact loading compared to quasi-static loading. These tests also showed that the coupons have an increased stiffness when subjected to impact loading, requiring a multiplication factor of 1.47 to align their stiffness with quasi-static conditions.
The research also involved determining boundary conditions for propeller tests, which led to the development of a custom clamping mechanism. During the quasi-static tests, the differences in stiffness between coupon and propeller tests were identified. These coefficients served as the basis for a predictive
model involving a two-mass, spring and damper system, with parameters derived from coupon tests and the propeller quasi-static tests. After performing the validation impact tests on the propeller blade, it was determined that this model successfully predicted contact forces within 13% of actual test values.
The research demonstrated the potential to predict impact energy requirements for barely visible impact damage, without the use of FEA. The model’s accuracy, while it can be improved, is sufficient to predict impact events effectively, offering a simpler alternative to complex FEA models.
This work follows their line of research, building upon an adaptive grid-based rendering approach. Additionally, it includes the support of an accretion disk with realistic coloration and grid-interpolation, enables full free movement around the black hole and uses stereoscopic imagery to simulate depth. By carefully arranging the computations, a speed-up of up to 8 times over previous work was achieved for the grid generation, which enables a full real-time exploration of the black-hole space-time distortion.","Black Holes; Accretion disk; Stereoscopic Rendering","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:4983c0ee-cb78-47b8-a9ba-48b14e543ec9","http://resolver.tudelft.nl/uuid:4983c0ee-cb78-47b8-a9ba-48b14e543ec9","Achieving Optimal Power Performance with Advanced FinFET Technology for NAND Memory Applications up to 8Gb/s I/O Bandwidth","Jomerts, Arvis (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Computer Engineering)","Hamdioui, S. (mentor); Gaydadjiev, G. (graduation committee); Kooij, B.J. (graduation committee); Spessot, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","3D NAND memory devices are intrinsically very cost sensitive, implying that their size, and hence logic area must be limited in order to acquire a chip which is able to conquer the competitive market price. Market forecasts of upcoming NAND products predict Input/Output (I/O) speed increase well beyond 2 Gb/s that is the current industry standard. I/O bandwidth strongly correlates with the device technology used for logic and the current state-of-the-art planar devices are predicted to reach their maximum capabilities in the near future. Use of FinFET transistor is expected to substantially enhance I/O area-performance of 3D NAND memory logic, alleviating area restriction severity and providing a foundation for future periphery generations to come.
In this thesis, a 3D NAND compatible I/O able to achieve 8 Gb/s throughput has been developed using simulation of thermally stable Imec in-house developed 14 nm FinFET technology equivalent. To validate throughput quality, industry defined eye diagram standards are used. To determine area savings provided by utilizing FinFET devices, FinFET active transmitter area is benchmarked against 45 nm planar device setup achieving the same 8 Gb/s data rate performance. To ensure an unbiased comparison, two signaling topologies are used - single ended signaling (SES) and differential signaling (DS). To extend analysis, sensitivity of the design against various parameters such as data rate, voltage and temperature is explored.
It is concluded, that active area of FinFET driver is several times lower than that of similar planar transmitter (same power and throughput) for both SES and DS. Additionally, suitable use cases of DS and SES have been evaluated depending on environmental conditions investigated during sensitivity analysis. All in all, this research provides a baseline for planar-to-FinFET scaling in I/O system and guidelines in choosing signaling topology appropriately, depending on system constraints.
Unfortunately due to the complexity of these algorithms and due to lack of time the sizing and control problems are solved separately in this research. First, the system components of the plant are described and modeled. The components that are modeled are the battery, the fuel cells, and the DC/DC converter. To find the optimal energy management strategy an online optimization strategy is used. This is done because the problem is solved in real-time than and could be used in a real application. The strategy that is chosen to solve the control problem is the Equivalent Consumption Minimization Strategy (ECMS). This strategy translates the electrical energy from the battery into equivalent hydrogen consumption. For every timestep, the equivalent consumption is minimized by the ECMS. Because there are different variants of ECMS three of these variants are discussed and compared in the research. Also, two rule-based energy management strategies are compared. The sizing problem is described by linear equality and inequality constraints. The problem is solved by the Linprog function in Matlab. The objective of the sizing problem is to minimize the weight of the system components. The input in the sizing problem is the energy and power demand of the most energy intensive operational profile. After solving the sizing and control problem the results are combined and the different operational profiles are used as input to show the robustness of the optimization.
The three different energy management strategies all minimize the instantaneous equivalent consumption but show different behaviors when controlling the system components. The optimal energy management strategy is the Smooth Adaptive Penalty (SAP)-ECMS. With this controller, the fuel cells work on a steady operating point and ramp up and down the output power smoothly when necessary. Due to this behavior, the average efficiency of the fuel cell is the highest, and the hydrogen consumption is the lowest compared to the other controllers. The results of the sizing problem show that the weight will decrease when a bigger fuel cell is used in combination with a smaller battery. The consideration between a bigger fuel cell and a smaller battery is a consideration between lower weight and more hydrogen consumption. When a bigger fuel cell is used it is recommended to implement an optimal energy management strategy such as the SAP-ECMS to control the output power of the system components. This is preferable above a rule-based controller which can not find the optimal operating point at all timesteps. Even better energy management strategies may exist or could be made by combining different ECMS's. When the sizing and control problem are solved in a nested strategy more accurate results could be achieved.","optimization; hybrid powertrain; hydrogen; boat; sizing; control","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:ae1eb350-239e-48f8-9e6b-38bebaa51d92","http://resolver.tudelft.nl/uuid:ae1eb350-239e-48f8-9e6b-38bebaa51d92","From Movement to Medals: A Strategic Guide to Paralympic Success","Tuijt, Teska (TU Delft Industrial Design Engineering)","Mooij, S.C. (mentor); Mulder, S.S. (mentor); Delft University of Technology (degree granting institution)","2023","Ten per cent of the Netherlands has a mild to severe disability (WHO, nd.). Despite this, there is little attention to Paralympic sports played in the Netherlands. This research focuses on:
“How can wheelchair team sports in the Netherlands be effectively supported in their journey towards professionalisation in preparation for the Paralympics 2032 in Brisbane?”
For this research, the new product development effectuation approach was applied (Duening, 2012). Methods used include phenomenological research in wheelchair tennis, ice sledge hockey,
para-badminton and the euro para games, qualitative interviews and research on the tennis sport as an exemplar.
The results from the studies were that federations have the most impact and potential to accomplish professionalisation. The NOC*NSF should guide and keep the overview of what all the different federations are doing. The federations are the implementers, reporting what is and is not working to the federations. The athletes also have an essential feedback role but are the potential new role models of the sport.
To professionalise paralympic wheelchair team sports, federations should improve four components in their sport. The competition must be at a standard high level, there must be an organisation for para-sport within the federation, the sport must become financially stable, and the elite athletes must start getting paid to become full-time athletes. A para-sport does not have to figure it out independently but can achieve cross-pollination through various collaborations.
These four components can lead to professionalisation in four steps mapped out in a roadmap. In the first horizon of six months, all wheelchair team sports federations will look at their organisation and make a plan on how they want to grow.
In the second horizon of one year, they will explore with whom they can achieve their goals and create a plan together.
In the third horizon of three years, they will implement the new structures, and the fourth horizon is about maintaining and improving the new structures.
Based on this design, wheelchair team sports can be professionalised in the Netherlands. For a successful strategy implementation, a follow-up study could look from the federations’ point of view at how this roadmap can be applied to other sports","Paralympics; Teamsport; NOC*NSF; Strategic Roadmap","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:1edec476-3b58-458d-a4a6-cbba30b783e6","http://resolver.tudelft.nl/uuid:1edec476-3b58-458d-a4a6-cbba30b783e6","Evolving Spiking Neural Networks to Mimic PID Control: Applied to Autonomous Blimps","Burgers, Tim (TU Delft Aerospace Engineering)","de Croon, G.C.H.E. (mentor); Stroobants, S. (mentor); de Wagter, C. (graduation committee); Bombelli, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In recent years, Artificial Neural Networks (ANN) have become a standard in robotic control. However, a significant drawback of large-scale ANNs is their increased power consumption. This becomes a critical concern when designing autonomous aerial vehicles, given the stringent constraints on power and weight. Especially in the case of blimps, known for their extended endurance, power-efficient control methods are essential. Spiking neural networks (SNN) can provide a solution, facilitating energy-efficient and asynchronous event-driven processing.
In this paper, we have evolved SNNs for accurate altitude control of a non-neutrally buoyant indoor blimp, relying solely on onboard sensing and processing power. The blimp's altitude tracking performance significantly improved compared to prior research, showing reduced oscillations and a minimal steady-state error. The parameters of the SNNs were optimized via an evolutionary algorithm, using a Proportional-Derivative-Integral (PID) controller as the target signal. We developed two complementary SNN controllers while examining various hidden layer structures. The first controller responds swiftly to control errors, mitigating overshooting and oscillations, while the second minimizes steady-state errors due to non-neutral buoyancy-induced drift. Despite the blimp's drivetrain limitations, our SNN controllers ensured stable altitude control, employing only 160 spiking neurons.","Neurorobotics; Machine Learning for Robot Control; Aerial Systems: Mechanics and Control; Spiking Neural Network (SNN); Evolutionary Algorithm - EA; Blimp","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:05107e1e-f44d-4df0-a1f5-d0374b5b78ad","http://resolver.tudelft.nl/uuid:05107e1e-f44d-4df0-a1f5-d0374b5b78ad","Uncertainty quantification for tensor network constrained kernel machines: A frequentist and Bayesian approach","Smeenk, Rutger (TU Delft Mechanical, Maritime and Materials Engineering)","Batselier, K. (mentor); Boskos, D. (graduation committee); Wesel, F. (mentor); Delft University of Technology (degree granting institution)","2023","This research aims at quantifying the uncertainty in the predictions of tensor network constrained kernel machines, focusing on the Canonical Polyadic Decomposition (CPD) constrained kernel machine. Constraining the parameters in the kernel machine optimization problem to be a CPD results in a linear computational complexity in the number of features, whereas the original problem suffers heavily from the curse of dimensionality as the number of parameters scale exponentially. By employing a product feature map with polynomial features, the original data input is transformed to a higher-dimensional space.
Three different methods are investigated for quantifying the uncertainty of the predictions of the CPD constrained kernel machine. Firstly, the delta method is proposed which is a frequentist approach that linearizes a nonlinear parametric model around the estimated model. By estimating the covariance of the model parameters, the delta method can estimate the uncertainty in the model predictions based on the estimated parameter uncertainties. The delta method is compared to two other methods that are able to reflect the prediction uncertainty: the Bayesian method and Single Bayesian Core (SBC) method. The Bayesian method treats the parameters in the factor matrices of the CPD as probability distributions rather than single values and the SBC method incorporates both frequentist and Bayesian aspects. A comparison between the three different methods is performed based on an assessment on the correctness and informativeness of the uncertainty measures of prediction intervals and confidence Intervals.
It was found by regression and classification experiments that all three methods can provide valuable uncertainty quantification measures in terms of correctness and informativeness for the CPD constrained kernel machine. However, the Bayesian method provides in general more conservative uncertainty intervals compared to the delta and SBC method. A major drawback of the Bayesian method is its lack of scalability as the size of the mean and covariance, constructed by the unscented transform in the Bayesian method, scale exponentially. Furthermore, the delta and SBC method produce high quality uncertainty intervals and the methods provide remarkably similar uncertainty quantification on the prediction error variance.","tensor decomposition; Canonical Polyadic Decomposition; Supervised Learning; Machine learning; Optimization; Uncertainty quantification","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:ffd220e2-a164-4e65-a976-d55a50d2d997","http://resolver.tudelft.nl/uuid:ffd220e2-a164-4e65-a976-d55a50d2d997","Data-Driven Wind Turbine Power Anomaly Detection Using SCADA","Velds, Douwe (TU Delft Aerospace Engineering)","Watson, S.J. (mentor); Krasimirov Dimitrov, Nikolay (mentor); Delft University of Technology (degree granting institution); Technical University of Denmark (degree granting institution)","2023","In the light of global need for renewable energy, wind energy plays a crucial role. Today, the majority of wind turbines are still being built on land. Given this critical role, accurate monitoring methods are needed to fully understand the performance within wind farms. This thesis aims to create a methodology to evaluate the power performance of wind turbines within an onshore wind farm using SCADA data. The primary objective is to identify deviations from the expected power generation patterns by using a multivariate machine learning approach. Due to the terrain complexity of onshore wind farms, a cluster-based approach is used. In total, 15 clusters consisting of 61 turbines have been evaluated. The training data is filtered by applying multiple filters with the objective of creating a normal behaviour model. The evaluation is based on a feedforward multilayer perceptron regressor to predict the power output for a test data set. A sequential methodology is explored to refine the model performance and is then applied to power performance analysis tasks to detect anomalies in the data sets. The results of the final analysis suggest that the approach taken is capable of detecting anomalies in the data. It is shown that in multiple clusters cases of under- or overperformance can be detected.","SCADA; Data-Driven; Machine Learning","en","master thesis","","","","","","","","2023-11-06","","","","European Wind Energy Masters (EWEM) | Rotor Design Track","",""
"uuid:4f2c12de-9b9f-4e3f-ad3a-902947d693bb","http://resolver.tudelft.nl/uuid:4f2c12de-9b9f-4e3f-ad3a-902947d693bb","Using Reinforcement Learning to Personalize Daily Step Goals for a Collaborative Dialogue with a Virtual Coach","Dierikx, Martin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Brinkman, W.P. (mentor); Albers, N. (mentor); Pera, M.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","To reduce morbidity and mortality caused by multiple chronic conditions, the number of steps people take each day should be gradually increased. For this, a recommended step goal can be created that is based on an individual's previous walking behaviour. However, for a person, the achievability of this recommended goal can change daily because of that person's state, such as their mood or self-motivation. It could be, for example, that if someone's self-motivation is low, proposing a lower goal than the recommended one, increases their self-motivation and allows them to achieve the recommended goal the next day. Therefore, we investigated the use of a person's state to personalize daily step goal proposals. To do so, we designed and implemented a virtual coach, named Steph, to propose daily step goals to people during an observational study. We used people's states collected in that study to train a reinforcement learning model to optimally personalize the step goal proposals. Based on simulations of our model, we found that people in high states (e.g. who were very motivated and had a positive mood) were more likely to achieve their recommended goals, while people in low states (e.g. who were not motivated and had a negative mood) were less likely to achieve their goals. We also found that proposing higher goals to people in certain states was better than for people in other states. This was because, for some people, a higher goal improved their state while for others, it worsened it. This suggests that personalizing people's step goal proposals optimally could change people's states to where they are more likely to achieve their recommended step goals. So, this thesis provides a model for personalizing daily step goal proposals which can be used as part of behaviour change support systems. It can also serve as a basis for different approaches to predict and change people's walking behaviour to make them more active and less susceptible to chronic diseases.","Reinforcement Learning; Virtual Coach; Goal-setting; Physical Activity; Personalization; Collaboration","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:053bba4f-ee76-4acd-b31e-3db3d3f96380","http://resolver.tudelft.nl/uuid:053bba4f-ee76-4acd-b31e-3db3d3f96380","Developments in Heat and Water Balance Coupling for Micro-Climate Modelling of Urban Squares under the Influence of Greening - A Review and Case Study","Zeh, Clara (TU Delft Civil Engineering & Geosciences; TU Delft Water Management)","Rutten, M.M. (mentor); Coenders-Gerrits, Miriam (graduation committee); Stache, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Urban areas are prone to extremely high temperatures as a result of climate change and the urban heat island effect. Urban micro-climate modelling has become an important tool to evaluate the effect of heat mitigating measures and develop climate-sensitive urban designs. However, many models lack the accurate fine scale simulation of evapotranspiration influence and soil moisture conditions to predict human thermal comfort (HTC).
This works objective is to better understand the coupling of the heat and water balance for micro-climate predictions of urban squares and its influence on the HTC, especially under the influence of greening. Therefore, a literature review on recent model developments was conducted. Additionally, a case study was performed for the Heat Square in the Green Village using the model VTUF-3D, chosen based on the literature review.
Five urban micro-climate models work on the fine scale water balance representation, namely ENVI-met, i-Tree Hydro+, Solene-Microclimat, ST, and VTUF-3D. ENVI-met offers comprehensive analysis options of greening solutions and user-friendliness, while VTUF-3D excels in detailing soil and plant characteristics. Given the detailed water balance simulation and the accurate trend prediction for the latent heat flux, it is expected that greening effects on the urban micro-climate of the Heat Square can be predicted accurately with VTUF-3D, if not for spatial and human thermal comfort assessment.
The reviewed models require further model development towards a comprehensive water balance representation and related greening analysis options. Additionally, further efforts towards model applicability are needed, including validation and user-friendliness. VTUF-3D requires improvement of spatial variability representation and HTC prediction.
Note, the literature review is no holistic assessment and does not provide a conclusion on the overall performance of the models. The case study was limited in model feature configuration.","Urban micro-climate modelling; Square scale; Water Balance; Greening","en","master thesis","","","","","","","","","","","","Water Management | Urban Water Engineering","","51.9967688, 4.3775979"
"uuid:81f521cd-18ea-4364-bd64-3f3c6ce1150a","http://resolver.tudelft.nl/uuid:81f521cd-18ea-4364-bd64-3f3c6ce1150a","Towards clinical implementation of ultrasound-based navigation for laparoscopic liver resection","Greeven, Julia (TU Delft Mechanical, Maritime and Materials Engineering)","van Dongen, K.W.A. (graduation committee); Olthof, K.A. (graduation committee); Ruers, Theo J.M. (mentor); Fusaglia, M. (graduation committee); Harlaar, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Liver cancer ranks seventh in global cancer prevalence and stands as the third leading cause of cancer-related deaths. Surgical resection is considered the gold standard for treatment of liver malignancies and has witnessed a shift toward minimally invasive techniques in recent decades. Surgeons rely on laparoscopic observations, preoperative imaging, and laparoscopic ultrasound for image guidance. However, these methods pose challenges in accurately representing the complex three-dimensional (3D) structure of the liver in a two-dimensional (2D) view. Surgical navigation devices aim to enhance this process by providing additional information for improved lesion localization and vascular structure visualization with respect to tracked surgical instruments. This study's objective was to establish an electromagnetically guided laparoscopic liver resection workflow at Netherlands Cancer Institute – Antoni van Leeuwenhoek (NKI-AvL) to be implemented into clinical practice.
A method was developed for tracking instruments in laparoscopic surgery, with a focus on the laparoscopic sealer/ divider. An adapter was developed with an embedded electromagnetic sensor to allow tracking. Calibration employed a dedicated device, followed by a comprehensive validation process encompassing usability, sterilizability, attachment reproducibility, and calibration accuracy. The adapter was produced from a non-ferromagnetic material, ensuring sterilizability and straightforward surgeon attachment, exhibiting positive results in reproducibility and virtual visualization. Nevertheless, the calibration accuracy, at 2.5 mm, could be enhanced, primarily through calibration method adjustments. Additionally, a fast calibration method for the laparoscopic ultrasound (LUS) probe adapter was developed, involving a dedicated calibration tool. Validation assessments to ascertain its reproducibility showed positive results. However, accuracy measurements can be further improved as ultrasound calibration method evaluation showed a root mean squared error (RMSE) of 3.6 mm. This is important as the navigation performance relies on the calibration accuracy of the tracked LUS probe. The workflow for navigated laparoscopic liver resection was tested using a liver phantom. It demonstrated the navigation system's capacity to register preoperative and intraoperative images with a mean target registration error (TRE) of 3.7 mm and showed promising augmented reality views. Additionally, this test led to workflow enhancements related to liver sensor placement and visualization techniques.
The developed navigation workflow for laparoscopic liver resection integrated Aurora EM tracking, tracked laparoscopic instruments, and software for intraoperative liver 3D model visualization. While feasible in an operating setting during phantom tests, further enhancements in visualization and accuracy are needed. A clinical study will be conducted to determine intraoperative feasibility, providing valuable experience to technical physicians and surgeons. In the near future, the presented navigation method may enhance laparoscopic resection accuracy and reduce disease recurrence. A clinical study at NKI-AvL will soon validate this navigation system for clinical use.
A 15 MW floating wind turbine on a tension-leg platform (TLP) is considered as a case study. This type of floater is considered the most difficult to disconnect from its mooring and tow to a port for maintenance using a shore-based crane. The Offshore Self-Climbing Crane (OSCC) from Huisman Equipment is considered as maintenance equipment, which consists of a base docked on the TLP and a lattice structure coupled to the turbine tower, on which a crane is mounted. Due to the mass of the OSCC, the tension in the tendons of the TLP is reduced, which lowers its natural frequencies in surge, sway and yaw and shifts them closer to wind excitation frequencies. The roll and pitch natural frequencies of the TLP are lowered due to the top mass of the crane and the coupling between the bending modes of the lattice and the tower, shifting them closer to wave excitation frequencies. Snap loads in the tendons occur for sea states with wave peak periods near the roll and pitch natural periods at significant wave heights of 2.5 meters and above.
Steps of the blade exchange operation are studied. The operability of the installation of the OSCC is found to be limited by vessel motions, while the TLP remains relatively still. Installation of the OSCC is found to be a bottleneck in the blade exchange due to low operability and a limited number of suitable installation vessels.
Free-hanging blade installation is not deemed possible, due to low installation operability and the unconstrained yaw mode. The stiffness in yaw resulting from a line-up tool attached to the blade root or yoke is assessed. The low stiffness of the line-up tool at the yoke results in a large response due to crane tip displacements caused by wind-induced motions of the TLP. Placing a line-up tool at the root of the blade results in the highest operability of the blade lifts. Yoke motions during its attachment to the old blade become limiting instead. The design choices of the top crane mass, lattice stiffness and the type of line-up tool are related to the operability of the blade exchange.
Key findings indicate that high-quality input data, both in terms of quantity and measurement frequency, play a key role in the effectiveness of predictive models. Seasonality is a useful predictor and is recommended to be supplemented with rainfall data to better capture its influence on runoff and water quality. The study introduces the concept of basin accumulation and the implementation of buffer areas, demonstrating that these enhancements lead to improved model performance.
In conclusion, it can be said that relying just on macro-scale parameters is insufficient to generate an effective linear regression model. However, with the right optimizations and useful input data, it can be an insightful and valuable tool for water quality prediction.","Multivariate Linear Regression; Dissolved Oxygen; Land Cover; Macro-scale parameter; Mirco-scale parameter; Water quality; Brantas river","en","master thesis","","","","","","","","","","","","Water Management","",""
"uuid:418d66c7-13e5-47f4-89a3-cbbe369fa396","http://resolver.tudelft.nl/uuid:418d66c7-13e5-47f4-89a3-cbbe369fa396","Forming a guideline for the design and maintenance of resilience in an international green hydrogen supply chain","Hillen, Justus (TU Delft Technology, Policy and Management)","Yang, M. (mentor); Correljé, A. (mentor); Delft University of Technology (degree granting institution)","2023","In the wake of the 2015 Paris Agreement on climate change, global efforts have intensified to reduce carbon emissions and transition to renewable energy sources such as solar and wind power. However, the asymmetry in energy production and consumption among nations, coupled with the seasonal and temporal intermittency and geographical variations of renewables, has highlighted the need for efficient energy transport solutions. Hydrogen has emerged as a promising candidate due to its high energy density and the fact that it only produces water when burned. Governments, both at the national and international levels, have outlined ambitious roadmaps for green hydrogen production and utilization, as seen in the EU's REPowerEU package aligned with the EU Green Deal and Japan's ""Basic H2 strategy."" Two prominent importers of hydrogen, Japan and The Netherlands, have been actively involved in shaping the future of international green hydrogen supply chains (IGHSC).
However, as plans for IGHSC development gain momentum, so does the recognition of the need for resilient characteristics within these supply chains. They must be designed to withstand, adapt to, and recover from unexpected disruptions effectively. This research aims to address this need by developing a guideline for establishing a resilience design methodology specific to IGHSC, using Japan and The Netherlands as examples of significant future importers.
The primary research question guiding this thesis is: What guidelines can be established to design and maintain resilience within an international green hydrogen supply chain, with Japan and The Netherlands as exploratory importers?
The research approach combines extensive literature review, analysis, and interviews within a qualitative exploratory framework. A theoretical framework was constructed to uncover the interconnections between various theories and fields of study. This framework not only unveiled previously unnoticed associations but also elucidated the disruptions and resilience mechanisms inherent to IGHSC. Two novel optional IGHSC configurations were identified and introduced, and a comprehensive catalog of relevant disruptions, along with their respective domains, was created. Through a rigorous evaluation of existing research on disruptions and the enhancement of categorization schemes, this study developed a new structure for categorizing potential IGHSC disruptions systematically. These disruptions were categorized based on their potential domains of origin.
The concept of resilience was subsequently defined and categorized into two distinct phases and three scopes, providing a structured framework for designing and maintaining resilience within an IGHSC. Recognizing that the establishment of a global hydrogen economy is pivotal for achieving global net-zero goals, it becomes imperative to prioritize the design and maintenance of resilient IGHSC. This thesis presents a newly developed guideline that contributes to the design and maintenance of resilience within an IGHSC, serving as a crucial step towards realizing a sustainable and reliable global hydrogen economy.","resilience; hydrogen supply chain; disruptions; design","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:6405757b-aad0-47e5-9fa3-111427c5e28b","http://resolver.tudelft.nl/uuid:6405757b-aad0-47e5-9fa3-111427c5e28b","Closed-loop guidance for micro launchers: Improvement to robustness and failure tolerance","Dutruel, Benjamin (TU Delft Aerospace Engineering)","Naeije, M.C. (mentor); Delft University of Technology (degree granting institution)","2023","The startup Rocket Factory Augsburg (RFA) is developing the RFA ONE orbital launch vehicle. A significant hurdle for its closed-loop guidance is the need to fully deplete the third stage for insertion during the first flight, which results in lengthy burn-arcs and complexity not managed properly by traditional guidance algorithms. Additionally, new testing methods introduce uncertainty and component failure risks, demanding an adaptable guidance system.This thesis therefore aims to enhance RFA's closed-loop guidance system to ensure optimal orbit insertion in all feasible scenarios. This was achieved by redesigning the closed-loop guidance system to make it more robust for extended thrust-arcs.Furthermore an in-flight estimation algorithm for the key performance parameters was implemented. Finally the guidance can now fall back to alternate target orbits in case of insufficient performance margins. It was shown that the new guidance is able to successfully complete the maiden flight with the large amount of expected uncertainty.","Guidance; GNC; Closed-Loop; Closed-Loop Control; Trajectory Optimization; Launcher; Rocket; Trajectory Prediction; Ascent Trajectory; Parameter Estimation","en","master thesis","","","","","","","","2025-10-04","","","","Aerospace Engineering","",""
"uuid:934478c4-412b-4d2d-8a99-40e5313a5dcc","http://resolver.tudelft.nl/uuid:934478c4-412b-4d2d-8a99-40e5313a5dcc","A design strategy for stakeholders in fuzzy front-end of sports innovation: An opportunity for Adidas Adizero footwear innovation","Hsieh, Ping-Yu (TU Delft Industrial Design Engineering)","Simonse, LWL (mentor); Jansen, A.J. (graduation committee); Perrin, V. (graduation committee); Dempster, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","In this graduation thesis, the evolution of Adidas's product development process is explored, focusing on the introduction of a Adizero footwear Fuzzy Front-End aimed at enhancing brand credibility and user experience through agile, user-centric design methods. This stage, a crucial part of the development of Adidas Adizero Footwear, prioritizes functional prototypes and utilizes athletes’ performance data to inform design before products enter the marketing phase.
An in-depth context analysis illuminates Adidas’ intricate organizational structure, brand categorization, and the history and innovative essence of the Adizero franchise. This analysis lays the groundwork for exploring the fuzzy front-end (FFE) stage, where challenges such as neglect of individual consumer needs and complexities in the initial development stages are underscored, and opportunities like well-balanced stakeholder engagement, participatory design methods, and data-enabled engagement approaches are identified.
In seeking to resolve identified challenges, novel stakeholder engagement strategies are devised, promoting dynamic understanding and interaction amongst stakeholders beyond traditional managerial definitions. Innovative tools and methods, such as the ‘Superpower Element Sticker,’ are developed to encourage co-creation and facilitate the formulation of new engagement strategies. At the same time, analyses and pilot testing sessions ensure the practical applicability of proposed solutions.
This research highlights the delineation of a novel conceptual design framework, aimed at enabling effective multi-stakeholder co-creation in the Fuzzy Front-end of Adizero Footwear. Then a new process design, based on the conceptual design framework in a co-creation workshop, integrates innovative data tunnels allowing varied stakeholders, including consumers, marketers, and developers, to actively contribute insights and refine the design throughout different seasons and stages of footwear creation. Emphasizing clarity and data visualization, this proposed framework, while innovative, necessitates strategic navigation through technological constraints and alignment with Adidas's methodologies to ensure feasibility and optimal contribution to the enhancement of the footwear design process.","Strategic Design; Fuzzy front-end innovation; Sports Innovation; new product development; Co-creation; data-enabled design; stakeholder engagement; Stakeholder management; Process Design; Running Footwear; User-driven innovation","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:e868d941-42e5-46c0-b706-c21a6bece0da","http://resolver.tudelft.nl/uuid:e868d941-42e5-46c0-b706-c21a6bece0da","Towards a Better Understanding of Attitude Oscillations Experienced by Quadcopters In Axial Descent","Bogaerts, Charlie (TU Delft Aerospace Engineering)","de Visser, C.C. (mentor); Delft University of Technology (degree granting institution)","2023","This study aims to quantify the aerodynamic behaviour of fixed pitch rotors with a diameter of 12.7 cm in axial descent, and its contribution to the attitude oscillations found for quadcopters under similar flight conditions. Wind tunnel tests are performed with an isolated rotor, as well as a complete quadcopter mounted inside a gimbal that allows for roll and pitch motions. Isolated rotor wind tunnel tests show that thrust and torque fluctuations manifest in the pre-vortex ring state, but peak in the vortex ring state. The derivatives of thrust and torque with respect to airspeed remain positive in ranges from 0 mps to 25 mps and 3000 rpm to 20000 rpm. Comparison of these results with measured quadcopter motions reveal that isolated rotor characteristics only partly explain the attitude oscillations found for quadcopters in axial descent.","quadcopters; uav; vortex ring state; turbulent wake state; rotor; propeller; propellers; rotors; propwash; prop wash; interaction; descent; axial descent; stability; instability; inflow; oscillation; oscillations; interference; drone; drones; wind tunnel; vibrations; vibration; flight; windmilling; Simplex B-Splines","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:757bc823-10df-4666-ac25-d32c6e536076","http://resolver.tudelft.nl/uuid:757bc823-10df-4666-ac25-d32c6e536076","Determining friction forces with inertial measurement units from linear wheelchair rugby field data","den Boer, Marije (TU Delft Mechanical, Maritime and Materials Engineering)","Veeger, H.E.J. (mentor); van Dijk, M.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Biomedical Engineering | Medical Instruments","",""
"uuid:d1d941e3-0c65-4037-a721-6caf2deeb9eb","http://resolver.tudelft.nl/uuid:d1d941e3-0c65-4037-a721-6caf2deeb9eb","Conceptual design of the Guard Lock for Strandeiland Flood Defence","Burkhardt, Boris (TU Delft Civil Engineering & Geosciences)","Voorendt, M.Z. (mentor); Taneja, P. (mentor); Mai Van, C. (mentor); Delft University of Technology (degree granting institution)","2023","In response to Amsterdam’s housing shortage, IJburg on the east side of Amsterdam, is being devel- oped. IJburg consists of six artificial islands in total on which about 20,000 homes for 50,000 residents are being built. Strandeiland (Beach island in English), located in the lake called IJmeer, is one of these artificial islands which is currently being developed to accommodate approximately 20,000 residents. This project aims to introduce approximately 8,000 homes. However, the establishment of Strandei- land presents hydraulic safety concerns, especially with the presence of extreme low water levels and fluctuations due to wind set-up and set-down.
Strandeiland will feature an inland water with high recreational value for residents. This inland water will also provide access for recreational boating. Wind set-down and set-up can create extreme water level differences in this inner water. These water level differences are unfavorable and create danger to the stability of the island. These fluctuations pose a severe challenge to the stability and functionality of the island’s water infrastructure. Two primary solutions were evaluated: the adaptation of the inner quay wall or the implementation of a guard lock. Making the inner quay wall suitable for the water level differences brings several implications:
• Restrictions on utilities: Because the inner quay wall would be marked as primary flood defence, no pipes and cables would be allowed inside the wall.
• Design constraints: When the quay wall serves as the primary flood defence, construction on its inner slope and tree planting is prohibited. This would negatively impact the aesthetics of the waterfront and limit the housing construction space.
• Increased height requirements: The inner wall has to be higher according to primary flood defence regulations, this would escalate construction costs.
• Higher strength sheet piles: A higher strength of sheet piles has to be used to withstand ex- tremely low water levels which would also lead to escalating construction costs.
Adapting the Inner Quay Wall, while feasible, introduces significant design and functional constraints. Because of these constraints, this option is less desirable and implementing a guard lock is the favor- able solution to solve the water level fluctuations.
The guard lock as part of the primary flood defence controls extreme water level variations, ensuring Strandeiland’s water infrastructure’s integrity. The Guard Lock not only modifies the primary flood de- fence location, reducing its length considerably but also mitigates the constraints associated with an adapted inner quay wall. The water level spread is set from the program of requirements at NAP -0.6 meters and NAP +0.1. The current estimate is that this will require the lock to close 10 times a year, which is considered acceptable. A movable bridge is integrated which functions both as a neighbor- hood connector and a part of the beachside boulevard.
The main objective during the design phase is to develop a Guard Lock concept for Strandeiland that facilitates the management of water level fluctuations while accounting for potential failure mechanisms. Dimensions for the Guard Lock were determined based on the standard vessel, leading to lock cham- bers measuring 22 meters in length and 7.6 meters in width. Anticipating approximately ten annual guard lock closures in extreme scenarios, the F/E system is not included initially. To facilitate a possi- ble future inclusion of a F/E system, the core dimensions are based on two lock chambers resulting in an overall structural length of 36.46 meters.
Different gate designs were evaluated for the guard lock design: Mitre Gates, Rolling/Sliding gates, Lift gate (submersible), Lift gate (upward direction), Radial gates and Single-leaf gates. The first step was to check whether the different gate types were suitable for the situation in which Strandeiland is, looking at space and and vertical clearance. The lift gate in upward direction and the rolling sliding gate were considered unsuitable for this purpose. The elevator gate limits vertical clearance and the rolling sliding gates takes to much space besides to the lock. The remaining gate types were measured in a multi-criteria analysis, which resulted in a double set of mitre gates ensuring both-way retention. Because of safety and energy efficiency, but especially because of local knowledge and possibilities for maintenance, electromechanical driving mechanisms were chosen for the gates instead of an hydraulic driving mechanism.
Key design elements of the core construction of the guard lock consist of the concrete structure con- sisting of the walls and the floor slab. After performing the stability checks for the bearing capacity, overturning and piping the strength calculations are done for the floor slab and the walls of the con- crete structure. The reinforcement calculations are providing detailed reinforcements for the floor slab and walls.
The pile foundation is another main component of the structure. The vertical bearing capacity check indicates that no pile foundation is needed, but because non uniform settlement is expected a pile foun- dation will be included. Three different layers were evaluated to check which one was the best fit for the guard lock of Strandeiland. As the first layer is determined to be suitable to bear the load of the structure, this layer has been used. This is the most cost effective for the pile foundation design, as there is less material needed for the pile foundation design. The calculations show that 45 piles with an diameter of 0.8 m each satisfies the need to prevent non-uniform settlements under the structure.
The last component which has been determined is the gate height. The process for determining gate height utilized Reliability-Based Design (RBD) principles. Reliability-Based Design (RBD) ensures the gate height is optimal in terms of cost and safety. In this way an effective design for the gate height is obtained. A comprehensive fault tree analysis, combined with a Monte Carlo analysis, established the final gate height at 5.05 meters corresponding to NAP + 1.55 m, as shown in Figure 1.","","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:31b30e9c-b920-4378-981c-838254bb2a31","http://resolver.tudelft.nl/uuid:31b30e9c-b920-4378-981c-838254bb2a31","Solar tracking of perovskite-silicon tandem PV modules under real-world conditions","Chatzilampos, Orestis (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Photovoltaic Materials and Devices)","Santbergen, R. (mentor); Blom, Y. (mentor); Isabella, O. (graduation committee); Cremer, Jochen (graduation committee); Delft University of Technology (degree granting institution)","2023","Increasing the energy yield per unit area of Photovoltaic (PV) modules is one of the main challenges the PV technology is currently facing. In search of ways to address this issue, solar tracking systems are a favorable solution, which according to literature can enhance the energy yield by up to 45%. Another way is to opt for high-efficiency solar cells. Tandem cells have emerged as a promising technology, achieving efficiencies of over 30%. The integration of these tandem cells with sun-tracking techniques suggests high-performing solar modules. The present work develops a solar tracking model to simulate the performance of tracking PV systems equipped with tandem modules. The model will be incorporated into the PVMD toolbox, a PV modeling software developed within the PVMD group. This software can predict the energy yield of PV systems using self-consistent models for each aspect of the energy conversion.
The current version of the toolbox makes it impractical to include solar tracking due to the time-consuming nature of ray tracing used to compute the irradiance. Ray tracing generates sensitivity values that illustrate how sensitive is the module to incoming irradiance from any direction in the skydome. Initially, this work focuses on substituting ray tracing with an alternative faster approach to express sensitivity based on view factors. The view factor and ray tracing method are compared with respect to computational time and extent of agreement. It was found that the view factor can significantly reduce the computational time from over 12 minutes, as required in ray tracing, to a few milliseconds for a single module orientation. Additionally, the view factor method generates sensitivity values closely matching those from ray tracing. For instance, a mean RMSE of 1.2% between the two methods is achieved, for an albedo of 0.2 and module tilt of 30 degrees. Sun tracking aims to locate the module orientation that maximizes the in-plane irradiance. Directly calculating the irradiance for every orientation to identify the optimal, is not a viable option, as it requires substantial
time. Thus, sun tracking was expressed as an optimization problem and algorithms were employed to address it. Based on the prevailing sky conditions three optimization case studies were defined on an hourly basis: sunny, cloudy, and intermediate hours. Multiple algorithms were compared across the three cases with selected criteria the convergence to the optimum and runtime. Matlab’s surrogate solver and an author-developed algorithm were selected, as a satisfying solution, compromising those two criteria.
Finally, energy yield simulations were performed on perovskite-silicon tandem modules mounted on a dual-axis tracking system. Four locations were selected, representing different real-world conditions: Stockholm, Athens, Bombay and Bogota. Results show the module’s tilt dynamic adaptability to sky conditions: increas- ing nearly to the sun’s zenith when direct light dominates, and lowering when diffuse light is prevalent. Furthermore, the seasonal fluctuations of the energy gain of tracking systems are explored, with locations further from the equator such as Stockholm exhibiting the highest variability of 19% in winter to 36.9% in summer. In addition, the annual energy gained among the locations was found to span between 24.8% (Bogota) and 34.1% (Bombay). An important finding is the direct proportionality in gains from absorbed irradiance to DC and AC yields, illustrating a 1:1:1 ratio. Then, the effect of tracking technology on mismatch losses of tandem modules was examined. Results indicated that tracking has little impact on both the current and power mismatch. For example, the power mismatch losses slightly increased from 1.10-1.46% in static PV systems to 1.29-1.77% for tracking topologies in the locations examined. Moreover, the tandem’s annual energy gain is compared to silicon heterojunction modules. The analysis showed similar gains across locations for both cell technologies.","Solar tracking; Tandem cells; Real-world conditions","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:695d2d02-7272-4035-abc9-28744c317910","http://resolver.tudelft.nl/uuid:695d2d02-7272-4035-abc9-28744c317910","High-Speed Readout Circuit for PIN Single Electron Detector in Voltage Mode","Bouman, Lars (TU Delft Electrical Engineering, Mathematics and Computer Science)","Nihtianova, S. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis proposes the design of a new type of read-out circuit for a PIN diode used in Scanning Electron Microscope (SEM) applications. The circuit operates in voltage mode (with high input impedance), which offers significant power-saving advantages over the traditionally used current mode (with low input impedance). The final read-out circuit can detect the incoming charge of ∼1000e− with a temporal resolution of 2.5 ns at a frequency of 400 MHz. Post-layout simulation
results indicate a promising reduction in power consumption to 188 µW per pixel.
At the core of the read-out circuit is a dynamic comparator. The dynamic comparator is designed to operate with low noise at high frequencies while still having a low power consumption. The final comparator has a delay of 240 ps and 140 µV of input-referred noise while consuming 71 fJ/conversion.
The comparator has active offset compensation which reduces the offset from 1σ = 5.87 mV to 1σ = 172 µV in 100 ns.
The threshold for the dynamic comparator is created by inserting a small charge of 500e− on the detector, whose polarity is opposite to that of the signal. By creating the threshold as a charge, the ratio between the threshold and the signal is made independent of the detector capacitance.
The final pixel is implemented in 40 nm TSMC CMOS technology and occupies an area of 80 µm x 98 µm which includes additional circuits designed to measure and quantify the performance of the pixel. The measurement setup is designed but unfortunately, due to delays in the chip delivery, no measurements could be performed","","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:08561212-9747-4502-8c95-f6a20ff42f69","http://resolver.tudelft.nl/uuid:08561212-9747-4502-8c95-f6a20ff42f69","A validation of a parametric compliance matrix for circularly curved leaf flexures and its application in parallel mechanisms","Miltenburg, Hero (TU Delft Mechanical, Maritime and Materials Engineering)","Herder, J.L. (mentor); Yasir, A. (mentor); Farhadi Machekposhti, D. (graduation committee); Yasir, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This paper presents a validation of a parametric compliance matrix of a circularly curved leaf flexure (CCLF), which has been obtained using the direct method. This is done for three case studies. In case study one, the compliance matrix of a single flexure is evaluated. The results are compared with the results from a finite element analysis over a range of geometrical parameters. This maps how the geometry of the flexure affects the accuracy of the compliance matrix. It is shown that an increase of both the sweep angle and the height of the flexure show a decrease in the accuracy. Additionally a test setup was built to measure the stiffness of a single flexure to validate the compliance matrix. Furthermore, in case study two and three, the compliance matrices of mechanisms containing multiple flexures in series and parallel are derived with the compliance matrix method, using adjoint transformation matrices. The results are validated by comparison with FEA and measurements from a test setup. A parallel combination of flexures show a decrease in error, when compared to a single flexure.","Compliant mechanism; Compliance matrix; Parallel Mechanism; Curved flexures","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:3ff387d7-1fd0-4bae-8465-308e3dbc87fe","http://resolver.tudelft.nl/uuid:3ff387d7-1fd0-4bae-8465-308e3dbc87fe","Redesign fEATback - to sooner reach adolescents with eating problems","Wijngaard, Marieke (TU Delft Industrial Design Engineering)","Visch, V.T. (mentor); Paus-Buzink, S.N. (graduation committee); Dingemans, A.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Featback is an online self-help program for people with eating problems. Someone has an eating disorder (ED) when their whole life revolves around food and body image (Saha et al., 2022). Featback is developed by GGZ Rivierduinen Eetstoornissen Ursula. It has proven to be effective in reducing ED symptoms (Aardoom et al., 2016a; Rohrbach et al., 2022). For eight weeks, users have to answer four questions per week. Based on these questions, they receive one of 1250 handwritten personal messages. Even though Featback is effective, not many people use it.
Project goal: Make Featback appeal to more adolescents who are struggling with a developing eating problem or eating disorder.
The target group is adolescents, as most people develop their eating disorder as a teen. It takes years before people seek help (de la Rie et al., 2008). However, the sooner someone gets help, the better the chance of recovery (Zipfel & et al., 2015). So, more adolescents must find Featback at the beginning of their eating problems.
Research questions are created to achieve the project goal. These are answered by using contextmapping and literature research.
People do not recognise an ED because of stigmas, denial and poor mental health literacy. Many believe only thin, young, white girls have EDs (Romano & Lipson, 2019). People with an ED do not recognise themselves in this image. Not even when they are extremely underweight. Additionally, people of all sizes, genders and ages can suffer from an ED.
Because it is found that people do not recognise an ED and thus are not looking for (online)help, the design must improve mental health literacy.
The design goal is: Create a website that helps adolescents who are developing an eating disorder improve their mental health literacy regarding eating disorders, to increase self-awareness, so that they will seek help sooner.
The redesign
In phase 1: Mental health literacy, users are educated on eating problems. They get presented with stories of situations related to eating problems. They are asked to judge these stories; his creates distance between the user and the story and creates an interactive experience.
In Phase 2: Recognition, users can do a self-test to create recognition for their eating problems. The self-test results guide them to Phase 3: Help.
In phase 3, users can make use of the online help. They can directly start with week one of the eightweek program without creating an account. In this way, users can experience the interaction without committing, lowering the threshold to use Featback.
The redesign is evaluated with ED patients and experts.
Conclusion
The redesign helps young people understand eating problem symptoms better and stimulates them to seek help. People with an ED feel seen by the website and can reflect on their own behaviour using the stories. The redesign must use other platforms like TikTok, Instagram, and Proud2Bme to attract people to the website. Finding help is hard for people with EDs; they need encouragement to do so. They often do not believe they deserve help. A safe space like fEATback can lower this threshold.","Eating disorders; Eating problems; e-Health; Design; Contextmapping; Teenagers; Adolescents; Storytelling; Anorexia Nervosa; Bulimia Nervosa; Binge Eating Disorder","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:c9e6001f-6477-4c2a-bbce-150330792776","http://resolver.tudelft.nl/uuid:c9e6001f-6477-4c2a-bbce-150330792776","Thermal Actuation of Phase Change Tin Pump","RAMACHANDRAN, NAAGARAJAN (TU Delft Mechanical, Maritime and Materials Engineering)","Kumar, Siddhant (graduation committee); Cutz, L. (graduation committee); Abrahami, S.T. (graduation committee); de Rapper, Michiel (mentor); Delft University of Technology (degree granting institution)","2023","Pressurized liquid Tin finds application in the generation of Extreme Ultra-Violet light for semiconductor lithography. In order to improve the throughput of the lithography systems, tin must be pressurized to higher levels, and in turn, new pressurization methods are needed.
A phase change tin pump is an innovative system that pressurizes and pumps liquid Tin by harnessing the expansion and contraction during phase changes, without the need for any moving parts. The pump needs to pressurize liquid tin up to 2000 bars, with a pumping capacity of 4 ml/hr. Since this system relies heavily on control over the temperatures of tin, this study is set up to address the thermal constraints in the system by investigating three aspects of temperature distribution in the system.
Firstly, the heaters in the pump are placed at discrete locations, but the working volume is continuous. Thus, it is challenging to define a temperature control function that can facilitate uniform melting and continuous flow of tin. The relation between rate of heat input to the pump and the rate of heat transfer in tin is estimated using an analytical model. From the analytical model, it is found that heating rates of the order of 0.1 K/s are required in order to melt tin in a reasonably uniform fashion over a zone length of 5 mm.
Secondly, the number of heaters are limited, and it is hard to achieve precise control over the temperature of tin at any given location. In order to establish a good basic control, the free design parameters are optimized so that a steady state gradient of 50 K is achieved between solid (200°C) and liquid (250°C) tin in the working volume. This is done by evaluating the thermal profile of the system for different combinations of the design variables, using Finite Element Analysis. The two objectives of this optimization problem (maximum temperature gain and minimum crosstalk) are seen to have contrasting requirements of the design variables. An optimal combination of the variables is found such that a gradient of 50 K is possible, but with a little trade-off on both the objectives.
Thirdly, a direct measurement of temperature of tin inside the pump is not feasible, and tin temperatures are estimated analytically. The accuracy of estimation is impacted by changes in local temperatures due to the non-linear properties of tin like absorption/release of latent heat, pressure-dependent melting point. The effect of non-linear tin properties on local temperature distribution is studied by setting up a finite difference model. It is seen that the absorption of latent heat during melting of tin results in a temperature that is 12 K lower than what would have been without the effect of latent heat.","lithography; extreme ultra violet light; semiconductor lithography; pressurized liquid tin; phase change tin pump; novel pressurization method; innovative pressurization method; non-mechanical tin pump; non-mechanical liquid tin pump","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:75433ac1-6261-4848-aebf-21f0c5b78215","http://resolver.tudelft.nl/uuid:75433ac1-6261-4848-aebf-21f0c5b78215","Designing a centralised model to value balance between aircraft uptime and downtime from an integral airline perspective: a case study at KLM E&M","Hooijschuur, Stijn (TU Delft Mechanical, Maritime and Materials Engineering)","Beelaerts van Blokland, W.W.A. (mentor); Negenborn, R.R. (graduation committee); Napoleone, A. (graduation committee); Crombach, P.H.L. (mentor); Delft University of Technology (degree granting institution)","2023","This research aim was to design a centralised model that redefines definitions, standardises maintenance by categorisation, and reduces waste by introducing new slot lengths, leading to an equilibrium between flights and maintenance. This equilibrium enhances the airline’s capacity to manage maintenance efficiently and ensures greater uptime. The model addresses the important aspects of airline planning, including maintenance, flight and operation planning. Lean Six Sigma theories serve as a framework to combine the different plannings. The key importance is that the model considers all the stakeholders instead of only one so that the equilibrium between excessive flying and excessive maintenance can be established. In striking such a balance, uptime is assumed to increase.","Airline; Maintenance Scheduling; Maintenance, Repair and Overhaul; flight planning; Lean Six Sigma","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:493e08bb-d4d6-4a4e-9b34-89978d93383d","http://resolver.tudelft.nl/uuid:493e08bb-d4d6-4a4e-9b34-89978d93383d","Exploratory modelling of trust within intra-organizational supply chains: Case study of a Dutch Military Logistics Centre","Poeran, Karan (TU Delft Technology, Policy and Management)","Comes, M. (mentor); Auping, Willem L. (graduation committee); Lont, Y.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","The ongoing war between Russia and Ukraine has shown the importance of a well-organized military logistic system. The Dutch Ministry of Defence has concluded that their military logistic system is not used most efficiently. The need to build greater confidence in the ability of a defence organization as a whole to meet and sustain logistic support for future tasks has been acknowledged in the past. One such driver to build greater confidence is that of ‘trust’
Previous research on trust building between supply chain partners has been done manifold. However, the Dutch Ministry of Defence is a unique organization where the roles of distributor and client are within the same organization. Thus we are dealing with an intra-organizational supply chain, rather than a common inter-organizational one. Additionally, as a military organization the main priority of the supply chain is the responsiveness of the supply chain, rather than the efficiency. The uniqueness of the organization is the reason that additional research is needed on the role of trust within the supply chains with these special characteristics.
Multiple variables and their underlying relations, which create complexity and uncertainty, need to be addressed to implement policies that stimulate tryst within the organization. An System Dynamics (SD) Modelling approach will be used to access the dynamic complexity and uncertainty. The Exploratory Modelling Analysis (EMA) workbench enables the use and development of SD models, characterized by dynamic complexity, and deep uncertainty.
The results of the several analysis have given plentiful insights to consider regarding the future of trust dynamics between military supply chain partners. The initial values in a system such as this one have a huge impact on the progress of the model runs. Since the system consists of two major reinforcing feedback loops, Thus the system either strengthens or weakens itself, depending on the initial state of the model. Thus it is important to get the system to such a positive state, since it is likely to remain in a positive state.
As for the policy levers present in this system, the correction capacity lever seems the policy lever to have the most impact on the model outcomes. The correction capacity is the speed of which the incorrect orders get corrected. The results of the analyses conclude that regarding the state of trust in the model, it is crucial to keep this factor as efficient as possible. Since too low performance regarding correcting orders results in such delays that the trust level in the system completely disappears and does not come back within the time frame of the model run.
To summarize, I applied SD modelling and scenario discovery to explore the trust dynamics between a distributor and their client in a military intra-organizational supply chain context. Hereby I proved the importance of the initial state of the system, which due to its structure of reinforcing feedback loops is a big factor for its outcome. Additionally, it shows that the policy lever regarding performance by the distributor has the most impact on the trust level in the system. This insight tells us that performance is the biggest levers to adjust by policymakers to improve trust dynamics within their organization. I recommend policymakers to formulate strategies that give this insight to employees functioning as the distributor as well as the client. The interdependencies between one another should be emphasized. This should give the employees more incentive to improve their performance and thereby build trust between their collaboration partners.
The project involved in-depth preliminary research that began with a comprehensive analysis of geography, distribution, competition, market share, culture, taste preference and trends. This analysis revealed that northern Germany, particularly the cities of Hamburg and Berlin, offered significant potential for Salmari due to the striking similarities in culture, atmosphere, audience and product affinity between the two cities.
The focus of the project was explicitly on Hamburg and Berlin, with the primary objective being to develop a launch strategy that would enable Salmari to launch successfully in these German cities, with a particular focus on a new, chosen target audience.
The analysis is followed by creating a market segmentation and interviews with the German target audience. This led to identifying crucial ‘touchpoints’ that would significantly impact Salmari’s marketing efforts. Based on these selected ‘touchpoints’, a detailed launch strategy was developed. The strategy began with creating a new ‘buyer persona,’ the ‘night badger,’ and included a roadmap with three phases: visibility, expansion and commitment. Each phase was supported by a comprehensive launch campaign focusing on specific communication platforms and options between Salmari and its new target audience.
A vital aspect of the strategy was building brand equity for Salmari by positioning it as a drink that promotes social interactions and unique experiences. The brand is presented as inspiring and in line with the target audience’s values.
Recommendations for further action included are included in the report, such as
Additional validation with the target group in Berlin, maintaining close contact with the target group and monitoring changes in the market.
Finally, the effectiveness of the launch campaign was tested using the ‘communication optimisation model.’ With validation based on criteria such as desirability, feasibility and viability, the final design can be presented. This final design is the starting point for Salmari to successfully enter the German market and ultimately achieve its long-term goals.
The development of this method began with an analysis of the clinical context, including the characteristics of the abdominal wall defect, the adjacent umbilical cord and vessels, and the fetoscopic surgical setup, which provided a clear design direction. After generating various concept solutions, the key concept — a silicone ring with membrane — was selected using the Harris Profile methodology. This concept was designed for insertion into the fetal abdominal cavity, with the membrane effectively covering the opening of the defect.
Eight configurations of the silicone ring with a membrane were then prototyped through injection molding of liquid silicone rubber in a 3D-printed mold. The rings varied in shape (round or rusk), diam- eter (20 mm and 30 mm), and stiffness (shore hardness A25 and A40). Additionally, a validation model simulating a 24-week-old fetus with CG was developed with input from medical experts.
The validation experiments demonstrated that the silicone ring with a membrane securely remained in place, effectively closing the abdominal wall defect under intra-abdominal pressure conditions ranging from 0 to 40 mmHg. These experiments, conducted using the validation model, simulated conditions during and immediately after fetal intervention in a 24-week-old fetus with CG following intestinal repositioning. These experiments provided proof of principle for the silicone ring with membrane as a novel method that enables the swift closure of the abdominal wall defect in CG during a fetoscopic repair procedure. Notably, round-shaped rings were favored, and larger diameters allowed for coverage of larger defects. However, it’s noteworthy that the 20 mm round ring effectively covered an oval-shaped defect measuring 13.5 × 12.7 mm, aligning with the reported mean defect size in CG. Furthermore, the experiments demonstrated the feasibility of introducing the rings through a 12 Fr (20 mm rings) or 14 Fr (30 mm rings) surgical port, aligning with the preferred surgical setup.
Future research is required to clinically investigate the defect diameter in CG and optimize the silicone ring with membrane’s design. Additionally, enhancing the validation model to serve dual purposes as both a validation and training model will facilitate user tests. Moreover, developing an instrument for introducing the ring into the uterus and refining the surgical technique for precise ring positioning will be essential steps toward the clinical application of this innovative approach.","Gastroschisis; Fetoscopy; Fetal surgery; Minimally invasive surgery","en","master thesis","","","","","","","","2025-10-03","","","","Biomedical Engineering","",""
"uuid:d3aff868-a5bd-4e92-9d32-3f68af74df93","http://resolver.tudelft.nl/uuid:d3aff868-a5bd-4e92-9d32-3f68af74df93","Design of a Radially Segmented Halbach Multipole Magnet","Buta, Vlad (TU Delft Aerospace Engineering)","Uriol Balbin, I. (mentor); Delft University of Technology (degree granting institution)","2023","As high pointing accuracy spacecraft are being subjected to more stringent requirements on their micro-vibration environment, the reduction and mitigation of these disturbances has become of great importance. The reaction wheel assembly significantly impacts this environment, and as such improvements in bearing technologies have the greatest potential for performance gains. A current line of investigation are magnetic bearings, which generate a soft suspension mechanism thus damping vibrations and eliminating bearing wear. This study aims to explore the concept of Multipole Magnetic Bearings, focusing on radially segmented Halbach design, which introduce the ability of controlling the external magnetic field of the bearing to limit its effect on adjacent equipment.","magnetic bearing; multipole magnet; Halbach array","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:7e3795f9-d649-40c4-aec3-476ddbb882f8","http://resolver.tudelft.nl/uuid:7e3795f9-d649-40c4-aec3-476ddbb882f8","Study on the Technical Implementation of Carbon Capture Onboard Ships","Segura Casals, Roger (TU Delft Mechanical, Maritime and Materials Engineering)","van Biert, L. (mentor); Peet, W.A. (mentor); De Lazzari, Elena (mentor); de Groot, Sytske (mentor); Delft University of Technology (degree granting institution)","2023","The maritime sector CO2 emissions are one of the contributors to the increase of the concentration of this gas in the Earth's atmosphere. One of the proposed solutions for reducing these emissions is the implementation of carbon capture technologies onboard vessels. Carbon capture technologies work on the principle of apprehending the carbon dioxide resultant of any chemical process, avoiding the need to emit it into the atmosphere.
The goal of this study is to obtain a general solution to the technical challenge of implementing carbon capture technologies onboard ships. To do so, a general model is developed for the implementation of carbon capture onboard vessels regardless of their characteristics or operational profile. To test the model, two case studies are performed based on real vessels from the company Allseas where, using the developed model, a capture system design is proposed for each ship. With the input of one of these case studies and the developed model, the effect that the characteristics of the vessel's engines and the characteristics of the capture system have on the capture process is analysed.
The results from the case studies show that the proposed designs can reduce the CO2 emissions by 28% for the first case study and by 21% for the second case study. This reduction is enough to comply with the short-term objectives of the IMO in terms of CO2 emissions reduction.
The results of the characteristics analysis reveal that LNG is the preferred fuel to be used in combination with carbon capture. Small carbon capture systems have a higher performance in vessels with 2-stroke engines whereas larger carbon capture systems have a higher performance with 4-stroke engines. For the post-capture refrigeration cycles, a similar effect is observed. Small capture systems have a higher performance with absorption refrigeration cycles and large capture systems have a higher performance with vapour-compression refrigeration cycles. The reason for these results is the fact that the performance of the capture process mainly depends on the heat requirement of the capture system.
Thanks to the results of the analysis, it is found that, by combining the use of LNG and the implementation of carbon capture, a more significant reduction of the CO2 emissions for each case study can be achieved. This reduction is equal to 53% for the first case study and 46% for the second one.
The first contribution of this master thesis is a novel method for improving the self-localization in barns by implementing a line detection algorithm which is called Line Adaptive Monte Carlo Localization (LAMCL). The novelty is that only line segments are used to detect a localization error instead of corners between different line segments. It also retains the robot’s current pose to filter out fault-detected localization errors. In addition, the new approach is applied in a dynamic environment. The proposed method combines the Split-and-Merge line detection algorithm with AMCL. The detected line segments are compared with the walls in the environment to identify localization errors. When an error is detected, the robot’s pose is adjusted by placing a section of the particles at the location of the error. In this way, the robot can find its true location again.
The second contribution is a new dataset. This new dataset, called DataCow, consists of four recorded routes in a barn with GT on a handful of spots to evaluate the self-localization. DataCow includes the pseudo-2D LiDAR scans and the odometry of a robot driving through a barn. This dataset is used to evaluate the new self-localization method LAMCL. Through the experiments, it has been discovered that this new method improves the system’s recovery ability, but the accuracy and precision are compromised.","Self-localization; AMCL; Line detection; Mobile Robot; Agriculture Robotics","en","master thesis","","","","","","","","2025-10-02","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:d5a57908-2ced-4a8e-8112-ee4d5dd4ccb5","http://resolver.tudelft.nl/uuid:d5a57908-2ced-4a8e-8112-ee4d5dd4ccb5","Towards the utilisation of green roofs with the pursuit of sustainable urban development: A full life cycle cost benefit analysis","van Elk, Vitali (TU Delft Civil Engineering & Geosciences)","Jonkers, H.M. (mentor); Stache, E. (mentor); Ottele, M. (mentor); Schraven, D.F.J. (mentor); Delft University of Technology (degree granting institution)","2023","Green roofs can play an important role in reducing problems in urban areas by being flexible, multifunctional and adaptable. These features of nature have proven effective in combating climate change and contribute to human well-being. Previous research has shown that green roofs can sometimes be cost-effective, but the benefits included in cost-benefit analyses are fragmented and an absence of a learning curve in the literature regarding green roofs is discernible. This research focuses on how to determine the economic value of the benefits of green roofs and what methodologies are used to do so. There is also a need to bridge the gap between research on costs and benefits and research on external costs of production materials. This research contributes to bridging this gap by creating a full life-cycle cost-benefit analysis of a green roof by mapping and analysing current methodologies. This research contributes to sustainable development and in it serves as a starting point to determine the utilisation of green roofs with the pursuit of sustainable urban development.","Green Roofs; Cost Benefit Analysis; Literature review; Case study; Ecosystem services","en","master thesis","","","","","","","","","","","","Civil Engineering | Building Engineering | Building Physics and Technology","",""
"uuid:ef26f5de-461b-4477-b4a4-47f32d8a33ba","http://resolver.tudelft.nl/uuid:ef26f5de-461b-4477-b4a4-47f32d8a33ba","Modelling of wet compression in compression-resorption heat pumps","Brancaccio, Marina (TU Delft Mechanical, Maritime and Materials Engineering)","Hooman, K. (mentor); Delft University of Technology (degree granting institution)","2023","The ever-increasing power demand, the high scarcity of fossil fuel resources and the growing environmental pollution have pushed the development on technologies related to sustainable energy systems. Maximizing the energetic performance of industrial processes is a key aspect in this quest for sustainable
energy management. A large share of waste heat below 100°C is rejected into the environment during industrial processes. This low temperature industrial waste heat can be a relevant heat source, reducing the consumption of fossil fuel and the emission of CO2 into the atmosphere. While these lower temperatures may not be suitable for direct industrial use and their capture may not be economically justified, the implementation of a heat pump allows for the elevation of these stream temperatures to levels that can support a wide range of industrial processes.
Heat pumps can be utilized to upgrade waste heat streams from low to higher temperature levels
which can then be used as energy supply for other industrial processes. State-of-the-art heat pumps are still restricted to temperature levels below 120°C due to long payback periods and technical limitations regarding the compressor. The application of heat pumps in industry could become more widespread if the technology would allow for a higher temperature output. Compression-resorption heat pumps (CRHPs) are a promising option to upgrade waste heat streams since they combine the advantages of absorption heat pumps (working with a mixture having non-isothermal phase transitions and low environmental impact) and vapor-compression heat pumps. CRHPs can operate both under dry and wet compression conditions. One of the main issues in reaching high temperatures with dry compression is the degradation of the oil, which leads to a reduction of the performance. Employing wet compression, the liquid can function as a lubricant avoiding both oil contamination and irreversibility caused by the superheating of the vapor. As pointed out by several researchers, a crucial point for the feasibility of high temperature CRHPs is a good value of isentropic efficiency for the compressor. As such, since a technological solution is currently not commercially available, the diffusion of CRHPs in the industrial processes is inhibited.
This research thesis develops a numerical model of the compressor in which the liquid phase and
the vapor phase are at non-equilibrium conditions. The model incorporates heat transfer between
the phases, yielding new insights and results. The validated model serves as a tool for analyzing
optimal operating conditions to maximize compressor efficiency. A case study within the dairy industry was considered. The results indicate a 60% reduction in operating costs and a saving of 104 tonnes of emissions if a single heat pump substitutes a traditional boiler. The substitution of fossil fuel fired boilers with heat pumps becomes increasingly necessary in light of the EU Renewable Energy Directive, ratified in 2023, which targets a 45% renewable energy share by 2030. The development of such next generation heat pumps could be a major breakthrough for optimizing energy management in industrial processes.","Heat pumps; Wet compression; oil-free compressor; twin screw compressor; ammonia-water; heterogeneous; modelling; double-phase flow; High temperature Heat Pumps; waste heat; Waste heat recovery; renewable energy","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:98cffd61-49ef-44ee-a8af-573bcf17e189","http://resolver.tudelft.nl/uuid:98cffd61-49ef-44ee-a8af-573bcf17e189","Systematic approach to find a suitable floating PV-structure on a given location: Comparing the environmental loads on different types of floating solar structures","Wemekamp, Nebo (TU Delft Mechanical, Maritime and Materials Engineering)","Colomés, Oriol (mentor); Agarwal, S. (graduation committee); Grammatikopoulos, A. (graduation committee); Klap, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands has agreed, by signing the Paris Agreement, to be climate-neural by 2050. To reach this goal, many large-scale renewable energy projects need to be developed in the coming years using for example wind, geothermal or solar energy. The problem with these projects is that they require large amounts of land and are especially needed in densely populated areas where the energy demand is high. For solar energy, a solution is to move it to bodies of water, creating a floating photovoltaic (FPV) system. Besides not requiring valuable land, FPV offers other benefits; The water has a cooling effect on the panels, increasing the efficiency of the solar panels. There is less water evaporation, which is desired in for example drink water basins. FPV systems have a high potential for system integration with nearshore and offshore wind turbines, optimizing space utilization and making cable pooling possible.
Multiple companies have already developed an FPV system, each designed for specific water categories and having its own (dis-)advantages. This thesis analyses different types of FPV systems and offers a tool for project developers to help decide what kind of system to select in a preliminary phase of project development.
As input to this decision tool, the environmental loads acting on FPV systems are calculated. The forces due to the wind, current and waves are found for three different types of systems. These systems are Floating Solar, Zimfloat and OceanSun. The forces are first found on a small section of the structures and then scaled up to a size of one hectare including sheltering factors. The results show that the membrane-type structure of OceanSun has significantly lower environmental forces than the Floating Solar and Zimfloat systems. These two are both built up of high-density polyethylene floaters and a metal frame holding the PV panels. The wind force is the highest on the Floating solar structure due to the larger tilt angle. The wave forces are found to be the highest for the Zimfloat structure which can be explained by the floaters. These are square blocks instead of circular tubes, making them less aerodynamic and having a larger volume which increases the inertia forces.
The decision tool uses a multi-criteria analysis that takes twelve important aspects of an FPV system
into account including the environmental loads. Examples of these criteria are energy production, costs, energy density and also less quantifiable criteria such as ecological impact, safety and the technical readiness level of the systems. The tool compares six different types of systems and can easily be adjusted to a specific location by changing the weight factors. With these weight factors, the tool provides a clear overview of the most important aspects of an FPV system and helps to decide in an early phase of project development whether a system is suitable for the desired location or not.
The decision tool is used for the case study ’Haringvliet’. Haringvliet is a former estuary in the Netherlands and is chosen as a potential location for an FPV park. The calculations mentioned above are performed using the site conditions found at Haringvliet. The conclusion of the decision tool is that the structure of Floating Solar is the most suitable for the Haringvliet. This is partly because Haringvliet is an ecologically protected area and the floating solar structure is very open, letting sunlight pass through the system into the water.
This research focuses on (the problem of) researching what issues play a role regarding ownership division of distribution networks, using the Dutch heating sector as a case study. An analysis of the decision-making process from a multi-actor perspective, including the criteria being considered relevant regarding this process, are used as means for researching these issues present between (categories of) stakeholders. Issues are in this research defined as conflicts between (categories of) stakeholders related to ownership division of distribution networks in the Dutch heating sector.
The results present an overview of seven issues playing a role related to ownership division of distribution networks. These issues seem somehow to be related to the more nationalization-driven approach regarding the assurance of publuc values in network sectors.
KnitCrete, which uses knitted technical textiles as stay-in-place moulds for concrete structures, has proven to be a solution for building doubly curved structures, eliminating the need for time-consuming, costly, and wasteful moulds. However, due to its inherent high flexibility and the challenges of predicting and controlling the geometry during the casting process, the technology relies on coating procedures using high-strength cement paste coating to stiffen the geometry before concrete can be poured.
This research addresses both issues and proposes a design approach, which models the deformation behaviour of the uncoated knitted formwork under concrete pressure to determine the final geometry of flexibly formed concrete structures, hence gaining better understanding on the deformation behaviour of knitted textile formworks and bypassing the stiffening steps during fabrication.
Developing a method to predict the final geometry of flexibly formed concrete structures involves various research disciplines, including material science, and structural mechanics. The research approach is divided into three parts. The first part investigates the stress-strain relationship of various textiles with different knitting patterns, alongside the rheological and mechanical strength properties of different cementitious mixtures. The second stage focuses on developing (semi-)analytical models to predict the deflection behaviour of membranes subjected to varying boundaries, loads, and material properties. Finally, the accuracy of the models are validated by the construction of multiple prototypes.
In conclusion, this thesis introduces a fabrication system that exploits the deflection behaviour of flexible formworks to create funicular shell structures and lays the foundation for implementing (semi-)analytical approaches to model these deformations.
Besides the heated debate on the technical and financial challenges related to deployment constraints and cost increases, the geopolitical risk of energy crises impacting the economic output of industries in energy-importing countries is neglected in the decision-making considerations, overlooking the critical implications of energy diversification on long-term planning.
The aim of this study is thus to investigate the competition between hydrogen and natural gas for high-temperature heat generation in steel manufacturing while considering the potential impact of energy shocks on fuel expenditures. Addressing these dynamics would have significant consequences for the interplay between policymaking and industrial energy transition as it uncovers the relevance of sustainable energy resiliency to energy crises induced by geopolitical disruptions. \\
To achieve the objectives of the project, an Integrated Assessment Model-based study has been conducted using the WITCH model, which required several improvements in the framework.
The steel industry module was conceptualized and developed to describe the technology sets, the financial and technical constraints, the future projections of the steel market and the energy supply structure. Moreover, even though a prior version of the hydrogen supply was already in the model, the equations of the related module were modified to account for the consumption of hydrogen as a fuel in steel mills and to ensure compatibility with the expansion to the industrial sector. To allow for accurate integration of energy shocks in the model algorithm, the existing dynamics that describe the trajectories of fuel costs were then expanded and used to account for different shocks' intensities, time periods and the degree of energy dependency of the affected region. Finally, a scenario architecture suitable to capture the main variables of the analysis was designed to prepare a sensitivity analysis focused on the magnitude of the shock, the year of occurrence and the level of environmental commitment implemented.
The outcome of the simulations shows that most of the production of steel will be located in energy-dependent countries, where energy shocks impact fuel expenditures on a national scale. The financial damages perceived by steelmakers are exacerbated by large magnitudes of the increase in price and by early shocks, which would strike the industry before the development of alternative sourcing of fuels. The regulatory push to support sustainable technologies has the potential to effectively dampen the impact of shocks and decarbonize the energy mix in steelmaking by accelerating investment cycles and promoting the deployment of low-carbon hydrogen. Further explorations of the correlation between preventive investments in hydrogen and perceived disruptions in industrial production have proven how large-scale investments for alternative and secure supply of hydrogen yield long-lasting resiliency to energy crises, while lagging intervention exposes the industry to the risk of wide costs of inaction.
The results of the research have practical significance for both industrial and political decision-making. Risk-averse managers of steelmaking facilities might decide to allocate financial resources for early conversion from natural gas to hydrogen to guard against the possibility of energy shock backlash. Policymakers can produce long-term plans to stimulate the transition to green hydrogen with tailored carbon pricing, which would result in an expense transfer from the potential costs of the backlash of energy shock to the proactive development of secure and resilient hydrogen production. Besides the contribution to national environmental goals, this transformation would yield stabilization and permanent immunization of the industrial energy supply against the reoccurrence of shocks.
This can safeguard not only the manufacturing sector but also the national economy overall, as the increased expenditures endured by steelmakers would translate into rising costs for infrastructural development in the country.","Hydrogen; Steelmaking; Energy shocks; Climate modeling","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:67b0a669-ca44-4e35-8911-9ec7e609fbd1","http://resolver.tudelft.nl/uuid:67b0a669-ca44-4e35-8911-9ec7e609fbd1","Airport Liquid Hydrogen Storage Facilities: Techno-Economic Assesment","Popescu Cabo, Alberto Cornel (TU Delft Electrical Engineering, Mathematics and Computer Science)","Gangoli Rao, A. (mentor); van Put, Marloes (graduation committee); Delft University of Technology (degree granting institution)","2023","","Liquid hydrogen; Sustainability; Aviation; Fuel storage; Techno-economic analysis","en","master thesis","","","","","","","","2025-09-16","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:e33cf480-bc24-4f1f-9623-645f09760f11","http://resolver.tudelft.nl/uuid:e33cf480-bc24-4f1f-9623-645f09760f11","Deep Learning-Based Algorithms for Stochastic Control of Jump Diffusion in Finance","Voskamp, Rodney (TU Delft Electrical Engineering, Mathematics and Computer Science)","Vuik, Cornelis (mentor); Delft University of Technology (degree granting institution)","2023","PDEs, like HJB-equations, can be solved using grid-based methods. These methods are inefficient for solving high-dimensional HJB-equation, because they suffer from the Curse of Dimensionality. Neural networks may overcome this problem. In this research, we solve high dimensional Partial Integro Differential Equations (PIDE) using neural networks. PIDE are PDEs that are associated with a jump-diffusion process. In this work, we only use finite activity jump processes. This means that the jump has a compensation component that to make it a martingale. We show two methods to solve PIDEs: a forward method (H-dBSDE, dBSDE-Jump) and a backward method (DBDP-MC). Both methodologies use neural networks to regress the solution and its derivative. The DBDP-MC is extended to jumps by calculating the compensation of the jumps with an offline Monte Carlo simulation. We tested this methodology on Bermudan basket options with 50 dimensions. The method was able to price them correctly. The dBSDE was extended by adding a new set of neural networks. These networks are learned with a different extra loss function. We argue that we can learn the two losses in a hierarchical way, leading to the Hierarchical dBSDE (H-dBSDE) method. Other work was done by minimizing the two loss functions simultaneously by using the sum of them. Easier problems like pricing European option can be solved correctly by the dBSDE-Jump method. However, we show that this can lead to wrong terminal fits, which makes it difficult to solve complex problems efficiently.","neural networks; DBDP; dBSDE; Levy process","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:8ade86cf-f33a-4534-8156-c04ffaecf2da","http://resolver.tudelft.nl/uuid:8ade86cf-f33a-4534-8156-c04ffaecf2da","An agent-based modelling and simulation framework to support strategic cargo airlift evaluation","Kalliatakis, Nikolaos (TU Delft Aerospace Engineering)","Bombelli, A. (mentor); Dietl, Tobias (graduation committee); Prakasha, Prajwal Shiva (mentor); Delft University of Technology (degree granting institution)","2023","When there is a need to move cargo across the world in the fastest possible manner, airlift is the prime solution. Due to the potential extreme requirements of airlift, aircraft have to be capable of performing in a myriad of operational environments. To support future aircraft design loops, this work proposes a framework which couples aircraft design and operational effectiveness in an agent-based simulation, allowing a more direct evaluation of design choices. Aircraft are modelled with inputs akin to typical design tool outputs, and airlift operational objectives and events are parameterized to allow for user customization and mission tailoring. To deal with stochastic and unexpected events that occur within airlifts, such as aircraft servicing, cargo demand reformulation and airbase access restriction, the aircraft and cargo are modelled as agents and managed by a dispatcher. Aircraft bid for cargo with flights which are configured by the dispatcher, allowing cargo to choose its flight path according to the airlift objective. Through analyzing a theoretical disaster relief mission, the impact of disruptive events on airlift time, cost and cargo throughput is shown to be significant, motivating their inclusion in future analysis. An exploration of aircraft design and airlift objectives is also analyzed, which highlighted the variance in airlift performance due to changes in aircraft payload-range and operational logics. The results demonstrate the framework's ability to capture the varying complexities of the airlift system, exemplifying its utility in future airlift and aircraft design optimization and resilience testing.","Airlift model; Disaster Relief; Evaluation framework; Aircraft design","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:46a02808-044c-4f3c-a7e7-fbee172891e9","http://resolver.tudelft.nl/uuid:46a02808-044c-4f3c-a7e7-fbee172891e9","Designing dynamic water storage systems to mitigate drought stress in urban environments: Investigating methods to design a digital representation of the Bajeskwartier water system","de Koe, Luka (TU Delft Architecture and the Built Environment)","Belmondo Bianchi Di Lavagna, Alessio (mentor); Wreyford, Jessica (graduation committee); Delft University of Technology (degree granting institution)","2023","As a result of ongoing climate change, urban environments are put under increasing pressure from extreme weather events. Insufficient research is being done into the modeling of urban drought resilience. Most urban drought resilience and water network optimization models are designed for city-scale demand with regional water supply networks and vegetation water demand models generally address agricultural systems. This research addresses this gap by developing a neighborhood-scale digital representation of the Bajeskwartier water system to assess drought resilience while incorporating the local vegetation water demand. Starting with a system thinking perspective, the interconnections of soil moisture processes in the local water systems provide the base of the model.
By incorporating scenario analyses on climate change projections and vegetation types, the water demand and drought sensitivity patterns become clear. Furthermore, the implementation of an optimization solver to assess a rainwater capture, storage, and irrigation system results in a robust water system. The system proves to have sufficient capacity to prevent drought stress in a 1-in-30-year drought.
This research not only contributes to enhancing urban drought resilience within the Bajeskwartier but also provides a valuable foundation for future studies in similar urban contexts. It emphasizes the importance of considering local vegetation water demand and climate change scenarios in urban water system modeling, highlighting the need for tailored approaches to address evolving climate-induced challenges in urban environments.","Drought resilience; Drought; climate adaptation; Rainwater harvesting; irrigation system; Water storage; Soil moisture; Climate Change; Climate Change Adaptation; Amsterdam; Bajeskwartier; Optimization Modeling","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:1cfb851f-c54c-40e2-a434-7365112156f1","http://resolver.tudelft.nl/uuid:1cfb851f-c54c-40e2-a434-7365112156f1","Predicting antibiotic resistance in patients with postoperative infections using machine learning based models","Engel, Friso (TU Delft Mechanical, Maritime and Materials Engineering)","Reinders, M.J.T. (mentor); Arbous, M.S. (mentor); van der Meijden, S.L. (mentor); Delft University of Technology (degree granting institution)","2023","Introduction
In the era of growing antimicrobial resistance, early detection and immediate treatment of antibiotic-resistant infections are crucial to ensuring successful outcomes in critically ill patients. The aim of this study is to apply machine learning (ML) to create classifiers that predict antibiotic resistance in postoperative infections caused by gram-negative pathogens, based on information retrievable from the Electronic Health Record. To determine if training prediction models on specific cultures improve the predictions’ performance, eight sub-datasets have been created that only included specific culture sources or cultures containing specific bacteria.
Methods
All surgical procedures in the LUMC between January 2015 and May 2023 after which gram-negative bacteria had been cultured within 30 days after surgery were included. Logistic regression, random forest and support vector machine models were developed, evaluated using area under the receiver operating characteristics (AUROC) metric and calibrated afterwards. For each model, the most important predictors were determined using SHAP values.
Results
In total 27 models were created for the dataset and eight sub-datasets. The dataset containing all positive postoperative cultures within 30 days of surgery consisted of 5777 procedures with a resistance rate of 27.4%. The AUROC for the models developed for the whole dataset ranged between 0.65-0.68 on an unseen test set. The AUROC on unseen data for models developed on specific culture sources ranged between 0.63-0.79 and for those trained on specific bacteria between 0.63-0.75. The included features that were deemed most important are the presence of a previous resistant culture, abdominal surgery, the presence of an indwelling device after surgery, and the patient’s sex, considering all (sub-)datasets.
Conclusions
This study shows that ML holds promise for predicting antimicrobial resistance. However, the current results are insufficient to support the implementation of these models in clinical practice to assist clinicians in choosing appropriate antibiotic therapy prior to receiving antibiogram results. With the current research, it cannot be proved with certainty that training models to particular postoperative infections enhances the predictions’ performance. To further investigate the potential clinical benefit of applying ML prediction models in the context of antibiotic resistance, further research is necessary on extracting more features, with increased quality, which are available at the time the culture is taken.","Antimicrobial; Resistance; Machine Learning (ML); Prediction; Postoperative infections","en","master thesis","","","","","","","","2025-10-01","","","","Technical Medicine","","52.1660025, 4.4773269"
"uuid:55b89c14-ae92-43a7-aeea-a99ba27de2fa","http://resolver.tudelft.nl/uuid:55b89c14-ae92-43a7-aeea-a99ba27de2fa","Modeling and Optimization of Earth-Moon Transfers","Ibañez Jimenez, Isabel (TU Delft Aerospace Engineering)","Noomen, R. (mentor); Spiridonova, S. (mentor); Gencturk Akay, Iklim (graduation committee); Schrama, Ernst (graduation committee); Keller, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis aims to calculate optimal trajectories from a user-defined Earth-bounded orbit to a user-defined Moon-bounded orbit using a bi-impulse direct transfer ultimately under the influence of a full dynamical model with perturbations, hence reflecting the actual physical environment.
Two tools are developed to achieve this goal. The first tool employs a global optimization algorithm, in particular a Particle Swarm Optimizer (PSO), to find an initial guess within a simplified dynamics model, exploring the user-defined search space. The second tool employs a gradient-based Sequential Linear Least SQuares Programming (SLLSQP) optimizer to refine the initial guess and include the relevant perturbations that act in real life. Additionally, the tools are supported by methods for evaluating the results, providing plotting and analysis tools to make the most out of the obtained solutions.
For the initial guess calculation, the dynamics model includes the point-mass gravity field of Earth and the Moon. The output provides the required ΔV for the transfer and the epochs at which each maneuver should be performed. The SLLSQP optimizer subsequently corrects the initial guess considering the user-specified perturbations, optimizing the time in the first orbit, the different components of both maneuvers, and the time of flight to reach the required orbit in an optimal way.
The capabilities of the tools are demonstrated through several test cases. The first test involves transferring from a circular low Earth orbit (LEO) to a circular near-polar low lunar orbit (LLO), resulting in a total ΔV of 4716.62 m/s. A second and a third test case involving transfers from a LEO or a geostationary transfer orbit (GTO) to an eccentric lunar orbit are also conducted, obtaining a ΔV of 3859.81 m/s when transferring from the LEO and of 1512.95 m/s when doing so from a GTO, corresponding to a decrease of around 60%. The solution obtained from the transfer from the GTO leads to a 4.5% improvement compared to preliminary results found in literature. The forth test comprises transfers from another circular LEO orbit to a high-altitude lunar polar orbit, requiring a ΔV of 3996.44 m/s, being 4.6% higher than the solution found in literature.
These test cases validate the functionality of the code and showcase its versatility in handling various scenarios. In conclusion, the developed tools provide efficient and robust solutions for optimizing direct transfers from Earth to the Moon under the influence of real-life perturbations.","Optimization; Earth-Moon transfer; Dynamics model; Perturbations; gradient-based algorithm; particle Swarm Optimizer; Ephemeris model","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:30551af1-c38d-4be1-98c1-694d952a974f","http://resolver.tudelft.nl/uuid:30551af1-c38d-4be1-98c1-694d952a974f","Sensitivity analysis and optimization of a small rocket engine pump","Issenmann, Gabriel (TU Delft Aerospace Engineering)","Cervone, A. (mentor); Delft University of Technology (degree granting institution)","2023","The increasing need for miniaturization of radial pumps has been expressed across a variety of fields including rocket propulsion, which has driven research into their optimization. The literature provides design recommendations aimed at mitigating the adverse effects associated with the low Reynolds regimes of these small-scale pumps. This study applies some of these adjustments to a small, high-speed turbopump equipped on the Navier rocket engine. A sensitivity analysis is performed on the outlet total pressure and efficiency of the pump in order to gauge the effectiveness of the design changes. It is found that the impeller outlet width has the most on influence on the pressure, while the efficiency is most sensitive to the pump’s inlet diameter. A regression on the sample points generated for this analysis is then performed, becoming the basis for a surrogate model used in a simple optimization routine of the pump impeller. An optimized version of the Navier pump is obtained, with an outlet pressure fulfilling the engine’s requirements, and an increased overall efficiency.","","en","master thesis","","","","","","","","2028-09-30","","","","Aerospace Engineering","",""
"uuid:8567e16f-eb8e-4c7c-b3a3-ee8bbd4b175f","http://resolver.tudelft.nl/uuid:8567e16f-eb8e-4c7c-b3a3-ee8bbd4b175f","A Framework for the Agency of Sketching","van der Wal, Annemiek (TU Delft Industrial Design Engineering)","Hoftijzer, J.W. (mentor); Haans, M.C. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis project aims to answer the following research question: “What was and is exactly the agency of design sketching with its affordances in the past, in the present and probably in the future?” To answer this question, the various roles of sketching in different design phases are studied, taking both a theoretical and practical perspective.
Following a general introduction to the themes, definitions and scope of the project, chapter 4 begins with a review of the literature to produce a cohesive theoretical foundation for the role of sketching in the design process. Existing literature in the field of design sketching often lacks a wider view on the human perception of pictorial representations in general. However, the perception of sketches by non-designers, such as clients, is increasingly important. Therefore, the rest of chapter 4 zooms out to provide a perspective beyond ‘design sketching’: the human psychology behind the perception of sketches and paintings. This leads to a better understanding of the interactive human role that is involved concerning the agency of design sketching.
Chapter 5 focuses on design sketching in practice. Firstly, it analyzes the development of design sketching from the twentieth century till now. Thereafter, to gain insights on design sketching in modern times, the results of interviews with five designers are presented. This offers crucial insights into the contemporary context of design sketching as well as knowledge of current approaches to upcoming trends
that are appearing in this field and might shape the agency of sketching.
The interviews provide two observations. Firstly, there appears to be a decline in the sketching skills of young designers entering the work field. Secondly, designers tend to increasingly pay attention to the affordances of drawing with regard to outwards communication, rather than a focus on internal affordances. This might lead to an unbalanced practice of design sketching, in which some core affordances with regards to the design process are lost.
Hence, the situation calls for increased recognition of the significance of sketching in design. Chapter 6 aims to explain the key aspects of sketching for designers. The essential theoretical affordances of sketching are captured in a framework that reveals the agency of design sketching.
The Framework for the Agency of Sketching should be applicable in practice, with the following goal: to let designers rediscover design sketching as an agency and unlock its benefits. Therefore, chapter 7 provides a vision on realizing this. So, while the primary focus of this thesis project revolves around the development of the theoretical framework, its possible application in practice is briefly explored, leading to the proposal of two concept directions.
Within this infrastructure, the home/apartment is viewed as a relationship from the urban condition. From this we tend to that the outline of the house/apartment, outline of the property, is the first engagement with the city. Within the borders the home is perceived as a private domain, away from the public. A space outside time, politics, and economy. Through this the home/apartment is a composition of functions with a possible range of different configuration that follows the logic of the grid.
Within this configuration of function its inhabitants can decorate the home/apartment as a form of representation of someone who lives inside the home/apartment. Which is a range of selection of objects that fits within function of the room. The décor of the home/ apartment is widely understood as a sort of memetic representation of its inhabitants, despite the obvious falsity of this. In other words, the home is a particular genre of space theoretically an infinite array of possibilities laid out, but this becomes the same through cultural appropriations.","Domestic; Comfort; pleasure; Terrorism; Home; Living comfort; normalisation; Standardization; Domesticity; thing in themselves; Objects","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","Explore Lab","51.937669, 4.479503"
"uuid:7d7c0f70-f500-44f8-905e-8fd96afae990","http://resolver.tudelft.nl/uuid:7d7c0f70-f500-44f8-905e-8fd96afae990","Spatial shift and urban resilience: A case study of Rotterdam","Bottema, Floris (TU Delft Architecture and the Built Environment; Wageningen University & Research)","Meijers, B.M. (mentor); Vleugel, J (mentor); Peters, Karin (graduation committee); Delft University of Technology (degree granting institution); Wageningen University & Research (degree granting institution)","2023","This research examines the multifaceted challenges posed by urbanization in the Netherlands, specifically focusing on the intricate relationships between modes of mobility and societal well-being. Central to this exploration is studying the modal split and understanding how shifts in this split can have profound implications for urban areas. The Dutch Ministry of Infrastructure and Water Management’s (Min IenW) Well-being Monitor is the foundation for this study, aiming to chart the interplay of living environment, safety, health, and accessibility. It illuminates the potential consequences of changing transportation choices (modal split) on broader environmental concerns such as the Urban Heat Island effect, noise disturbance, air quality, and climate resilience. While cars due to their ubiquity, cars play a central role in the research. However, the importance of Non-Motorized Transport (NMT) and Public Transport (PT) is also highlighted, given their significant roles in urban mobility and their potential to redefine the modal split. Using Rotterdam as a case study, the research delves into the spatial constraints of one of the Netherlands’ largest cities, emphasizing the need for effective urban planning that prioritizes sustainable transportation solutions and envisions future shifts in transportation preferences. The goal is to offer valuable insights that can influence urban strategies, ensuring sustainable and livable cities.","Spatial analysis; Rotterdam; Mobility; climate resilience; GIS","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","","51.9244201, 4.4777325"
"uuid:384059eb-7293-4075-822c-5165bb0a0170","http://resolver.tudelft.nl/uuid:384059eb-7293-4075-822c-5165bb0a0170","Active dendrites for continual learning in a time-to-first-spike spiking neural network architecture","pes, lorenzo (TU Delft Electrical Engineering, Mathematics and Computer Science)","Frenkel, C.P. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","2025-09-29","","","","Electrical Engineering","",""
"uuid:33700b42-9b40-4219-9052-29d27fb5865c","http://resolver.tudelft.nl/uuid:33700b42-9b40-4219-9052-29d27fb5865c","Hydrogen in the Dutch built environment: Exploring the transition from natural gas to hydrogen based heating in the Dutch built environment through Socio-Technical Scenarios","Verberne, Leon (TU Delft Technology, Policy and Management)","Hoppe, T. (mentor); Quist, J.N. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Dutch government published a Climate Accord in 2019 which stated that all houses in the Netherlands should be heated without CO2 emissions. The Dutch built environment is currently being heated with natural gas which forces actors within the heating system of the Dutch built environment to look for new technologies. At the same time, the increasing electricity demand results in grid congestion.
This thesis investigates the use of hydrogen for heating homes in the Netherlands and explores different future scenarios. The research question that is being answered in this thesis is “What is the development status of the hydrogen niche for heating homes in the Dutch-built environment and which socio-technical scenarios can be expected?’’. The theoretical frameworks, Multi-level Perspective, Strategic Niche Management, and Socio-technical scenarios were complementary used to analyse the context. With these theories, the interaction between technology and social elements have been analysed. Additionally, a qualitative study is executed by conducting 14 semi-structured interviews with experts from the field.
There are various hydrogen technologies developed for built environments. Some technologies are focussed on space heating like the hydrogen boilers in combination with a heat pump. While there are also technologies being developed which are primarily aimed at addressing the electrical net congestion challenge like fuel cells. These fuel cells also enable decentralized hydrogen production and storage. However, the feasibility is doubted among the interviewers due to limited scalability and safety concerns.
Currently, the top-of-mind barriers regarding the adoption of hydrogen in the Dutch built environment are mainly the uncertainty about the availability of green hydrogen, future prices, labour shortage, and user acceptance. The dominant barrier is the uncertainty about hydrogen availability. Due to still lacking availability of hydrogen companies are hesitant to invest resources in the development of hydrogen applications. The barrier labour shortage has not been found in previous literature, which makes this finding a novel contributions to academic research.
In this study 2 socio-technical scenarios regarding hydrogen in the Dutch built environment are formulated. In scenario 1 a reconfiguration transition occurs, whereas in scenario 2 a transformational transition occurs. These scenarios differ on the extent the electricity grid congestion is resolved. In both scenarios an extensive international hydrogen market established. Scenario 1 deals with a large amount of hydrogen and poor electricity grid capacity. In this scenario, hydrogen will be used as an alternative energy carrier to the built environment by utilizing fuel cells. Scenario 1's demonstration that fuel cells can be part of the solution for the electricity grid congestion. In scenario 2, the hydrogen economy is established and there is a resolved grid issue, which will lead to hydrogen being used for direct space heating.
This study shows how the niche could react to the development of hydrogen availability and the ability of the network operator’s electricity grid capacity in determining the role of hydrogen in the Dutch built environment. Additionally, it underscores the significance of government commitment and proactive policies for sustainable energy transitions.
To encourage businesses to employ modular design and reuse the equipment in their projects, multiple suggestions are offered. One of the most important first steps for adopting this method seems to be raising awareness and developing a culture where new ideas are encouraged and accepted.
The objectives of this thesis are twofold, with their primary focus on evaluating the effect of changing the typical design variables for an SBW, such as the wing span, root chord, spanwise strut attachment location, wing taper ratio, wing sweep and engine location on the aerodynamics, weights and performance of an SBW. The first objective was to evaluate the significance of including the propeller slipstream effects in the preliminary stage design optimisation of a low-speed, short-range SBW. This aligned with the hypothesis that the performance of an SBW could be enhanced by using swirl recovery. The second objective of this thesis was to investigate the sensitivity of various design variables to the aircraft’s fuel burn and other performance metrics at the optimum SBW design.
A Design of Experiments (DOE) approach was used to explore the design space involving the typical influential SBW design parameters. The geometry and mesh files were created using OpenVSP for every DOE point. This was followed by the aerodynamic analysis in a panel method-based software called Flightstream, which could capture the relevant aerodynamic flow phenomena with reasonable accuracy. The aerodynamic analysis was performed twice- first without considering slipstream effects and second by simulating them. Regression-based analytical equations, particularly designed for the weight estimation of the wing and strut, were used from the literature. Empirical equations from FLOPS were used for the rest of the aircraft components. The performance of the SBW was calculated iteratively using the Breguet range equation along with a few modifications. All the SBW designs were constrained by a maximum wing loading criterion.
From two sets of the DOE results (with and without propeller effects), it was observed that the two optimum SBW designs were identical in terms of external geometry and performance. A deeper investigation revealed that the variation in the spanwise engine positioning (to maximise the swirl recovery) resulted in a marginal change of the induced drag (less than two drag counts). It was concluded that the propeller slipstream effects could be excluded from the preliminary stage, design optimisation of a propeller-powered, short-range SBW to reduce computational expense. However, the results should be treated with a pinch of salt due to the limitations of panel methods. Moreover, the SBW was optimised only for cruise, not for other flight phases such as take-off and climb, which may benefit from swirl recovery.
Finally, a sensitivity analysis was performed to evaluate the trends in the performance metrics, such as fuel burn, lift-to-drag ratio, wing loading and maximum take-off weight when subjected to variations in the design variables at the optimum. The impact of the fuel burn was quantified, while the other performance metrics were qualitatively answered. The research findings revealed that certain design variables had a greater influence on fuel burn than others when varied by ±10% w.r.t their optimum value...","strut braced wings; Design of Experiments; optimisation; propeller slipstream; sensitivity analysis","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:fbb90146-ef87-4d76-9d49-005467504957","http://resolver.tudelft.nl/uuid:fbb90146-ef87-4d76-9d49-005467504957","Development in Waste-Derived Semi-Alternative and Full-Alternative Binder","Zhang, Junyan (TU Delft Civil Engineering & Geosciences)","Liu, X. (mentor); Lin, P. (mentor); Erkens, S. (graduation committee); Schlangen, E. (graduation committee); Hagos, Eyassu (graduation committee); Delft University of Technology (degree granting institution)","2023","The widespread use of traditional bitumen, derived from finite crude oil resources, has led to growing concerns over its environmental impact, long-term availability, and cost. These challenges underscore the urgent need for sustainable, alternative binders in infrastructure applications such as road construction. Although previous research has explored bio-based and recycled waste materials as potential substitutes, these alternatives often fail to meet compatibility, durability, and performance standards.
To overcome these obstacles, this study employs a multifaceted methodology encompassing rheological, chemical, and mechanical analyses, along with environmental assessments. The objective is to develop an alternative binder synthesized from bio-based and waste materials that offers comparable or improved durability and performance. Our findings suggest that the alternative binder presents a viable replacement for traditional, petroleum-based bitumen. This innovation not only enhances the sustainability and circularity of road materials but also mitigates the construction industry's dependence on non-renewable oil resources.","Sustainability; Alternative binder; waste tire rubber","en","master thesis","","","","","","","","2025-10-01","","","","Civil Engineering","",""
"uuid:751c2989-f590-41fb-b9d1-d2c98532aa1b","http://resolver.tudelft.nl/uuid:751c2989-f590-41fb-b9d1-d2c98532aa1b","Design of compliant variable stiffness ball joint","LEE, Tzu (TU Delft Mechanical, Maritime and Materials Engineering)","Radaelli, G. (mentor); Amoozandeh, A. (mentor); Herder, J.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study proposes a novel design of monolithic compliant variable torsional stiffness (VTS) mechanism whose stiffness can be tuned continuously. This mechanism is then used to create a compliant variable stiffness (VS) ball joint. This compliant VS ball joint can be beneficial in various applications such as exoskeletons, prostheses, and bio-mimicking due to their high adaptability to environmental changes and the capability for multitasking.","Compliant; Variable stiffness; ball joint","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:8db39a99-2921-4ba3-8441-a194f11b89f8","http://resolver.tudelft.nl/uuid:8db39a99-2921-4ba3-8441-a194f11b89f8","Austenite stabilization at room temperature in medium Mn DP steels through CPPT","DAUTEZAC, Alice (TU Delft Mechanical, Maritime and Materials Engineering)","Santofimia, Maria Jesus (mentor); Farahani, H. (mentor); Gonzalez Garcia, Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","The presence of retained austenite (RA) has been proven beneficial for the formability of Advanced High Strength Steels. Therefore, multiple thermal austenite stabilization methods have been researched, such as Mn-partitioning during intercritical annealing in medium Mn steels. The Cyclic Partial Phase Transformation (CPPT) approach has been successful in obtaining localized Mn-enrichment at the ferrite/austenite interface through cyclic annealings at intercritical temperatures in a medium Mn steel. In this thesis, the possible application of CPPT heat treatments towards interfacial austenite stabilization at room temperature in medium Mn Dual Phase (DP) steels is studied. ThermoCalc modelling and dilatometry measurements were used to determine the optimal CPPT parameters for fully martensitic Fe-Mn-C-Si samples with varying concentrations of manganese. Scanning Electron Microscopy acquisitions of the resulting microstructure showed a strong influence from the initial microstructure. Samples which went through a full austenitization formed coarse martensitic islands and equiaxed ferrite grains. However, samples which were intercritically annealed from a fully martensitic state resulted in fine and elongated ferrite grains surrounded by martensite. X-Ray Diffraction analysis revealed that CPPT treated samples with 2wt.%Mn and 4wt.%Mn had a maximum RA volume fraction of 1wt.% and 4wt.% respectively. Up to 16wt.% of RA was obtained at room temperature through CPPT heat treatments for samples with 6wt.% Mn. This difference in results has been attributed to the concentration spike of Mn at the ferrite/austenite interface characterizing the NPLE austenite growth, as well as to the widening of the Mn-enriched zone through repeated isothermal intercritical annealing cycles. While a relatively high volume fraction of RA has been successfully obtained at room temperature in 6wt.% Mn DP steels, its interfacial morphology could not be confirmed due to the interference of the fine ferrite/martensite microstructure with the Electron Back-Scattered Diffraction analysis.","Austenite stabilization; CPPT; DP Steel; Heat treatment; Mn-partitioning; Retained austenite; Intercritical Annealing","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:a4e6fb38-7494-426d-a886-5d72f1ea3147","http://resolver.tudelft.nl/uuid:a4e6fb38-7494-426d-a886-5d72f1ea3147","Characterisation of a Thin Functionally Graded Bi-metallic Wall Fabricated Using Wire-Arc Additive Manufacturing (WAAM): A Study on the Microstructural Evolution and Mechanical Behaviour Due to Grading","Selvakumar, Ravishankaran (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Materials Science and Engineering)","Hermans, M.J.M. (mentor); Zhou, J. (graduation committee); Babu, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","A multi-material structure combines diverse materials, allowing for precise tailoring of properties in specific regions. The integration of dissimilar materials leads to superior performance compared to single-material components. Bimetallic structures, a subset of multi-material systems, offer site-specific performance owing to the contrasting properties of the materials involved.
This thesis focused on fabricating a thin, functionally graded bi-metallic wall using Wire Arc Additive Manufacturing (WAAM) with Inconel 625 and HSLA steel. Functional grading of metallic materials, like Inconel 625 and HSLA steel, enables precise customisation of component properties to fulfil distinct functions within a structure. Despite its promise, this combination presents challenges, notably the formation of intermetallic compounds that act as a catalyst for forming solidification cracks in combination with varying material composition and high heat input.
Optimal process parameters, notably reduced heat input, are critical in mitigating these issues. The current study involved process parameters optimisation for Inconel 625 single bead-on-plate welds to control dilution levels at dissimilar interfaces. Extensive characterisation using Light Optical Microscopy (LOM) and Scanning Electron Microscopy (SEM) equipped with Energy Dispersive Spectroscopy (EDS) was conducted to study the microstructural evolution of the as-fabricated sandwich structure.
Results indicated that interface 1 (Inconel 625/HSLA steel) exhibited minimal dilution and zero defects, while interface 2 (HSLA Steel/Inconel 625) exhibited substantial dilution and was prone to solidification cracks. The EDS results affirmed that the variations of elemental composition and heavy dilution at interface 2 lead to inhomogeneous microstructural features, elemental segregations and the formation of brittle intermetallic phases, thereby leading to a solidification crack at this particular interface.
X-ray diffraction (XRD) measurements were conducted to identify phases at the dissimilar interfaces, corroborating the microstructural features observed using SEM and LOM. The identified phases confirm the presence of brittle intermetallics, such as the laves phase, extensively present at interface 2. Vickers hardness testing was performed to assess the mechanical behaviour at both interfaces, revealing a consistent trend of decreasing values with a sudden increase in hardness values at both interfaces due to microstructural transition.
Based on the EDS results, an optimal range of elemental compositions was speculated, focusing primarily on the significant elements Fe, Ni, and Cr. These compositions, with Ni content of approximately 20-25 wt%, Fe content of around 70-75 wt%, and Cr content of approximately 5-10 wt%, are crucial in reducing cracking susceptibility at interface 2. This study elucidates the initiation and propagation of solidification cracks at interface 2, establishing a definitive link between microstructural evolution, mechanical behaviour, and crack formation.
Ultimately, this thesis lays the foundation for future research to delve deeper into these insights, fine-tune process parameters, and fabricate compositionally graded multi-material structures with enhanced susceptibility to solidification cracking at dissimilar interfaces.","HSLA Steel; Inconel 625; WAAM; FGM; Microstructural characterisation; Mechanical Testing; Multi-Material Additive Manufacturing; Solidification Cracking; Dissimilar Weld; dilution","en","master thesis","","","","","","","","2024-09-29","","","","Materials Science and Engineering","",""
"uuid:19a1bd1d-0b4e-4237-9bc9-72eeae3fa18a","http://resolver.tudelft.nl/uuid:19a1bd1d-0b4e-4237-9bc9-72eeae3fa18a","Design of a catheter with variable shape and properties","Sreeram, Florine (TU Delft Industrial Design Engineering)","Ghodrat, S. (graduation committee); Paus-Buzink, S.N. (mentor); van der Reijden, J.J. (mentor); Delft University of Technology (degree granting institution)","2023","In medical applications such as medical endovascular catheters, adaptable and morphing devices capable of changing shape and properties become important. These devices allow for safer therapeutic and diagnostic procedures by reducing time to reach target vessels and organs, trauma to the vessel wall and physical stress on the body while increasing the accuracy, benefits and positive outcomes. A catheter with these properties will also reduce X-ray exposure during procedures, recovery time and could save lives in the acute setting.
In the field of emerging materials, several materials demonstrate variable properties when exposed to a stimulus. This, when combined with the field of minimally invasive surgery, holds significant potential for the development of a catheter with variable shape and properties.
This thesis investigates the latest developments in endovascular catheter design through a materials lense. It begins with a thorough examination of material-based advancements in catheters with variable properties, and puts a particular focus on shape memory materials. Using a combination of literature review, field work examination and expert consultations, the design of a catheter with variable properties is comprehensively analyzed and evaluated.
Prototyping was done to evaluate the potential of two material systems to achieve variable behaviour in the design of a catheter.
The study presents a conceptual shape memory material-based design for a catheter with variable shape and properties and demonstrates this with a visual prototype. Additionally, potential directions for further refinement of this conceptual design are explored. This thesis serves as an initial reference and guiding framework for designers working with emerging materials in the field of medical devices and in particular the development of endovascular catheters with variable properties.","Emerging Materials; Medisign; Shape Memory Materials","en","master thesis","","","","","","","","2024-09-29","","","","Integrated Product Design","",""
"uuid:4d145a78-1610-4159-8cea-63812cc17149","http://resolver.tudelft.nl/uuid:4d145a78-1610-4159-8cea-63812cc17149","Using Serious Games for Vision Development in the Participatory Backcasting Process: A Case Study Developing Circular Visions for Business Parks","Frens, Joline (TU Delft Technology, Policy and Management)","Quist, J.N. (mentor); Bekebrede, G. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","Due to the ecological consequences and increased resource insecurity caused by the depletion of natural resources of the incumbent linear economy, the Netherlands aims for a fully circular economy in 2050. To guide this transition from a linear to circular economy, strategies need to be made. An approach which enables actors to create these strategies is participatory backcasting. This process needs to be supported by several tools, including (social) engagement and design tools. The aim of this thesis is to explore how serious games can be used as these tools and what its effects are. By expanding the tools available for participatory backcasting, practitioners can use different tools appropriate for different settings.
Using a research-through-design approach, a serious game was developed to create circular visions on business parks. Two research workshops were conducted to test the of the game on the (social) engagement and vision design. In this, the thesis introduces a framework to measure (social) engagement, consisting of the three dimensions (1) game experience, (2) learning, and (3) perceived level of influence.
The results of the game experience indicate a positive effect on (social) engagement as the components related to high engagement scored relatively high and the results related to low engagement scored relatively low. Furthermore, the results of the learning dimensions showed that almost all participants have learnt about circularity. Finally, most participants indicated that they had a high degree of influence, one student indicated some degree of influence and one stating a little influence. This all showed that the participants were willing to have emotions and thoughts towards the workshop and were willing to affect the results of the final vision. This leads to the conclusion the outcome of this research would indicate that the use of a serious game can have a positive effect on (social) engagement.
The games effect on the vision design was tested using two dimensions: participant satisfaction and a vision evaluation. Participant satisfaction was tested using self reporting in the postquestionnaire. The vision evaluation was conducting using the criteria set by Van den Voorn et al. (2017): (1) presence of transformative elements, and (2) presence of goals and guiding targets.
The results for participant satisfaction with the developed vision range from neutral to high satisfaction. Furthermore, only one (stakeholder) participant indicated they wanted to change the vision developed during the game. However, the change was more incremental rather than disruptive. The vision analysis showed that all four visions contained transformative elements, while clear guiding goals and targets were absent. However, there were clear guiding themes in the stakeholder vision that could result in goals and targets in a follow up session. It is possible that this was due to the game used in the research.
The role of buoyancy forces in flow stratification is quite substantial and can stabilize or destabilize the stratified structure by inducing or dampening instabilities. While numerous computational simulations have been performed to understand the mechanism of buoyancy-affected stratification in ideal fluids, whilst, a notable research gap exists in the literature on supercritical fluid stratified flow. Therefore, the present study aims to investigate the influence of buoyancy on the sCO2 flow stratification in a channel, considering heating from the top and bottom walls. In this context, a Direct Numerical Simulation (DNS) is conducted using the open-source CFD package ”OpenFOAM” to simulate pressure-driven sCO2 channel flow under constant wall heat flux. A buoyant pimple foam solver was adapted to simulate transient supercritical flow. To gain good accuracy in the thermophysical properties, a custom library was prepared to interpolate supercritical fluid properties at simulation run-time. To assess the influence of buoyancy in the heated channel flow of sCO2, a developing flow profile is initiated at a constant pressure of 80 bar. Stratification is achieved by imposing a heat flux at the wall boundary spanning in the heating range of 5 − 15 kW /m2, resulting in density and temperature variation across the fluid. By varying the heat flux at the wall boundary, we analyze the resulting variation in the flow field (temperature, velocity, and pressure distribution), the dynamics of heat transfer, and the influence of buoyancy by the non-dimensional parameter Richardson number in the stratification of supercritical carbon dioxide. The results show that the effect of heating on the developing boundary layer in sCO2 channel flow is substantial. With increasing heat flux, the flow is accelerated near the heated wall while decelerating in the bulk. A strong non-linear variation in the temperature and density distribution is observed in the wall-normal direction. Moreover, as the heat flux increases, the wall shear stress decreases due to strong property variation, while the Richardson number and Reynolds number increases, and the heat transfer coefficient decreases.","Supercritical CO2; Direct numerical simulations; Stratified flows; Buoyancy; Heat Transfer","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:94bb672e-b732-4aca-ad38-3392a95f3872","http://resolver.tudelft.nl/uuid:94bb672e-b732-4aca-ad38-3392a95f3872","Turbulence Modeling for Heated Developing Supercritical Flows","Naik, Atharv (TU Delft Mechanical, Maritime and Materials Engineering)","Pecnik, Rene (mentor); Hasan, A.M. (mentor); Peeters, J.W.R. (graduation committee); Boersma, B.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","In recent years, a considerable amount of research has been directed towards making energy generation more efficient to combat global warming. To aid this goal, the use of supercritical fluids (SCFs) is gaining a lot of traction. SCFs have only one phase and experience a sharp variation of thermophysical properties when heated sufficiently. These facts can be exploited to gain several advantages over conventionally used sub-critical fluids. However, the strong property variation in heated SCFs also complicates the flow Physics considerably if the wall heating is strong enough. Further, the flows in such settings are often turbulent and spatially developing. For these flows, a strong variation of properties can lead to a modulation of turbulence, which the conventional turbulence models can not predict well. This thesis is an attempt to better understand how spatially developing heated supercritical turbulent flows behave and use this understanding to improve turbulence model predictions.
In this thesis, we investigate two supercritical heated developing turbulent flows from Nemati et al. (2015). One case is oriented horizontally and the other is oriented vertically. The latter has an additional effect of buoyancy resulting from its vertical orientation and strong density variation near the wall. We analyze how the strong property variation modulates the turbulence in both cases. Then, we assess if Semi-Local Scaling (SLS) and Apparent Reynolds Number (ARN) theories can characterize the modulated turbulence. Further, we propose a methodology to make use of ARN by itself, and in combination with SLS to improve turbulence model predictions.
The results indicate that ARN theory provides a robust way to sensitize conventional turbulence models to the additional effects arising in supercritical heated developing turbulent flows due to property variation. Additional research in turbulent heat flux modeling is deemed necessary to improve the model performance further.","Turbulence; RANS turbulence modelling; Supercritical CO2","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:faf6bed1-cb08-4b3f-a58f-3e9e5e529695","http://resolver.tudelft.nl/uuid:faf6bed1-cb08-4b3f-a58f-3e9e5e529695","Comparing Battery Solutions for Intercity In–Motion Charging Buses: An Arnhem-Wageningen Case Study","Mirza Khalid Baig, Khalid (TU Delft Electrical Engineering, Mathematics and Computer Science)","Chandra Mouli, G.R. (mentor); Bauer, P. (graduation committee); van der Born, D. (mentor); Diab, I. (mentor); Delft University of Technology (degree granting institution)","2023","The emergence of electric mobility in urban public transportation, with a particular focus on electric buses, presents a promising solution to address emissions and environmental concerns. However, a significant challenge lies in ensuring continuous bus operation without the need for frequent charging. In-motion charging (IMC), often referred to as dynamic charging, is a concept engineered to overcome this challenge.
A comprehensive study was undertaken to know the potential and practicality of intercity IMC buses. A bus model was developed to assess the power traction capabilities of these vehicles. This model served as the foundation for exploring four distinct charging scenarios, each characterized by varying charging powers and strategies. An investigation into the battery load profiles observed in intercity IMC buses across the different charging scenarios was done. This was essential for understanding the intricacies of power demand, especially in scenarios where the bus relies heavily on catenary charging. Notably, the introduction of in-motion charging in the first scenario (IMC only) underscored the critical role of catenary charging power in meeting operational demands. Subsequent integration of a stationary charging system at Arnhem Central showcased the potential to reduce catenary charging power, offering prospects for enhancing battery health. IMC with opportunity charging and overnight charging were also explored.
The second part of the thesis delved into the comparative effect of various charging scenarios on the aging of commonly employed battery chemistries in IMC vehicles. Using comprehensive battery models, the aging dynamics under diverse conditions were studied. It became evident that each scenario held distinct implications for battery aging.
Lastly, the study addressed the pivotal question of cost-effective battery selection for intercity IMC buses. An exploration of four distinct scenarios, in conjunction with different battery chemistries, yielded valuable insights into their respective performances. Lithium-titanate (LTO) batteries consistently emerged as the preferred choice. Their extended lifespan, reduced replacement frequency, and overall cost-effectiveness positioned them as the frontrunners in the context of intercity IMC bus systems. This consensus held across most scenarios, underscoring the practicality of LTO batteries.
In conclusion, the transportation sector's substantial impact on greenhouse gas emissions and urban air quality necessitates innovative and sustainable solutions. Intercity IMC buses, along with optimal battery selection and charging strategies, represent a promising avenue for sustainable urban transportation. Among battery chemistries, LTO batteries was proven to be the most cost-effective choice for powering intercity IMC bus operations.","In-Motion Charging (IMC); battery aging; Sustainable Transportation; Electric mobility","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:81e1c72d-fc90-42c2-8dd1-a8319d19b15f","http://resolver.tudelft.nl/uuid:81e1c72d-fc90-42c2-8dd1-a8319d19b15f","DOC and Ammonium Removal Processes in Slow Sand FIlters - Influence of Grain Size and Flow Rate","Huang, Yuwei (TU Delft Civil Engineering & Geosciences; TU Delft Water Management)","Trikannad, S.A. (mentor); van der Hoek, J.P. (graduation committee); van Halem, D. (graduation committee); de Kreuk, M.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Slow sand filters (SSFs) are essential for ensuring microbial quality and biological stability of drinking water in the Netherlands. However, gaps exist in understanding of removal processes of dissolved organic carbon (DOC) and ammonium and the effects of grain size, loading rate, and backwashing on removal in SSFs.
Four lab-scale SSF columns filled with fine (0.4-0.6 mm) and coarse (0.85-1.25 mm) sand were constructed and operated in two phases with a total of 165 days. In phase I, SSFs operated at a flow rate of 0.5 m/h to investigate the influence of grain size. After stabilization, higher loading rate of 2 m/h and backwashing procedure (20% expansion for 5 min) was applied during the phase II experiment. Various physicochemical and biological parameters, including DOC, ammnoium, phosphate, and ATP were analyzed in water along the filter depth. Additionally, biomass development on sand was quantified suing ATP measurement.
Results showed the stable SSF operation after 90-100 days, removing 100% of dosed 1.5 mg/L of DOC and 1.0 mg/L of ammonium. Compared with fine sand, coarse sand had similar removal performance but better backwashing effectiveness and lower clogging risk. Increased loading rate led to faster microbial growth, reducing operational lifespan, and poor removal performance. Backwashing showed minimal impact on DOC and ammonium removal capacity and microbial activity, which were recovered after backwashing within 7-14 days, indicating the potential for backwashing to prolong SSF’s operational lifespan.
This research investigated the DOC and ammnoium removal processes and the influence of grain size, loading rate, and backwashing on filter performance. Providing insights for optimized SSF design and operation. Future studies could delve into mechanisms using isotope analysis or metagenomics, along with more comprehensive sand sample analysis.
The system’s success hinges on a method that adeptly processes raw data from the photodiodes, transforming it into a standardized trajectory image. To extract these trajectories, we employed the optical flow extraction method and then used two separate networks for their transformation. Initially, both the direction and magnitude of finger movements are derived from the raw data with the assistance of the Farnebäck optical flow method. Subsequently, the principal component of these trajectories is preserved, representing the finger’s path during that interval.
For the purpose of generating standardized trajectory images, the CUT model is trained using both the extracted trajectories and the EMNIST dataset, which serves as the unpaired corresponding dataset. The generated images, when paired with the extracted trajectories, facilitate the training of the pix2pix model which is served for the inference phase. In the inference phase, the Farnebäck optical flow method and the pix2pix model are combined to batch-produce standardized trajectories. These are then recognized by a model that is trained using the EMNIST dataset.
Our results of 79% accuracy on alphanumeric data and 99% accuracy on digits indicate a marked enhancement in the recognition capabilities of the model trained with this method compared to the baseline data which has 90% accuracy on digit recognition. Moreover, the model demonstrates superior generalization and robust recognition prowess.
The ASR system in Hoorn faces strict requirements, which address the challenge of maintaining water quality standards and optimising recovery efficiency. These requirements must ensure that the extracted water remains suitable for consumption, with no more than 1% dilution with ambient groundwater. The objective of this study is to identify a method to improve the recovery efficiency of the ASR system in Hoorn. The ASR system operates by injecting drinking water into an aquifer during periods of water availability and recovering it when needed. Compared to installing a new pipeline, the ASR system offers a more cost-effective solution with additional benefits such as space efficiency and temperature stability. However, the ASR system in Hoorn faces challenges related to maintaining water quality standards and optimising recovery efficiency. Processes such as lateral flow, dispersion, and buoyancy affect the system’s performance, and a thorough understanding of these processes is crucial for accurately predicting recovery efficiency. A comprehensive analysis of a pilot ASR system in Hoorn was conducted by PWN to address these challenges. The pilot system consists of a single well where two pumps operate at two different filter depths in an aquifer. In the final layout of the ASR, additional wells are necessary to achieve the desired capacity.
A radially symmetric model was used to simulate groundwater flow, conservative solute transport, and heat transport. Due to the stringent water quality requirements, the radially symmetric model must accurately capture essential processes in an Aquifer Storage and Recovery (ASR) system, such as flow, dispersion, retardation, and buoyancy. The performance of a radial symmetric model in SEAWAT and MODFLOW 6 was assessed based on analytical methods and 3D models. Through this analysis, it was decided to utilise a radial symmetric model in SEAWAT due to the presence of numerical dispersion in a model using MODFLOW 6.
After this analysis, the model’s performance was tested against various measurements, including hydraulic head, temperature, and electrical conductivity. It is evident that the model effectively captures both solute transport and heat transport. Discrepancies between measurements and the model can be attributed to assumptions made during the study and uncertainties in the measured values. However, the presence of clay layers between the deep and shallow filters in the pumping well significantly contributes to local differences between the model and the measurements. The main reason for this difference is that these layers are not homogeneous throughout the depths, allowing water to flow between them. This heterogeneity cannot be simulated with a radially symmetric model. However, despite this heterogeneity, these clay layers consistently result in low recovery efficiency in the current system.
The objective of this study was to identify a method to improve the recovery efficiency of the ASR system in Hoorn. The current system has a recovery efficiency of about 30%. This can improved by implementing a check valve in the shallow filter of the pump well, with 60% to 65% of the filter dedicated to recovery to achieve a recovery efficiency of 80%. During the testing of this system, an injection period was followed by a recovery period with specific pumping rates. It took three cycles to achieve the desired recovery efficiency. It is important to note that these cycles did not include storage and rest phases. The system’s recovery efficiency may change when these phases are incorporated. However, an important assumption is that homogeneous layers are present. Heterogeneity of layers can lead to deviations from the modelled recovery efficiency. This research contributes to a better understanding of the pilot ASR system in Hoorn and provides insights into improving its recovery efficiency. With the lessons learned from this study,
PWN can assist in developing the final design for the ASR system. This design will involve multiple wells to meet the required capacity.","Aquifer Storage and Recovery; SEAWAT; Groundwater modelling; Distributed Temperature Sensing; Radial symmetric modelling; Advection; Dispersion; Buoyancy; Numerical Dispersion; Retardation; Solute Transport; Heat transport; Recovery efficiency","en","master thesis","","","","","","","","","","","","Water Management","","52.667297, 5.036507"
"uuid:49579397-ae2b-470d-9426-efd209b9846c","http://resolver.tudelft.nl/uuid:49579397-ae2b-470d-9426-efd209b9846c","Vibration Performance of a CLT floor system: Comparison of onsite measurements with and without architectural finishing to numerical and analytical calculations","Klappe, Lilly (TU Delft Civil Engineering & Geosciences)","Ravenshorst, G.J.P. (graduation committee); Felicita, M.P. (mentor); Noteboom, C. (mentor); van de Kuilen, J.W.G. (mentor); Verhaegh, R. (mentor); Delft University of Technology (degree granting institution)","2023","Currently, the building industry contributes to up to 50% of climate change. A way to reduce the impact is by replacing current building materials with more environmentally friendly materials, such as timber. Engineered wood products, such as cross-laminated timber (CLT) panels, have increased strength, stiffness, and stability which enables building higher buildings and floors with bigger spans. However, due to the low weight of timber, CLT floors are susceptible to unwanted high floor vibrations and therefore need to be verified on their footfall-induced vibration performance. Predicting the vibration performance is a complex problem as the load-bearing structure and architectural finishing have distinct structural behavior under small vibrations. Due to the novelty of the problem, the distinct behavior is not captured well in the current design codes. As a result, the Eurocode 5 guidelines on vibrations are currently being updated and extended. In support, this thesis addresses the critical issue of predicting the vibration performance of CLT floors, with a specific focus on the impact of architectural finishing.
To achieve this goal, a literature review was conducted to identify structural components that are often overlooked, but may lead to inaccuracies in vibration predictions. These factors include the connections between CLT panels and different types of floor finishing. A case study was then carried out using the building HOUTlab, which features CLT floors with a concrete floating screed. On-site measurements were performed at key locations, including at the inter-panel connection line and in the middle of the panel. This was done before and after architectural finishing was placed. Subsequently, analytical and numerical calculations were used to gain insight into the structural behavior of the system subject to footfall-loading by investigating the accuracy of common engineering practices and other assumptions regarding their structural behavior.
Onsite measurements, where the floor was loaded and the response was measured at the same location, showed that the root mean square velocity (vrms) values were much higher at the inter-panel connection line compared to in the middle of the panel. The vrms is a measure of the amplitude of the vibration. The initial finite element analysis (FEA), assuming a rigid inter-panel connection, inaccurately located the highest vrms values. When assuming a hinge, the FEA correctly allocated the critical vrms values but compromised the accuracy of frequency estimates. The experimental results revealed that adding architectural finishing increased the damping, reduced the vrms, and maintained a similar frequency, ultimately improving the vibration performance from level 3 to level 1 according to the preliminary Eurocode 5 (prEC5) standards. The prEC5 and FEA following common engineering practices accurately estimated the frequency before architectural finishing was placed but underestimated it by 39% after it was placed, indicating a higher increase in the bending stiffness of the floor than initially assumed. While prior calculations assumed slip between the floor layers due to the presence of the insulation layer, assuming full cooperation between the layers resulted in an overestimation of the frequency by 9%, suggesting that there is some cooperation, but the floors are not fully bonded...
An important aspect of this model is the set of dynamics used to solve for the state transition and sensitivity matrices, which describe the change in orbital state that can be achieved by an earlier change in the orbital state or dynamic parameters. In this work, an approximation of these state transition and sensitivity matrices was investigated, based on the Hill-Clohessy-Wiltshire equations of relative orbital motion. We show that these approximations result in significant computational savings and that they are compatible with centimetre-level orbit determination.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:58858906-c6ee-4064-b329-23ee45456027","http://resolver.tudelft.nl/uuid:58858906-c6ee-4064-b329-23ee45456027","Open Data for Circular Economy Monitoring: A Case Study into Electric Vehicles","Torlak, Yunus Emre (TU Delft Technology, Policy and Management)","Zuiderwijk, AMG (mentor); Quist, J.N. (mentor); Rukanova, B.D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Executive Summary
Introduction: The global shift towards a circular economy aims to optimize resource use, minimize waste, and promote sustainable growth. Monitoring this transition is vital, and open data presents itself as a pivotal tool. This research explores the potential of open data in monitoring circular economy objectives, focusing on electric vehicle batteries.
Research Objective and Methodology: The study's primary goal was to uncover the potential of open datasets for monitoring circular economy goals. A framework was developed, drawing from existing literature and expert insights. This framework was then applied to the context of Electric Vehicle (EV) batteries, utilizing three distinct datasets. Validation interviews further refined the framework and the insights from the EV battery case study.
Conceptual Framework: The research introduced a comprehensive framework designed to evaluate the potential of open datasets in monitoring circular economy objectives. This framework was meticulously crafted by integrating insights from existing literature and expert opinions. Structured around the pivotal dimensions of open data attributes and circular economy principles, the framework delves into aspects such as data accessibility, quality, usability, material flows, resource evaluation, and stakeholder engagement. Serving as a robust tool, the framework offers a systematic approach to assess the compatibility, depth, and versatility of open datasets in the context of the circular economy, ensuring a holistic analysis that bridges the gap between data transparency and sustainable practices.
Case of Electric Vehicle Batteries: The case study on electric vehicle batteries provided a practical lens to test the framework. Three datasets from different sources, namely RDW, Eurostat, and the BatteryPass, were analyzed. The datasets revealed insights into material flows, resource consumption, and environmental impacts associated with the EV battery ecosystem. The RDW dataset, for instance, highlighted the importance of tracking at the vehicle level, while the BatteryPass project showcased potential in monitoring battery lifespans and end-of-life scenarios. The case study illuminated the framework's applicability, revealing usability, opportunities and constraints in the datasets.
Discussion: The research employed mixed methods tailored to each phase. A literature review identified key attributes for analysis, while expert interviews filled gaps overlooked in the literature. The framework was structured around the key dimensions of open data and circular economy principles. The open data division examined data accessibility, quality, and usability. The circular economy division delved into material flows, resource evaluation, product lifespan, end-of-life considerations, and stakeholder engagement.
Conclusion: The research culminated in a comprehensive framework for evaluating open data's potential in circular economy monitoring. The framework's elements spanned both open data attributes and circular economy dimensions. The methodology integrated these elements, refined through expert interviews, and validated using the electric vehicle battery case study. Practical contributions included guidance for governments and policymakers, insights for industries, and a focus on stakeholder engagement. Future research directions include enhancing the framework's comprehensiveness, creating an interactive catalog platform for open datasets, and broadening its scope.
The research journey unveiled the intricate relationship between open data and circular economy monitoring. The developed framework, validated through the electric vehicle battery case study, stands as a testament to the synergy between academic rigor and practical applicability. However, the journey is ongoing, with the identified limitations paving the way for future exploration. The potential of open data, when effectively harnessed, can revolutionize sustainability approaches, driving the world towards a more circular future. This research serves as a foundational step, illuminating the path for future endeavors in open data and circular economy monitoring.
This project is done in collaboration with the Technology Transformation (TT) team of Ernst & Young (EY) which navigates its clients through transformations. The team implements the backend of new IT systems for their clients and therefore focuses on the business and technical side of these systems. TT works from a viability and a feasibility point-of-view, overlooking the desirability. The desirability of products and services is important because a negative customer experience leads to customers leaving their service-providing companies. Therefore, it’s becoming increasingly important for TT to pay more attention to the CX of the IT systems they implement. For TT to be able to provide its clients with viable, feasible, and desirable IT systems, this research investigates how TT’s service offering can be enhanced by developing new capabilities.
In this thesis, the first step towards a future where CX is incorporated into TT’s projects will be created. The ultimate reason for conducting this thesis is to add to the team's growing body of knowledge about CX and offer the team useful insights and suggestions on how to enhance CX in their future projects and provide more value to their clients.
The findings of this thesis might also be applicable to other groups and companies trying to improve their CX and gain a competitive edge in today's customer-driven market. The research phase finds accessibility, communicability, and traceability as key factors in contributing to the desirability of IT, and convenience, usability, security, trust, and personalization as key drivers in the financial sector for enhancing CX.
By involving a collaborative design approach with co-creation sessions with fellow SPD students and design consultants from VODW, ideas were generated, and concepts were created. The final concept for TT is The CX Innovator’s Guide. The CX Guide serves as a starting point for TT to develop and enhance its CX capabilities and work towards delivering more desirable solutions. The Guide is a tool for the team to get acquainted with the new topic, learn about current CX developments in the market, and how they can include CX in their projects.
The key findings reveal a significant gap between traditional approaches and systemic design in literature, mainly when dealing with complex problems. Interestingly, the challenges in reframing for the organization Halogen are not so much in the actual reframing and project execution itself but more in the processes supporting the projects’ pre-execution phase. It was observed that reframing practices occur naturally among skilled designers and business developers, but processes and misalignment within the organization limit Halogen from executing more systemic projects. Therefore, additional suggestions were made in the designs proposed in this thesis to align transitioning practices with needed foundations within an organization. Doing so bridges the earlier mentioned gap by introducing such practices organization-wide for people newly introduced to these practices and making it more applicable for other consultancies.
The thesis introduces a reframing framework, canvas, and accompanying information booklet inspired by improvement points and best practices, where empirical insights and research through design generated this knowledge. The insights showed that experienced designers automatically followed through the practices of spotting opportunities, aligning critical factors in projects, and accommodating them so a reframe could happen. However, this practice came from years of experience and is less evident to the novice systemic designer, indicating a need for help. This canvas and framework facilitate the transition from traditional to systemic design approaches by giving an easy-to-understand structure of the reframing practice and providing apt questions on how to do so— making designers able to target pressing complex problems. It outlines reframing tactics, critical factors, and foundations that organizations should consider for successful systemic design implementation. Besides offering value to Halogen as an organization, this thesis aimed for the designs delivered to achieve more systemic projects and impact for other organizations, focusing on the adaptability of the canvas and framework beyond Halogen. The research concludes that adopting a systemic and impact-focused viewpoint is not merely an option but a necessity for organizations aiming for sustainable impact and continuous improvement in the field of systemic design.
The concept of reconfigurable battery packs involves using power switches to modify the arrangement of connected battery cells based on specific requirements. This innovative technique can potentially significantly reduce the weight of battery packs. The primary objective of this thesis was to conduct a comprehensive analysis and comparison between fixed configuration and reconfigurable battery packs in the context of electric aviation. It was imperative first to design these battery packs to facilitate this comparison. Given the limited availability of open data on electric aircraft designs, the power profile was estimated using available reference aircraft specifications and reasonable assumptions. The literature review on power systems in aircraft revealed a significant correlation between system-level voltage and the weight of power cables. This discovery led to estimating an optimal system-level voltage, a critical constraint in battery sizing. For the fixed configuration battery pack, sizing was conducted using both a high-specific energy cell and a high-specific power cell. The design of a reconfigurable battery pack involved strategically leveraging both cell types. This innovative approach created a reconfigurable battery pack capable of dynamically connecting and disconnecting an internal high-specific energy battery pack called the 'primary battery pack' and a high-specific power battery pack known as the 'secondary battery pack' through power switches, allowing them to complement each other during high-power demand phases of flight, such as take-off and climbing.
Software simulations were conducted for the validation of this technique. These simulations revealed that the reconfigurable battery pack experienced higher C-rates than the fixed configuration battery pack. Given that higher C-rates can impact battery health by inducing capacity loss over multiple cycles, a preliminary ageing analysis was performed to quantitatively assess the adverse effects of higher C-rates on the reconfigurable battery pack.
The results quantified that around 400 kg of potential weight savings is possible by employing reconfigurable battery packs over fixed configuration battery packs at only 0.4% more capacity loss over 500 charging-discharging cycles. The weight savings can be translated into three different scenarios. Firstly, payload weight capacity can be enhanced. Secondly, flying with lesser weight will offset the power profile, saving energy. Lastly, an additional number of cells equivalent to the mass saved can realise the range extension of the electric aircraft.
Within the European context, discussions revolve around sustainable solutions that can pave the way for a cleaner and greener future. Among the contenders in this realm, the electric road system (ERS) has risen to prominence. ERS introduces a groundbreaking concept where trucks can recharge their batteries while in motion on highways, promising an array of ecological and economic benefits. However, the journey toward the implementation of ERS infrastructure is not without its intricacies. It necessitates the installation of specialized charging infrastructure, which can take the form of overhead catenaries accessed by a pantograph or embedded road equipment. Moreover, there is a substantial financial commitment required to equip entire truck fleets with the necessary batteries, adding to the complexity of the endeavor.
The central challenge in this landscape revolves around the meticulous design of an optimal ERS network that adeptly balances infrastructure costs with battery expenses. This research aims to address this multifaceted challenge by posing a fundamental question: How to determine the optimal ERS network, given the trade-off between infrastructure and battery costs?
To tackle this question head-on, this paper introduces a sophisticated multi-objective optimization model. This model is a computational framework that concurrently minimizes the costs associated with infrastructure investment, encompassing the installation and maintenance of ERS components, and the total transport expenses. These total transport costs encompass a range of factors, including the procurement of batteries, energy consumption, and toll charges. This comprehensive approach takes into account the diverse perspectives and interests of both investors and logistics companies, providing a holistic view of the intricate challenges associated with ERS adoption.
One pivotal advantage of ERS becomes evident in its capacity to extend the lifespan of batteries by reducing wear and tear during typical driving conditions. The model thoughtfully incorporates this aspect, factoring in battery purchase costs that hinge on projected lifespans. These projected lifespans, in turn, are influenced by the chosen route's electrification rate (ERS implementation).
To validate the model's effectiveness and practicality, it is subjected to a rigorous real-world case study. This case study delves into the intricacies of road freight transport in Germany, the Netherlands, Belgium, and Luxembourg. Additionally, this research introduces an enhanced Genetic algorithm, complemented by an Elitism strategy. These enhancements are designed to optimize solutions effectively within the confines of this practical context.
The findings derived from this rigorous analysis reveal a diverse Pareto set. This set showcases the delicate equilibrium between infrastructure investment and total annual transport costs. Notably, when budget constraints are absent, investing in ERS consistently proves advantageous. The total reductions in transport costs demonstrably surpass the initial ERS investment. For instance, the comprehensive electrification of 27,114 kilometers of highway results in a remarkable 30% reduction in total transport costs...
The objective of this thesis is to develop strategies to reuse existing aluminium curtain wall facade elements, to diminish waste and reduce resource depletion. To quantify the impact of these strategies the life cycle analysis is used to calculate the amount of emitted carbon. A mixed-method research was conducted, which involved integrating interviews with experts in the facade and material industry along with a review of literature, resulting in the development of a qualitative understanding. Integrating this with reference studies, the application of reuse was further examined. Lastly, strategies have been formed, which have been applied to a relevant case. Facades do have the potential for reuse, as demonstrated in this study. Facades play a crucial role in enclosing indoor spaces, which leads to various specific characteristics that make both facade structures
in themselves and in their reuse rather complex. Facade systems are often uniquely developed, making it difficult to locate a match for reuse. Eight general strategies have been defined to create incentives for reuse. A method for calculating the avoided carbon was created to quantify the potential of the strategies and provide an incentive for clients to include these circular strategies. Overall, the research outcomes offer a framework that has the potential to reduce resource depletion and increase value retention in the facade industry. Crucial elements were determined and components that show potential for reuse were defined. Risks and uncertainties have been identified, as well as the need for
incentives for producers, clients, and policymakers. As mentioned above, finding a receiving project that matches the donor material and designing with these materials still appears to be difficult. Regarding carbon emissions, beneficial strategies were defined. Refurbishment can achieve an 86% carbon reduction with 99% of the materials being reused. Re-manufacturing offers a 49% overall carbon reduction with a 59% material saving. Challenges lie in glazing reuse, particularly sealant and spacer components. Implementing re-manufacturing with glass replacement saves 27% embodied carbon and reduces waste by 21% at the donor building and 28% in the product and construction
stages.","Unitised facades; Aluminium; carbon impact; refurbishment; resource efficiency; reuse; Re-manufacture; Circularity","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:68357a81-0433-4285-aca1-d8a2d1f1f26f","http://resolver.tudelft.nl/uuid:68357a81-0433-4285-aca1-d8a2d1f1f26f","Benchmarking of a battery loader and its impact on the Garpenberg mine","Kavermann, Nils (TU Delft Civil Engineering & Geosciences)","Clausen, Elisabeth (mentor); Kianfar, Amir (graduation committee); Soleymani Shishvan, M. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Applied Earth Sciences | European Mining Course","",""
"uuid:e504d0ab-aab3-4508-a013-5265d1dbc385","http://resolver.tudelft.nl/uuid:e504d0ab-aab3-4508-a013-5265d1dbc385","Lateral Response of Monopiles in Sand under Monotonic and Cyclic Loads: A 3D Finite Element Investigation","Firman Habib, F (TU Delft Civil Engineering & Geosciences)","Pisano, F. (mentor); Flessati, L. (graduation committee); Kementzetzidis, E. (graduation committee); Liu, Haoyuan (graduation committee); Wu, Kuen-Wei (Wayne) (graduation committee); El Kanfoudi, Naoual (graduation committee); Delft University of Technology (degree granting institution)","2023","Large-diameter monopiles serve as foundations for offshore wind turbines (OWT), and the diameters are now up to 10 meters. These monopiles exhibit lower embedded length-to-diameter (L/D) ratios compared to the conventional monopile that is widely employed in offshore oil and gas platforms. They undergo rotation when subjected to lateral loading like rigid or semi-rigid bodies. This distinct geometry necessitates a different approach to describe the soil reaction that is induced when a lateral load is applied. Previous research introduced a 1D model incorporating four soil spring components to represent four aspects of soil reaction namely lateral soil reaction, distributed moment, base shear force, and base moment. However, questions have arisen regarding the contributions of base components, specifically the base shear force and base moment, to maintaining monopile stability. In response, a series of monotonic loading tests on monopiles in dry sand is conducted to assess the contributions of base shear force and base moment to monopile stability. Following this assessment of base components, parametric analyses are carried out to investigate the effect of pile diameter (D), the L/D ratio, load eccentricity (e), and sand relative density on monopile responses under cyclic and monotonic lateral loading. In this study, the SANISAND-MS material model is employed within 3D Finite Element (FE) software to model ratcheting during cyclic lateral loading. Finally, an investigation is conducted with the aim of constructing a 0D model to represent base shear force and base displacement responses under both monotonic and cyclic lateral loading.","monopile; large-diameter; soil reaction model; monotonic; cyclic; lateral load; base shear force; base moment; SANISAND-MS; parametric analysis","en","master thesis","","","","","","","","2025-09-21","","","","Geo-Engineering","",""
"uuid:cd58c259-5af1-40d9-8bc3-736934b1e437","http://resolver.tudelft.nl/uuid:cd58c259-5af1-40d9-8bc3-736934b1e437","A Biopolymer-Inspired Study on the Poynting Effect of Isotropic Materials","PAN, ZHE-HONG (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy)","Tighe, B.P. (mentor); Buchner, A.J.L.L. (graduation committee); Moultos, O. (graduation committee); Delft University of Technology (degree granting institution)","2023","In recent times, soft matter has gained significant interest among researchers in the fields of biomechanics and biomedicine, especially in areas like soft robotics and biopolymers, due to its remarkable ability to undergo substantial deformations. Soft robotics often requires materials that can flexibly adapt to or mimic the movements of living organisms, requiring properties of flexibility and easy deformability. Biopolymers, naturally occurring in the human body, such as within brain tissue or blood clots, have gained attention due to their tendency to exhibit extensive deformation even under minimal loads. Consequently, there has been a growing emphasis on investigating stress-strain responses associated with these materials in recent years. This research particularly centers on a specific deformation phenomenon known as the Poynting effect.
The Poynting effect is related to the transverse stress or strain response when subjected to simple shear, revealing that the application of simple shear strain does not solely result in simple shear stress. This intriguing phenomenon captures our attention, primarily because it challenges intuitive expectations.
Within the scope of this thesis, we employ two distinct deformation gradient tensors to analyze stress-strain responses under two separate boundary conditions: constant gap and constant normal stress boundary conditions. We also introduce a methodology for predicting the sign of the Poynting effect under conditions of small yet finite strain. Finally, we validate our analysis through simulation experiments.
We have modified Meng's original network-theory-based model, which is rooted in an energy density function derived from the force-extension relationship of a single chain. Our objective was to create a model with nearly incompressible properties in order to investigate the impact of compressibility. To determine the direction of the Poynting effect, we directly computed the stress and strain responses of a cube under shear forces. Later, we developed a method for predicting the direction of the Poynting effect without the need for precise stress and strain calculations. Our results demonstrate the successfulness of this prediction method.
The simulation outcomes reveal a closer alignment of the four-variable tensor, suggesting that our chosen boundary conditions more closely resemble those used in numerical solutions. Additionally, it is worth noting that the specific geometries we employed in our study, namely the cylinder and cube, did not have a discernible influence on determining the direction of the Poynting effect, especially within the context of the selected model and material parameters.","Poynting effect; soft matter; simple shear; nearly-incompressible material; strain energy density function; strain-stiffening effect; Maxwell's relation; crosslinked filament networks","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Precision and Microsystems Engineering","",""
"uuid:ee15e24b-39ea-4fdc-9c83-63cc0c9aa0f8","http://resolver.tudelft.nl/uuid:ee15e24b-39ea-4fdc-9c83-63cc0c9aa0f8","Exploring the limits of traditional L-flange connection v/s C1 wedge connection","Gupta, Pratyaksh (TU Delft Civil Engineering & Geosciences)","Veljkovic, M. (mentor); El Bamby, H. (graduation committee); Meijers, P.C. (graduation committee); Creusen, Koen (graduation committee); Winkes, Jasper (graduation committee); Delft University of Technology (degree granting institution)","2023","The increase in demand for renewable energy has resulted in higher demand for wind energy. To meet this requirement, the wind turbine sizes are increasing rapidly, and this results in increasing load on the connections between MP-TP and the segments of the tower.
This research focuses on traditional L-flange connection and novel C1 wedge connection, former the most widely used in the offshore industry and other being new to the offshore industry. The objective of this thesis is to evaluate the limits of the L-flange and C1 wedge connection. The design of the L-flange connection carried out on the basis of Petersen’s theory[1]. It is designed to have higher ULS resistance with steel mass as low as possible. This analytical designed is then compared to finite element analysis (FEA). Previous study of Cheng[2] is used to validate the setup and methodology for FEM in Ansys.
For the same overturning moment, C1 wedge connection is designed using the design tool provided by C1 Connections. The design check for flanges is carried out. The design is then compared to finite element analysis (FEA). Fatigue limit state was verified for both the connections.
Based on the study, the following conclusions are made. Firstly, L-flange connection has around 30% higher ULS resistance compared to design overturning moment of 609MNm whereas C1 wedge connection has 55% higher ULS resistance. Secondly, L-flange connection has higher meridional deformation at the same elevation in the shell as compared to C1 wedge connection. The gap opening at the interface of the flanges is studied and it is observed that C1 wedge connection opens after the loss of contact force at the interface which is generated more efficiently through the pretension of stud whereas L-flange connection being an eccentric connection starts opening without full loss of contact force at the interface and the secondary path of load transfer is activated. This results in the lower meridional rigidity provided by the L-flange connection as compared to the C1 wedge connection. Lastly, C1 wedge connection provided an opportunity for several optimizations to have the same ULS resistance as that of L-flange connection. The mass of steel reduced for these optimizations. The L-flange connection weighed 53.72 tonnes for both ULS and FLS criterion whereas C1 wedge connection weights 20.8 tonnes for ULS criterion and 28.8 tonnes for FLS criterion.","","en","master thesis","","","","","","","","2025-09-28","","","","Civil Engineering | Structural Engineering","",""
"uuid:6e09743c-014c-49cd-9641-57d17c8103b9","http://resolver.tudelft.nl/uuid:6e09743c-014c-49cd-9641-57d17c8103b9","Application of Smart Contracts in the Reinsurance Industry","van der Meer, Lars (TU Delft Technology, Policy and Management)","Renes, Sander (mentor); van Bergem, R. (graduation committee); van Eeten, M.J.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","This paper explores the potential of smart contracts in the reinsurance industry to address escalating non-productive costs driven by market dynamics. Reinsurers, aiming to enhance stability amid increasing inflation, claim severity, and frequency, have adopted stricter underwriting criteria and raised premiums. This has led to a more detailed drafting of reinsurance contracts, reminiscent of the formalization trend that began in the 1970s. However, this formalization has inadvertently inflated administrative and dispute resolution costs, counter to the industry's core objective of efficient risk and capital allocation.
The study delves into how smart contracts can offer a solution. Smart contracts are computerized protocols that can automate contract clauses, potentially aligning better with industry goals. The examination focuses on their impact on transaction costs, involving expenses incurred by insurers and reinsurers to execute transactions.
Findings reveal that smart contracts can effectively reduce administrative costs by automating tasks, particularly in high-volume and standardized scenarios. However, their effect on dispute resolution costs is more nuanced, as the reinsurance sector still benefits from human interpretation.
In conclusion, while smart contracts hold promise for reducing transaction costs in reinsurance, the industry's unique complexities and high financial stakes may pose challenges and necessitate post-implementation adjustments. The paper recommends exploring smart contract applications in industries with smaller disputed amounts and lower trust levels than reinsurance.","","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:fc1c8471-7fc0-458f-a54c-142d940f2fcb","http://resolver.tudelft.nl/uuid:fc1c8471-7fc0-458f-a54c-142d940f2fcb","Livingness as cureness: Exploring interface design for Living Therapeutic Skin in Atopic Dermatitis context","Zeng, Fanzhe (TU Delft Industrial Design Engineering)","Kim, Raphael (mentor); Karana, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","This graduation project is a part of NEXTSKINS project, within which a novel bacterial cellulose based material encapsulating living bacteria and yeast named Living Therapeutic Skins (LTS) is being developed to sense and treat skin disease such as Atopic Dermatitis(AD). This project serves as a probe in the primitive stage of LTS material development from a design perspective, to explore if livingness as one of the most prominent properties of LTS material can be perceived by people as cureness both physically and emotionally in AD context.
This project starts from understanding livingness as material quality and understanding AD and AD patients. Then several design insights are elicited and implemented into design concepts. As the project is situated at a primitive stage of material development, it is decided that the project should focus on the interface level of LTS material and create material and interface variations as discussion triggers with the scientific group, medical experts and end users.
As the primary outcomes, we designed and prototyped six variations of skin textures inspired by nature using physical fabrication. Additionally, we created living interfaces that reflect real-time AD conditions, featuring six display patterns corresponding to the different skin textures, as well as environmental factors like temperature and water content through digital simulation. Since the LTS material is still in a semi-finished state, we opted for a gelatin-based hydrogel as a substitute material due to its close resemblance to the LTS material and reusability. Video simulations were used to demonstrate the temporal changes in the living interface in response to external stimuli.
Subsequent user studies were conducted to investigate how individuals experience these material variations and living interfaces across sensorial, affective, interpretive, and performative levels. Drawing from the results of the user study, we present a series of speculations for end products tailored to different areas of the human body, each characterized by distinct textures. Furthermore, we envisage three potential product scenarios for the present, and future context, including future skin therapy and sub-skin implantation.
All the outcomes of this graduation project will serve as both a guide and a catalyst for discussions, laying the foundation for the future development of LTS material.
of the inferred home vectors remain below 24°. Moreover, our investigation reveals a noteworthy insight: the trajectory followed during the initial learning flight, for sample image acquisition, exerts a pronounced impact on the network’s output. For instance, a higher density of sample points in proximity to the nest results in a more consistent return.","Insect-inspired; Visual homing; Bio-inspired; Navigation","en","master thesis","","","","","","","","2024-04-01","","","","Aerospace Engineering","",""
"uuid:f9167d8a-c16a-4468-990d-7c0affd4f3a6","http://resolver.tudelft.nl/uuid:f9167d8a-c16a-4468-990d-7c0affd4f3a6","Measuring Adjoint-invariance of Neighborhoods in Solvable Lie Groups","Oudejans, Benjamin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Janssens, B. (mentor); Delft University of Technology (degree granting institution)","2023","In this thesis, we derive a lower bound on a quantity appearing in a Fourier multiplier inequality on solvable Lie groups.
In Caspers, Janssens, Krishnaswamy-Usha and Miaskiwskyi (2022), a classical result by de Leeuw about the restriction of Fourier multipliers on $\mathbb{R}^n$ to a discrete subgroup is extended to a noncommutative setting. It is shown that a compactly supported $p$-multiplier $m$ on a locally compact group $G$ has the following relation to its restriction to a discrete subgroup $\Gamma$:
$$c(\operatorname{supp}(m|_{\Gamma})) \norm{T_{m|_{\Gamma}}}_p \leq \norm{T_m}_p.$$
Here $c(U) = \inf\left\{ \sqrt{\delta_F} \mid F\subseteq U \mathrm{ finite} \right\}$, where $\delta_F$ is a quantity that determines to what extent small neighborhoods of the identity in $G$ are left invariant by conjugation by elements of $F$. In this thesis, we estimate $\delta_F$ for connected solvable Lie groups.\\
Our main result is theorem 9, which states that for a connected solvable Lie group $G$ with Lie algebra $\g$, if $\lambda_1,\ldots,\lambda_n\colon \g_{\mathbb{C}}\to\mathbb{C}$ are the generalized weights of the complexification $\g_{\mathbb{C}}$, there exist unique homomorphisms $\chi_1,\ldots,\chi_n\colon G\to\mathbb{R}_{>0}$ such that $\chi_i = \mathrm{d}\lambda_i$, and $$\delta_F \geq \prod\limits_{i=1}^n \inf\limits_{g\in F}\chi_i(g).$$","solvable Lie groups; adjoint-invariance; Fourier multipliers; noncommutative harmonic analysis; de Leeuw theorem","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:48dad5e4-7492-4e51-8095-797680e2229f","http://resolver.tudelft.nl/uuid:48dad5e4-7492-4e51-8095-797680e2229f","Decision-making by network operators in the Dutch energy transition","Pekelharing, Floor (TU Delft Technology, Policy and Management)","Nikolic, I. (mentor); Bekebrede, G. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","The energy transition is a pressing and widely debated topic in society and is creating global challenges. As the switch is made to sustainable energy carriers, more pressure is being put on to our electricity grids and the network operators managing them. They, however, face the uncertain future capacity needs of the grid and operate in a complex socio-technical system. The energy transition will require many collaborations and interactions which suggests a new decision-making process to facilitate the energy transition. An insight into the current decision-making processes of these network operators is however still lacking in literature and remains an open question. Therefore, in this thesis, I develop a conceptual model of the decision-making process by network operators for long term decisions under uncertain conditions. To achieve this aim, I first collected data about the decision-making system using semi-structured interviews of decision makers in the Dutch energy network. I then investigated models of decision-making in policy making, economic theory, and psychology literature and eventually chose the recognition primed decision-making (RPDM) model as fitting the most requirements. The model is built step by step and adapted to describe the analyzed decision-making system. The model is ultimately conceptualized in three layers: interactions between decision makers, individual decision-making, and changing problem perception. Significantly, the model is adapted to include the concept of a problem perception. The model has some limitations, as the face validation was not included in the modelling process and several mechanisms remain unspecified, such as the interactions between decision makers. Two decision situations that network operators themselves described facing in their current decision-making were used to run the model qualitatively. Given the limitations, the model was still able to provide insight into the decision-making of network operators. The model describes that decision makers respond to uncertainty by looking for more information, posing question to what extent reflection on the problem perception are also used to cope with uncertainty. The problem perception influences the model substantially, suggesting that a change in perception would make decisions such as the dilemma of prioritizing clients more adequate. Further implementation of the model is recommended to provide insight into the decision-making process to help facilitate the energy transition.","energy transition; Decision Making; Problem framing","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:711d725c-2efa-4949-8db1-c17830df4883","http://resolver.tudelft.nl/uuid:711d725c-2efa-4949-8db1-c17830df4883","Development of a framework to estimate the condition of mechanical, electrical and plumbing systems with Bayesian Networks","Ramousse, Benjamin (TU Delft Civil Engineering & Geosciences)","Morales Napoles, O. (graduation committee); Straub, A. (mentor); Mendoza Lugo, M.A. (graduation committee); van den Broeke, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","As the Western European building stock ages, attention is increasingly allocated to the maintenance of building components, particularly mechanical, electrical and plumbing (MEP) systems. Although the latter are essential in ensuring the correct operation of a building and the safety of its occupants, they remain the crafts where the most defects are observed, resulting in significant material costs. This phenomenon partly finds explanation in the shortcomings of current condition assessment methods for MEP systems, which often poorly describe the actual state of the components.
As a result, novel approaches to estimate the condition of these building elements are investigated by industry participants. Among them, Bayesian Networks (BNs) are probabilistic models that progressively gain momentum for real-life applications. In the context of the present research, their relevance is twofold: (i) their graphical structure allows to visually model influence between large sets of variables, and (ii) they robustly handle missing data. Unfortunately, like most probabilistic models, their quantification requires extensive amounts of empirical data which is extremely sparse for MEP systems. Therefore, this thesis attempts to answer the following question: ""How can Bayesian Networks be applied to estimate the condition of mechanical, electrical, and plumbing systems in the absence of empirical data?""
In their `traditional' discrete form, BNs have a limited range of applications. First, they do not allow the integration of continuous variables, which for numerous physical problems is a major drawback. Second, the number of parameters to quantify discrete networks quickly becomes intractable as the number of states and parents increases, again limiting their implementation for complex systems. Therefore, Non-Parametric Bayesian Networks (NPBNs) are adopted in this research, whose formulation is based on (conditional) rank correlations (dependence) and marginal distributions associated to each of the network's variables.
To overcome the challenge imposed by the limited availability of empirical data, several studies have investigated the use of field experts' judgments for the quantification of BNs. While the elicitation of univariate distributions has been thoroughly studied, the assessment of dependence remains an emerging topic in structured expert judgment (SEJ) literature. Consequently, this thesis focuses on the development of a method for the assessment of rank correlations by field experts, whereas a lesser effort is allocated to the elicitation of the marginal distributions.
Existing research has delved into the use of two approaches for the elicitation of dependence: statistical and conditional fractile estimates. Here, the suitability of probabilities of concordance, a third type of probabilistic assessment, is investigated. Under the normal copula assumption, common in the context of SEJ, unconditional rank correlations can be retrieved from probabilities of concordance using a set of closed-form relations. Then, the individual experts' opinions are aggregated using dependence-calibration, a performance-based aggregation method gaining momentum for NPBNs. The application of these approaches to MEP systems in discussed later in this summary.
The first step in the creation of a BN is the definition of a graph. Therefore, a classification of the MEP systems is developed and constitutes the foundation of the network. Subsequently, the factors influencing the condition of the sub-systems classified previously are identified. The literature reviewed suggests a distinction between two types of relationships: those between exogenous variables (e.g. maintenance or environmental conditions) and building components, and those between components themselves. Following the identification of these relationships, a `global' graph encompassing all MEP systems arose.
Before engaging in the quantification of this network, it is crucial to interrogate its feasibility given the time span of this research and the absence of empirical data. With 23 variables and over 30 edges, the assessment of all correlations (leave alone of the marginal distributions) is practically unrealistic solely based on experts' judgments. Therefore, the remainder of the report presents a case study on air handling units (AHUs), for which the elicitation method is implemented. The graph defined for AHUs is illustrated in the figure below.
Questions for the assessment of probabilities of concordance related to the newly created graph are then formulated, taking a similar form as follows: ""Two buildings A and B are randomly selected among all non-residential buildings in the Netherlands. Given that the AHU in building A is maintained more regularly than in building B, what is the probability that the coils are in better condition in building A than building B ?""
Similar questions were created for each of the network's edges and presented to a panel of five experts, resulting in five individual correlation matrices. As mentioned previously, the experts were then evaluated using seed questions in the context of dependence-calibration. Additional questions were thus asked to the participants with regards to precipitation in the Netherlands, a choice motivated by the absence of data related to AHUs and mechanical systems for calibration. The respondents' calibration scores were then calculated using their assessments on the seed questions and the correlation matrix retrieved from empirical data. Finally, a combination of the experts' dependence structures was built using their calibration scores in a weighted average, resulting in a unique set of correlations which were implemented in the NPBN.
Lastly, two of the five experts consulted previously participated in the elicitation of the marginal distributions, either by the direct provision of the distribution or through answers to qualitative statements. The resulting model includes both marginal distributions and (conditional) rank correlations, and is ready to be validated.
To conclude, the NPBN is validated. While the lack of empirical data prevents the quantification of the model's predictive validity, a scenario analysis is performed to observe its output under different input combinations. It reveals that the exclusion of the environmental conditions from the network results in unrealistic outcomes, thus refuting an assumption made earlier in this research. Moreover, a global sensitivity analysis is conducted based on Sobol's method, which demonstrates the high contributions of all inputs to the outputs' variances. Consequently, evidence on any of the inputs substantially reduces the uncertainty in the output distributions, a comforting conclusion on the relevance of the chosen factors.
The final result of this thesis is a flowchart illustrating the construction process of a Non-Parametric Bayesian Network. It provides academics and practitioners with a foundational framework for the creation of Bayesian Networks, irrespective of the quantification method selected. While this thesis proposes the implementation of a particular expert-based elicitation method, the most suitable approach should be chosen with regards to the system modelled.
To bridge the gap between understanding and implementing innovations within infrastructure programme, this research aims to address the issue of ineffective innovation utilization in multi-project settings based on suppliers’ perspective. The research approach involves conducting three case studies where innovations are developed and implemented in multi-projects as part of infrastructure programmes. 11 suppliers, including engineering companies and contractors, were interviewed to identify the elements of innovation in multi-projects, including barriers and enablers.
The study reveals seven specific barriers and five enablers related to innovation in multi-projects within infrastructure programs. Notably, it highlights the previously overlooked challenges related to time in innovation, arising from prolonged processes and programme durations. The primary innovation challenge in multi-projects revolves around technical challenge, tied to iterative processes and uncertainty management, irrespective of the type of innovation. These challenges, inherent to innovation, emphasize the need to strike a balance where time supports rather than impedes innovation. The study also confirms known barriers and enablers from project literature. With the choice of a suitable contract form, such as framework or alliance contracts, emerges as a significant enabler. However, contracts can become barriers when they lack risk-sharing mechanisms and still promoting competition, hindering knowledge exchange with external parties. Client-related barriers include the client’s organization size/structure and clients’ inflexible demands. Additionally, the study identifies drivers, inputs, preconditions, outputs, and outcomes of innovations in multi-projects. Preconditions unique to multi-projects include strong organizational leadership, vision, commitment to innovations, financial capabilities, and the selection of an appropriate contract form. Beyond the typical inputs, suppliers stress the importance of certainty regarding the volume of work or the number of projects within multi-projects.
Based on research findings, a solutions framework was developed to facilitate the implementation of innovations in multi-projects. It consists of practical action plans and innovation boundaries designed to address barriers to innovation in multi-projects. Suppliers and public client organizations are recommended to use the Innov-Infra framework during project/program initiation (Part I) and project/program implementation (Part II), led by a designated person within the organization involved in multi-project innovations. Future research should explore public clients' perspectives in similar research across various programme types and sectors, employing qualitative research methods to delve deeper into procurement for innovations and the bundling of supply and demand in contracts.","Infrastructure Programme; Innovation in the construction industry; programme management; construction programme; Infrastructure Management","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:50a042d9-7f79-4f54-80fd-028ae7990975","http://resolver.tudelft.nl/uuid:50a042d9-7f79-4f54-80fd-028ae7990975","Investigating the Influence of Illumination and Temperature on the Impedance Characteristics of Industrial c-Si Solar Cells","Naoom, Salem (TU Delft Electrical Engineering, Mathematics and Computer Science)","Manganiello, P. (mentor); van Nijen, D.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","2025-09-28","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:78fca7a6-da24-40bc-abea-1d54b1d63638","http://resolver.tudelft.nl/uuid:78fca7a6-da24-40bc-abea-1d54b1d63638","Operations Management: Creating a maintenance schedule for onshore wind turbines","Vemuru, Dhruv (TU Delft Electrical Engineering, Mathematics and Computer Science)","Watson, S.J. (mentor); Pfenninger, Stefan (graduation committee); Amoiralis, Filippos (graduation committee); Delft University of Technology (degree granting institution)","2023","Routine maintenance is an essential requirement for the optimal functioning and longevity of any technical system that has been constructed. The issue occurs when the maintenance planning for such a structure becomes necessary. The adverse weather conditions prevalent in the Netherlands contribute to the heightened danger associated with the duty of a maintenance worker. Additionally, it is vital to comprehend the optimal time frame for minimising revenue losses when allocating time towards maintenance activities rather than operational tasks.
The objective of this project is to create a methodology for enhancing and improving the management of asset maintenance planning. This process is carried out by developing two statistical models. The primary objective of this study is to examine the feasibility of utilising API data from wind forecast sites to provide accurate production forecasts for individual wind turbines up to a 7-10 day period in advance. Furthermore, this study aims to forecast the electricity prices for the upcoming week by analysing historical data and recent day-ahead pricing. The outputs generated by these models are subsequently aggregated to yield a single outcome in terms of revenue.
The predictive model for electricity prices utilises data sourced from ENTSOE to generate an aggregate of electricity prices spanning the previous 7-8 years. This aggregate is subsequently adjusted by incorporating the electricity prices observed within the most recent three-week period. The model exhibits high accuracy in predicting day-ahead pricing during weekdays, but its performance is not consistently replicated on weekends.
The wind turbine output forecast model utilises operational archival data and high-resolution 10-day forecasts obtained from the European Centre for Medium-Range Weather Forecasts (ECMWF). A correlation has been established between the archival data and the historical turbine data provided by Green Trust Consultancy for the designated wind farm. The aforementioned correlation is subsequently employed to establish a connection between the output of a turbine and the real-time forecast data. The accuracy of the power generation forecast decreases from the initial day to the tenth day of the projection, resulting in inconsistent outcomes.
The combined outputs of these two models yield a solitary outcome that aids in predicting the potential revenue loss for the selected turbine during the period of maintenance-induced idleness. The limitations inherent in both models contribute to the generation of imprecise outcomes inside the revenue model pertaining to wind turbines. The model accurately predicts outcomes in two out of the four tested scenarios.
Several factors contribute to this disparity, including the scarcity of surgical equipment. Despite the limited availability of equipment, a staggering 40% of donated equipment in sub-Saharan Africa is ultimately discarded in landfills due to its short lifecycle.
This is attributed to the lack of consideration for the local use context in the design of medical devices. For example, medical devices are not robust enough, can not be repaired locally due to lack of access to spare parts and might not function because of the harsh working environment. (i.e. fluctuations in the electricity grid).
Laparoscopy offers much shorter recovery times and reduces risks of infection, thus improving clinical outcomes and allowing individuals to return quickly, thus enhancing the livelihoods of families that might rely on a single source of income.
Recognising that equipment availability is an issue in hospitals, I designed EasyTower - a practical and cost effective design. EasyTower integrates an outer casing to securely store the devices required for laparoscopy, such as the diathermy, ENT drill, and insufflator. Seamless connectivity to an uninterruptible power supply ensures continuous operation through power outages.
The tower includes a laptop holder and a drawer for accessories. Instead of using the typical laparoscopic stack, costs are drastically reduced by using a laptop to replace the light source, screen and image processing, thus eliminating the need for expensive equipment, by connecting to a scope that works with a standard laptop or tablet.
EasyTower is designed to be mobile, thus ensuring equipment can be moved and utilised in various hospital settings as needed. It can easily be opened up and used directly. To reduce the risk of damaging the medical equipment, Easy tower folds into a safe, lockable storage space (like a flightcase), protecting equipment from frequent impacts with e.g. patient beds, thus ensuring a longer life span.
Produced from off-the-shelf components which can be easily procured in Africa, EasyTower can be produced locally and spare parts can be easily sourced, making it easy to repair locally. Easy tower reduces equipment needs and protects equipment from breaking, either due to impacts or due to electrical problems. In this way equipment can have a longer lifespan, thus preventing the equipment from ending-up in the landfill, contributing to a more accessible and sustainable healthcare system.","surgery; global surgery; laparascopy; Design for emerging markets; Kenya; Healthcare; healthcare design; LMICs","en","master thesis","","","","","","","","","","","","Integrated Product Design | Medisign","",""
"uuid:c6002e8c-4437-405d-a88d-a92c01404929","http://resolver.tudelft.nl/uuid:c6002e8c-4437-405d-a88d-a92c01404929","The importance of sociodemographics in transport policy: an application of Latent Class Analysis to explore the impact of sociodemographics on travel behaviour profiles","Eichenauer, Nadine Martje (TU Delft Civil Engineering & Geosciences; TU Delft Technology, Policy and Management; TU Delft Mechanical, Maritime and Materials Engineering)","van Oort, N. (graduation committee); Annema, J.A. (graduation committee); van Wee, G.P. (mentor); Delft University of Technology (degree granting institution)","2023","The relation between sociodemographics and travel behaviour (TB) patterns is relevant to understand to devise policies that can direct people towards more sustainable travel options as well as to be aware of people’s possibly restricted mobility for the sake of mobility justice. Especially in the Netherlands, both of these interests are relevant to the aim of broad welfare which has been formulated in 2019. In this research Latent Class Analysis was performed on the Dutch National Travel Survey combined datasets from 2018 and 2019 to uncover TB patterns. This analysis was conducted for necessary travels and leisure travel purposes and resulted in 7 distinct classes (8 for necessary travels) which each showed clear associations with specific sociodemographics. The results could be related to axes of disadvantage previously identified in a literature study and were subsequently assessed with 7 experts from the PBL Netherlands Environmental Assessment Agency. It was found that people who conform to many of the disadvantaging factors identified, such as low income, low education levels and women travel with active modes as well as public transit more often, possibly due to general modal disadvantage (i.e. difficult or no access to a car). Travellers who travel with children but besides that have other advantaging factors (high income, older age) or travellers who live in rural areas and thus have spatial disadvantages, travel by car more often, also for very short distances. Against initial expectations, the research did not necessarily show extensive difference in distance travelled for travellers with disadvantaging factors. Recommendations concluded from these findings include the need to detach car use from car ownership, by e.g. promoting car-sharing more as well as enabling travelling with young children to be possible on public transit (physically as well as information wise).","Latent Class Analysis; Travel behaviour profiles; sociodemographics; Mobility Justice; spatial disadvantage; modal disadvantage; societal disadvantage","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:47c2baa6-b7a2-439b-b3c5-d0748c05621f","http://resolver.tudelft.nl/uuid:47c2baa6-b7a2-439b-b3c5-d0748c05621f","Meta-learning for few-shot on-chip sequence classification","den Blanken, Douwe (TU Delft Electrical Engineering, Mathematics and Computer Science)","Frenkel, C.P. (mentor); Makinwa, K.A.A. (graduation committee); Verhelst, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The growing interest in edge computing is driving the demand for more efficient deep learning models that fit into resource-constrained edge devices like Internet-of-Things (IoT) sensors. The challenging limitations of these devices in terms of size and power has given rise to the field of tinyML, focusing on enabling low-cost machine learning on edge devices. Up until recently, the work in this space was primarily focused on static inference scenarios. However, a prominent issue with this is that models cannot adapt post-deployment, leading to robustness issues with shifting data distributions or the introduction of new features in the data. However, at the edge, full on-device retraining, or communicating all new data to a central server, is infeasible: this necessitates the development of data-efficient learning algorithms to adapt locally and autonomously from streaming data. This challenge at the intersection of edge computing and data-efficient learning is currently an open challenge.
In this thesis, we propose to solve this challenge with meta-learning. To clarify in which way the application of meta-learning is the most suitable for edge hardware, for the first time, a principled approach for meta-learning at the edge is outlined and investigated in three parts.
The first part of this thesis details the selection of a suitable neural network architecture for few-shot learning over sequential data. By not being fixated on one architecture from the start, it is possible to explore different approaches to learning over sequences of temporal data, leading to the identification of the most effective architecture for generalizing from limited temporal examples. The quantitatively evaluated architectures are a recurrent neural network (RNN), a gated recurrent unit (GRU), a long-short-term memory (LSTM) and a temporal convolutional network (TCN). We show that TCNs outperform all architectures, while GRUs and LSTMs have a lower activation memory requirement. However, the latter require a linearly increasing number of multiplications with input sequence length, while it scales logarithmically for TCNs. Our results show that TCNs therefore provide the most favorable trade-off for low-cost temporal feature extraction at the edge.
The second part of the thesis focuses on the algorithmic developments of the few-shot learning setup. Building on recent results from machine learning research, we highlight how meta-learning techniques primarily rely on learning high-quality features that generalize well. Taking into account hardware-driven considerations such as memory and compute overheads and through detailed quantitative analyses, we demonstrate that the best performance-cost trade-off is reached with a simple supervised pre-training scheme, where on-chip learning is performed by comparing the outputs of a TCN-based feature extractor with Manhattan distance. We also analyze the impact of quantization on this trade-off and, accordingly, we select a scheme with 4-bit logarithmic weights and 4-bit unsigned activations...","meta-learning; Temporal Convolutional Neural networks; Edge Computing; digital design; Machine Learning; ASIC; silicon; Deep Learning; Edge AI","en","master thesis","","","","","","","","2025-09-28","","","","Electrical Engineering","","52.0022, 4.3736"
"uuid:8b49b381-3b49-4f3a-97e0-ca3bd12acc66","http://resolver.tudelft.nl/uuid:8b49b381-3b49-4f3a-97e0-ca3bd12acc66","A Change of Matter: The Alps our living freshwater source and reservoir","Stecher, Emilie (TU Delft Architecture and the Built Environment)","Calabrese, L.M. (mentor); Kuzniecow Bacchin, T. (mentor); Delft University of Technology (degree granting institution)","2023","The following project falls within the Rights of Nature movement as a response to the climatic crisis. It is situated in the Alps. From the moment Hannibal managed to lead his Carthagnian army, including their elephants, through the Alps until the construction of modernist glacial skiing resorts and monumental hydroelectric power plants, the Alps have been seen as an object to be overcome and exploited. This resulted in extensive infrastructural projects throughout the whole territory and at all elevations. In order to restore the agency of this living entity, the project repositions the Alps as an active subject with their own right. Moving through three phases – listening to - speaking with - negotiating on behalf of the Alps – results in the foundation for the Parliament of the Alps, composed of a group of people which will act as guardians of the Alps, and the illustration of their most urgent project.
The site of the project is the Gepatsch glacier in the Ötztaler Alps in Austria, one of the largest and most rapidly melting glaciers in the territory. Glaciers are a record of time, connecting past, present and future. On one hand, the memory of the past – an archive of human interventions – shedding light on vernacular knowledge practices, gathered in their yearly deposited layers of ice and atmospheric particles. On the other hand a holder of meaning for the future, as their disappearance is causing major changes and challenges within the biophysical environment of diverse biotic and abiotic socioeconomic and cultural systems.
The Alps are a true representation of the web of life, as the interdependencies of each part of their ecosystem are vital for the survival of each species. Many elements contribute to it, such as their East to West orientation, their ability and responsibility to collect water from the atmosphere, store it for dry seasons and years, carry it through their veins, and share it equitably and steadily with all living beings which are dependent on freshwater to survive – from the top of the mountains to the deltas of Europe. With increasing temperatures and the further realization of infrastructural projects for the sake of European visions on green development, which often neglects local conditions, this essential role and responsibility of the Parliament of the Alps, to share freshwater democratically, is at risk.
The changing states of water, from frozen to fluid, brings with it the need for all places and ecosystems connected to the Alpine water system to adapt to non-glacially influenced conditions.
By envisioning an Alpine Ocean, which emerges from the synergy of water and soil, and empowering the natural dynamics, which were defined through the establishment of prominent characteristics of the Alpine range – erratic, connected, mosaic-like and cyclic – the transition shall secure the democratic share and availability of freshwater for all living beings, now and for future generations.","Climate change; Posthumanism; Rights of Nature; Deglaciation; Alpine water system; Ötztaler Alps; Gepatsch Glacier; Kulturlandschaft; Ocean","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Transitional Territories","","46.833333°, 10.75°"
"uuid:047a0805-e54e-45b6-acfa-9e6fac8aa6e8","http://resolver.tudelft.nl/uuid:047a0805-e54e-45b6-acfa-9e6fac8aa6e8","The ex-post performance evaluation of urban consolidation centers in the Netherlands","Sweere, Sem (TU Delft Civil Engineering & Geosciences)","Annema, J.A. (mentor); van Binsbergen, A.J. (graduation committee); van Luik, Christiaan (graduation committee); Lubberding, Erik (graduation committee); Delft University of Technology (degree granting institution)","2023","","Urban consolidation center; Ex-post evaluation; performance evalu; City Hub; Consolidation","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:dc6fce1d-d274-4d41-993d-2cc37bd6f6fb","http://resolver.tudelft.nl/uuid:dc6fce1d-d274-4d41-993d-2cc37bd6f6fb","Exploring the potential of AI to support team effectiveness in interdisciplinary product development","Kordian, Pajam (TU Delft Industrial Design Engineering)","Lloyd, P.A. (mentor); Chandrasegaran, R.S.K. (mentor); Delft University of Technology (degree granting institution)","2023","class=""MsoNormal"">While robots and automation in general have been replacing humans in repetitive and routine labour for many years, the role of AI is considered to develop from mere tools to integral teammates. However, recent developments in artificial intelligence (AI) are redefining the role of AI from being mere tools to becoming integral teammates within Human-Autonomy Teams (HATs). The emergence of advanced large language models (LLMs) has extended the capabilities of AI, enabling machines to mimic cognitive human functions and thus marking a new era of collaboration between humans and AI. This transition has attracted many companies across diverse industries, such as medicine, manufacturing, spaceflight, and construction, where the development and integration of HATs are actively explored.
As the landscape of human-AI collaboration continues to evolve, there is a critical need to delve deeper into understanding how these teams will function. While research in the field of Human-Autonomy Teams has been expanding rapidly, most studies have been limited to controlled laboratory settings. Therefore, it is imperative to conduct more field research to assess the real-world effectiveness of HATs, particularly in workplace contexts.
This research focuses on exploring the potential of AI-powered systems to support team effectiveness, with a specific implementation context within ThoughtWorks. It aims to identify and address the challenges of human collaboration in interdisciplinary product development teams and examines how AI can be leveraged to enhance team effectiveness. By proposing speculative AI-driven solutions to these challenges, the study highlights not only the transformative potential of technology but also the symbiotic relationship between humans and machines in the context of teamwork.
The outcome of this research offers a futuristic vision of Human-AI teamwork scenarios and provides a framework for examining AI's role within these scenarios to augment team effectiveness. The study combines a comprehensive analysis with in-depth investigation, contributing to a holistic understanding of the roles, capabilities, and interactions of AI within teams, as well as the implications of these advancements for the future of work.","Human-Autonomy Teams; Human-AI collaboration; Team Collaboration","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:30626b7b-6f18-4d27-9e82-a7e6a8c0d45a","http://resolver.tudelft.nl/uuid:30626b7b-6f18-4d27-9e82-a7e6a8c0d45a","ARchitechturing the journey: Speculating integration of immersive technologies in autonomous driving","Kulkarni, Shreyas (TU Delft Industrial Design Engineering)","Huisman, G. (mentor); Lupetti, M.L. (mentor); Rentschler, Verena (mentor); Delft University of Technology (degree granting institution)","2023","Immersive technologies are redefining user interactions in the evolving digital landscape, promising deeper and almost physical digital experiences (Alpers et al., 2020). Concurrently, the mobility world is undergoing a paradigm shift, with an emphasis on advanced autonomous solutions. Such autonomy enables users to reclaim and repurpose their commuting time, fundamentally altering how people interact with their vehicles and ushering in an era of enhanced on-road experiences.
Mercedes-Benz hopes to be at the epicentre of these tectonic shifts by incorporating immersive technologies into their upcoming fleet. Their goal is to fundamentally transform user experiences, not just technological ones. Realising this ambitious vision, however, necessitates an in-depth exploration of this domain to ensure that the proposed innovations provide tangible value to end-users. This thesis delves into the complexities of such plausible futures, focusing on users’ perceptions and expectations of these technological interventions.
This thesis combines speculative design and context mapping methodologies in a synergistic manner. The former allows users to empathise with potential realities by facilitating the creation and exploration of future scenarios. Context mapping, on the other hand, deepens our understanding of user needs, concerns, and aspirations, resulting in more nuanced and resonant solutions.
The thesis begins this investigation by delving into the theoretical underpinnings of XR in AVs, focusing on the intersections of autonomy and immersion levels. Following trend and stakeholder analysis, the existing tensions in this space were revealed. Five meticulously crafted future scenarios sparked conversations with selected participants during context mapping sessions. Thematic analysis revealed shifting vehicle perceptions, the changing nature of space within autonomous vehicles, and the criteria for successful XR integration in AVs. This process also shed light on the specific dynamics and implications of the tensions highlighted in the scenarios. As a result, some tensions were validated, new values emerged, and inherent biases towards certain values became evident.
Unsurprisingly, safety emerged as the top priority, with tensions especially high during control negotiations between systems and users, i.e. in Takeover (TO) scenarios. To address this criticality, the research focused on creating an ideal TO journey, with the goal of seamlessly transitioning a user from an immersive state to assuming vehicle control, all while minimising safety-related concerns. This design process, which was subjected to expert scrutiny, resulted in a comprehensive experience map and a detailed video elucidating the TO experience, capturing the intricate dynamics of user-system interactions.
The feedback from these expert consultations not only validated but also enriched the research, pointing out areas for further investigation and refinement. The resulting experience video was useful in focus group sessions, allowing the research team to identify elements that resonated and those that needed to be reconsidered.
Finally, the thesis emphasises the importance of collaborative problem-solving by highlighting key tensions, most notably the delicate balance between immersion and safety. Furthermore, it lays out a strategy for future research in this area, emphasising the robust potential of speculative design as an invaluable research tool, particularly in corporate settings. This study provides a blueprint for how companies like Mercedes-Benz can navigate the convergence of immersive technologies and autonomous vehicles, ensuring a future in which technology enhances, rather than dictates, the human experience.","Autonomous Vehicles; human machine interaction; Takeover request; Speculative Design; Context mapping; Levels of autonomy; Levels of immersion; XRinAV; User perception study","en","master thesis","","","","","","","","2024-09-28","","","","Integrated Product Design","",""
"uuid:009d5078-d129-4037-a3d7-85ab4e5c435e","http://resolver.tudelft.nl/uuid:009d5078-d129-4037-a3d7-85ab4e5c435e","Building a Sustainable Future of Education: An Investigation into the Sustainability of Digital Education Technologies in European Higher Education Institutions","Huang, Morris (TU Delft Technology, Policy and Management)","Okur, Ö. (mentor); van der Voort, H.G. (graduation committee); Huang, Yilin (graduation committee); Delft University of Technology (degree granting institution)","2023","The digitalization of European universities’ tool infrastructure has transformed how institutions operate and deliver education to students, from sharing content on learning management systems to hosting lectures on video-conferencing platforms. However, despite many new benefits of digital education technologies (DETs) and their contribution to reaching the United Nations Sustainable Development Goal 4 targets for Quality Education, it also comes with new challenges like user privacy, environmental impacts, and shifting power dynamics between institutions and service providers. Additionally, concerns have been raised regarding the responsible development and longevity of the university’s digital infrastructure given the recent rapid digitalization trend and how new DETs are selected.
Sustainability assessment can be a useful model to evaluate an institution’s DET selection process as it provides a holistic evaluation through a multidimensional perspective to develop a more responsible and future-proof approach to digital education infrastructure. However, a multidimensional sustainability analysis has not been applied in the context of DET selection. Therefore, it is unclear to decision-makers what sustainable DET looks like and what role sustainability plays in the DET selection process. This study addressed this gap by answering the following question: How are European higher education institutions incorporating sustainability into selecting digital education technologies?
The sustainability dimensions of DETs were formulated by conducting a literature review of contemporary models, encompassing the environmental, social, and technological aspects. A more sustainable DET increases the positive impact along each of these dimensions. An environmentally sustain- able DET preserves and protects natural resources by reducing the environmental impact through its hardware and software. A socially sustainable DET increases equal access to education for all learners, regardless of socioeconomic status, disabilities, or geographic location while preserving individual privacy. A technologically sustainable DET is long-lasting, possesses the necessary functionalities, and balances a tool’s simplicity, openness, and ownership. While most sustainability models include the economic dimension, due to the university’s non-profit nature and the common prioritization of economic factors above other criteria in decision-making, this study excluded the economic dimension to examine the other dimensions more closely. Furthermore, the pedagogical dimension was omitted due to its sustainability considerations typically arising after the implementation of a DET, rather than during its selection stage and therefore is beyond the scope of this research.
Four key actors involved in the DET selection process were identified through an actor analysis. These include the university’s Head of IT who oversees the institution’s infrastructure system and their IT tool specialists who provide technical expertise, service providers whose products comprise the DET market, and education associations who help universities procure DETs. Ten semi-structured interviews were conducted with European university Heads of IT to gather data on the current DET selection process and the challenges institutions face when incorporating sustainability into DET selection.
The sustainability dimensions were used in conjunction with grounded theory open and axial coding analysis to evaluate the sustainability of current DET selection processes. The results showed that decision-makers predominantly utilize the EU-regulated tendering process to select DETs, which comprises minimal sustainability criteria while assigning significant importance to the economic factor (i.e., DET price). Additionally, interviewees shared they prioritize social and technological sustainability, specifically the privacy, data security, and functionality of DETs over other sustainability criteria. On the other hand, environmental sustainability is underrepresented in DET selection criteria. This is primarily due to the lack of available data and initiatives collecting DET environmental impact metrics, making it difficult for decision-makers to create relevant requirements and kickout criteria to compare DET options based on environmental sustainability. Finally, the analysis illustrated the three most common challenges that hinder sustainable DET selection are the limited financial and human resources, the insignificant or lack of sustainability criterion weighting, and the long and inflexible tender process.
Overall, this study contributes to filling the knowledge gap in understanding the sustainability of current European universities’ DET selection process and highlights key challenges decision-makers and researchers should focus on to improve the sustainability of digital education technologies. Future research can build on this work by expanding the scope beyond Northwestern European institutions, interviewing other decision-maker actors, and developing a standardized selection process for sustainable DET selection.
Additionally, recommendations were made to the four actor groups as well as general advice for universities to increase DET sustainability. The Head of IT should prioritize the environmental aspect in DET criteria and collaborate with service providers to address environmental impact metrics. They should also encourage the development of new tools by teachers and students. The IT tool specialist should engage in co-development with service providers for better tool support and to ensure a secure and functional digital infrastructure. Service providers need to align their products with sustainability criteria, propose pilot projects to universities, and share environmental impact metrics with relevant stakeholders. Education associations should organize collective efforts to enhance the sustainability of the DET tendering process and offer streamlined services like joint procurement and model contracts to simplify the selection process. Universities could transition to renewable energy to reduce DET’s carbon footprint, implement e-waste recycling and disposal programs, and support research into sustainable DET.","Digital education; Sustainability","en","master thesis","","","","","","https://docs.google.com/spreadsheets/d/1K4XZEIYKSaIPBHQd7Cb-a6sEV8gq7yqTiyXoc1o3dNA/edit?usp=sharing Codebook","","","","","","Engineering and Policy Analysis","",""
"uuid:7d45c146-6715-4ffb-86c0-2e3e1ddc6175","http://resolver.tudelft.nl/uuid:7d45c146-6715-4ffb-86c0-2e3e1ddc6175","A new way of using persuasive games for multi-actor problems: An explorative case study on the tightness of the labor market of the Port of Rotterdam","Lee, Thijme (TU Delft Technology, Policy and Management)","Kortmann, Rens (mentor); van Beers, Cees (graduation committee); Delft University of Technology (degree granting institution)","2023","This master's thesis explores the potential of persuasive games for addressing challenges in multiactor systems. For many multi-actor system problems, the different actors need to take action to handle these problems. Persuasive gaming is proposed as a method that can stimulate taking action. This thesis identifies a gap in the applicability of existing persuasive games to multi-actor systems problems and proposes an alternative approach: game-mediated persuasive strategy. The research uses an explorative strategy, defining seven criteria for evaluating the effectiveness of this strategy. A case study on the labor shortage in Rotterdam's port area is conducted, featuring a game utilizing a cat cafe metaphor to represent the labor market. The game is played by employees from both companies in the port area and the Port of Rotterdam itself. With observations and surveys, the effect of the game is evaluated. One of the key insights is the emergence of collaboration as a crucial factor in tackling the labor shortage, an outcome not predefined in the game but contributed by the players. Therefore, it is recommended to the Port of Rotterdam to invest in collaboration between companies. While the findings are based on a single case study and thus lack generalizability, they show the promise of this underexplored approach of persuasive gaming in addressing multi-actor system problems. In conclusion, the study underscores the potential of game-mediated persuasive strategy in promoting collaborative action among stakeholders in multi-actor systems. Although further research with a larger participant pool and across various contexts is necessary for broader validation, this study shows potential for a new method to help actors with multi-actor system problems. Gamemediated persuasive strategy deserves more research to further validate this method.","Multi-actor systems; Persuasion; Persuasive Gaming; Serious gaming; Labor Market; Gaming","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:ce1aaa10-671f-4d69-9d1c-c63d331539d0","http://resolver.tudelft.nl/uuid:ce1aaa10-671f-4d69-9d1c-c63d331539d0","Trust the System: Auditing Privacy- preserving Medical Data Analysis in a Distributed Manner","van Assen, Jorrit (TU Delft Electrical Engineering, Mathematics and Computer Science)","Erkin, Z. (mentor); Khosla, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Recent developments in the capability and availability of small internet of things devices has meant that networked medical devices, like networked implants and wearable monitors, have become more widespread. This data is invaluable for solving pressing global healthcare concerns, like eectively monitoring and treating heart patients. The European Union has announced plans to create an international collaborative network for sharing medical data. However, such a system will have to overcome some major unsolved issues regarding security and privacy. Citizens surveys have stressed the im-portance of privacy protection and transparency in recipients. Governments have appointed administrative bodies tasked with supervising the processing of personal data, or assuring healthcare quality. However, medical health-care providers have signalled concern with unrestricted governmental access to patient data. In this thesis, we propose a system for auditable medical data sharing compatible with privacy-preserving technologies. We demon-strate a method to securely generate encryption keys which are recoverable using an audit key.
We combine this with distributed key generation to cre-ate a board of trusted members, with each a share of the audit key. Board members can work together to collaboratively audit communication between healthcare providers and medical researchers. We demonstrate that the key generation is secure and ecient. We show that auditability is guaranteed under the assumptions that at least one of the communicating parties is hon-est. Our system bridges the gap between privacy-preserving medical data analysis and governing capabilities by assuring auditability without handing this power over to a single party. In real world scenarios, this system can be used to create international level of data sharing, as is explored for the European Health Data Space. The data inspection can be combined with already existing legislative power to detect fraudulent behavior and perform physical audits when required. The system can be extended to facilitate reproducible medical research.","Medical Data Sharing; Privacy Enhancing Technologies; Key Generation","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:3c11cbdf-188d-4bc3-90eb-a4acfebe0ed3","http://resolver.tudelft.nl/uuid:3c11cbdf-188d-4bc3-90eb-a4acfebe0ed3","Human Identification Using Automotive Radar","Allemekinders, Emma (TU Delft Mechanical, Maritime and Materials Engineering)","Caesar, H.C. (mentor); Mazo, M. (graduation committee); Palffy, A. (graduation committee); Fioranelli, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","In this study, we perform human identification using accumulated radar point clouds in an outdoor scene. We employ PointNet as classification network and explore the impact of adding radars' non-spatial features as input, namely doppler velocity and radar cross section (RCS). Furthermore, we encode time as an additional time identity dimension to each point within the accumulated point cloud. We examine the effects of normalizing the RCS values, canonicalizing the spatial dimensions of the point cloud, as well as normalizing the doppler velocity with respect to this canonicalization. We examine three different PointNet configurations to understand the impact of the TransformNet blocks (T-Net) within the PointNet architecture on our six-dimensional radar data input. We have created a realistic outdoor dataset for training and evaluation purposes. Our approach of using the unnormalized six-dimensional radar data on the PointNet architecture without the two T-Net blocks achieves the highest performance of 73.4 % on our test set.","radar; PointNet; Human Identification; Point Clouds","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:0047ba66-5c48-4512-8c1b-7c6d5a209911","http://resolver.tudelft.nl/uuid:0047ba66-5c48-4512-8c1b-7c6d5a209911","Optical Leak Test Method For Assessing The Wafer Bond Quality","PRABHAKHARAN, ASHWINRAJ (TU Delft Mechanical, Maritime and Materials Engineering)","Steeneken, P.G. (mentor); Norte, R.A. (graduation committee); Pleeging, Richard (mentor); van Beek, Joost (mentor); Rietjens, Gerard (mentor); Delft University of Technology (degree granting institution)","2023","Hermeticity is a measure of how well a package is leak-tight. Many Micro-Electro-Mechanical Systems (MEMS) sensors, actuators, and microelectronic devices need a defined cavity environment for optimal performance, hence measuring the package leak rate is critical for lifetime prediction. MEMS devices are generally packaged through the wafer-bonding technique. The MEMS device is produced on a wafer with a cavity and bonded to a cap wafer to seal the hole. Reducing the cap wafer thickness allows it to deflect due to the cavity interior-exterior pressure differential. Consequently, if leakage occurs, the deflection will
change. By measuring these deflections, it is possible to quantify leak rates.
In order to use it as an in-line testing process, this research aims to determine the accuracy of the deflection method for determining the leak rates. To achieve this, our approach involved designing and leak testing test structures (or devices) using an experimental setup that can vary pressure, supply desired species
inside a vacuum chamber, and measure deflection using an interferometer to determine leak rates. Deflections are converted to leak rates using a formulated analytical expression, subsequently utilized to determine the error involved in measuring leak rates.
Using the experimental setup, the test devices were effectively characterized for sensitivity using pressure-induced deflection measurements, with experimental sensitivity values closely matching the theory. Further, air leak testing was performed on devices interconnected with nanometer-gap size leak channels to
gain first-hand knowledge of leakage. Experimental leak rates matched well with analytical models, proving that flow through devices having leak channels can be characterized. Ultimately, the setup enabled successful helium leak testing of devices without any defined leak channels.
The helium leak-tested samples were circular membranes of diameters: 2000, 1600, 1400, 1300, and 1100 μm with a thickness of 40 μm bonded to a cavity depth of 3.24 μm. Uncertainty analysis associated with leak rate measurement revealed that when considering a certain cavity depth and membrane thickness,
the membrane with the largest diameter would exhibit the least amount of uncertainty. This was also observed through experiments, for the diameter of 2000 μm, a clear linear trend of deflection reduction due to the helium leakage was observed during a two-week period of deflection measurements. Whereas, for the diameter of 1100 μm, it was not possible to observe the same linear trend of deflection reduction, indicating that even more no.of.days is required to determine an accurate leak rate.
In the end, a short analysis was made using the cavity design having the 2000 μm membrane, which had the least uncertainty in measuring the leak rate. This analysis aimed to ascertain the designed test structure’s usefulness in measuring leak rates of the MEMS packages. Based on the analysis, it was concluded that large-volume wafer-bonded MEMS packages (> 1 mm3) with an acceptable cavity pressure increase of 10 mbar could be tested using the deflection method and our proposed test structure design to guarantee their lifetime.","Fusion bonding; Hermiticity testing; MEMS packages","en","master thesis","","","","","","","","2024-09-28","","","","Mechanical Engineering","",""
"uuid:341a25a3-a1c4-4e9d-97b4-d23b905182a9","http://resolver.tudelft.nl/uuid:341a25a3-a1c4-4e9d-97b4-d23b905182a9","Motivation of companies to join climate action: An agent-based modelling approach","Theodorou, Charis (TU Delft Technology, Policy and Management)","Ghorbani, Amineh (mentor); Scholten, L. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023",": There has been a growing number of companies that join, commit and set targets to the Science-Based Targets initiative in recent years. This thesis explores how factors which were found in previous studies to influence the companies’ decision to join SBTi or climate action in general, interact dynamically. Using an agent-based model, the companies’ interactions, the SBTi strategies and external factors will be examined in the temporal dimension in order to shed light on what is the projected uptake of SBTi.","Agent based model; Science Based Target initiative; private climate governance; ABM; SBTi; stakeholder pressures","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:641fe20b-05dd-4cf6-a0e5-096576e14ac4","http://resolver.tudelft.nl/uuid:641fe20b-05dd-4cf6-a0e5-096576e14ac4","Layer thickness control: improving manufacturability in fabrication sequence optimization for multi-axis additive manufacturing","Lansu, Mike (TU Delft Mechanical, Maritime and Materials Engineering)","Wu, J. (mentor); van Keulen, A. (graduation committee); Wu, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","The use of multi-axis additive manufacturing has enabled the possiblity to fabricate parts using curved layer deposition. Compared to the traditional deposition using planar layers of a fixed thickness, multiaxis additive manufacturing significantly increases the number of possible fabrication sequences. With the introduction of a time component for topology optimization in recent work, fabrication-processdependent physics can now be incorporated in the optimization process. The fabrication sequence resulting from such complex optimizations can lead to layers with large variations in thickness. For manufacturability reasons, considering multi-axis additive manufacturing, this variation should be controlled. In this thesis, we present a method to control the variation in thickness of the projected layers to improve the manufacturability. We use the continuous pseudo-time field and its gradients to track the development of the layer boundaries. To demonstrate the effectiveness of the method, we apply the thickness control method on a fabrication sequence optimization for minimizing thermal distortion. The results show that the proposed method is able to reduce the variation of thicknesses compared to the original sequence optimization without thickness control resulting in improved manufacuturability.","layer thickness control; fabrication sequence optimization; topology optimization; multi-axis additive manufacturing","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:1bb46cbb-e148-4684-bc60-2146fc91c85f","http://resolver.tudelft.nl/uuid:1bb46cbb-e148-4684-bc60-2146fc91c85f","Quantifying volume loss from rock penetration in sand during subsea rock installation, using a fallpipe vessel","Verhagen, Ties (TU Delft Civil Engineering & Geosciences)","Antonini, A. (mentor); Hofland, B. (graduation committee); van Rhee, C. (graduation committee); Beton, Michael (graduation committee); Delft University of Technology (degree granting institution)","2023","In the field of offshore engineering, subsea rock installation has emerged as a pivotal technique. As offshore operations extend to greater water depths, the deployment of a versatile fallpipe has become a cornerstone for precise rock particle placement. A comprehensive determination of the overall rock material volume required for installation entails accounting for geometric factors, operational losses, and seabed displacements. To ensure effective project management and cost estimation, a precise grasp of volume loss during installation proves indispensable. Against this backdrop, the current thesis delves into the intricate domain of volume loss stemming from rock penetration within sand substrates.
The core endeavor of this research is the development of a model that quantifies volume loss due to rock penetration within sandy seafloors. The investigation combines theoretical modeling with empirical validation through lab testing. Through analysis, it is revealed that the complex behavior of granular media necessitates an in-depth understanding of the theory, together with assumptions and simplifications to create an effective penetration depth model. The literature study outlines the various forces exerted on a rock as it impacts the seafloor and commences its penetration into the sand. Within the summation of forces, one component to consider is the bearing force exerted by the sand. This force can be calculated using two distinct approaches: the Terzaghi formula for shallow foundations and the Brinch Hansen formula, also applicable to shallow foundations. In this thesis, both formulas are employed to assess their applicability to the dynamic penetration of spherical particles in a sandy seafloor. Once these forces have been aggregated within the equation, two distinct methods to resolve the equation and determine the penetration depth of a spherical rock in sand are employed. The first method is rooted in the principle of a work-energy balance, stating that the cumulative work done by the sum of forces matches the change in the rock's kinetic energy. In contrast, the second method is based on the impulse-momentum balance, underlining the concept that the summation of forces multiplied by a specific duration in time, is equivalent to the change in the rock's momentum during that defined time interval. The work-energy method is preferred over the impulse-momentum method because of the specific objective of the single stone model, which centers on determining penetration depth, a distance measurement. The work-energy method inherently incorporates the distance variable within its formula, aligning directly with the desired outcome. In contrast, the impulse-momentum method necessitates calculating penetration depth by multiplying time with velocity for each discrete time step, introducing a less efficient computational process. \\
Following a comparison between the results derived from laboratory tests and the computed penetration depths using both the Terzaghi and Brinch Hansen-based models, it becomes evident that the Terzaghi formula offers a closer approximation to the behavior of the bearing force.
Further insights are drawn from multi-stone penetration testing, wherein assumptions and simplifications are strategically employed. The intersection of the multi-stone model with a three-dimensional normal distribution delineates the positions of rocks post-fallpipe discharge, bridging theoretical modeling with practical subsea rock installation scenarios. Although a somewhat unrealistic scenario of centric rock-rock collisions and perfect stacking of rocks on top of each other is assumed, the model provides a valuable worst-case scenario in terms of volume loss.
Though the model's validation is confined to sandy seafloors, its implications extend beyond. The study underscores the need for real-world data integration to refine the model.","SRI; Subsea Rock Installation; Particle penetration; Fallpipe vessel; Single stone model; Multi stone model","en","master thesis","","","","","","","","2025-09-27","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:88108ab1-ecf3-4bdf-aa6a-6845b7659222","http://resolver.tudelft.nl/uuid:88108ab1-ecf3-4bdf-aa6a-6845b7659222","A Toolkit for Co-Designing Streetscapes for A Cool Pedestrian Network in Melbourne, Australia","Kaiser, Alina (TU Delft Industrial Design Engineering)","Champlin, C.J. (graduation committee); Kolks, L.A.G. (mentor); Cotsaftis, O. (mentor); Delft University of Technology (degree granting institution)","2023","Global temperatures are rising, and climate change is leading to an increase in extreme weather events such as heatwaves, droughts, floods, storms and fires (WMO, 2022). The increase in unusually hot days and heatwaves is also noticeable in Melbourne, Australia. By 2050, Melbourne is projected to have an annual average of 16 hot days above 35°C (DELWP, 2019). Extreme heat and heat waves have significant impacts on people's health, the economy, the environment and the city’s infrastructure assets.
To address this issue, this graduation project focused on the development of a toolkit that engages different stakeholders in the design of heat-adapted streetscapes for a cool pedestrian network in Melbourne. Research into the shortcomings of existing often text-heavy cooling toolkits motivated the aim of making the new toolkit tangible and interactive. Literature research identified suitable cooling interventions for Melbourne's climate, while insights from placemaking research inspired the inclusion of placemaking, wayfinding, and walkability aspects into the toolkit to address the overall pedestrian experience beyond just cooling. Based on the research findings, the toolkit was developed and refined through interactive feedback sessions with the council. The final design was tested through co-design workshops in which the city council and citizens trialled the toolkit by developing a streetscape concept for Drewery Lane in Melbourne.
The developed toolkit suggests a new approach to developing heat-adapted public spaces. While existing cooling toolkits are mainly text-heavy documents targeted at governments, planners and policymakers, this new toolkit aims to engage both experts (such as the council and design practitioners) and non-experts (such as citizens) to collaboratively develop concepts for cool streetscapes in Melbourne. Through its gamified approach, the toolkit provides an interactive and engaging manner of exchanging knowledge by 1) learning about citizens' current and future desired experiences within the streetscape, 2) engaging the council’s policy and the design practitioners’ planning knowledge and 3) by providing relevant yet easy-to-understand and accessible information about different cooling interventions. The toolkit also addresses the overall pedestrian experience beyond just cooling by prompting the consideration of placemaking, wayfinding and walkability aspects.
The main recommendations for the future are to 1) further test and refine the toolkit through co-design workshops with all stakeholders, 2) investigate how resulting streetscape concepts can be evaluated in terms of their cooling capacity and 3) consider the development of a community-led version of the toolkit.","heatwaves; spatial planning; co-design; toolkit; melbourne; urban design","en","master thesis","","","","","","","","","","","","Integrated Product Design","","-37.840935, 144.946457"
"uuid:deb9e8bf-5713-40d7-9724-07065f28efc4","http://resolver.tudelft.nl/uuid:deb9e8bf-5713-40d7-9724-07065f28efc4","Analyzing the environmental effects of the Parcel Locker System: A case study in The Netherlands","saggu, taran (TU Delft Civil Engineering & Geosciences)","van Wee, G.P. (mentor); Annema, J.A. (graduation committee); Pel, A.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The escalating trends in e-commerce have led to a surge in parcel delivery demands, necessitating innovative solutions for efficient and environment friendly last-mile deliveries. Parcel Lockers (PLs) have emerged as a potential answer to address the environmental impact of traditional delivery methods. The core of PLs lies in a benefit trade-off between the customer and the Logistic Service Providers (LSPs), where PLs lead to reduced vehicle kilometers (VKT) by LSPs but result in inconvenience to customers by enforcing them to travel to PL locations. However, a research gap exists concerning the assessment of the environmental implications of PL
systems holistically which comprise the customers, the LSPs and the PL distributors. This master thesis aims to bridge this gap by conducting a comprehensive life cycle assessment (LCA) of a PL system via the Environment Footprint (EF) methodology. Extensive literature research is undertaken to understand the various aspects and factors that affect the usage of the PLs, leading to the development of a conceptual model encompassing its key components. The EF method is applied to analyze the climate change impacts specifically in areas of De Pijp and Ten Boer respectively. The method considers relevant characteristics, such as customer
travel behavior and mode choice. The total environmental impact in the rural setup, where customers utilized cars to access the PL, is found to be the highest leading to 1028 g CO2 eq emissions per parcel produced. The urban setting has the least overall environmental impact, due to customers walking to the PLs which amounts to 42.46 g CO2 eq emissions per parcel. The PL system is also compared to conventional home deliveries (HDs) in this thesis which indicates that the PL system performs better environmentally, unless the mode choice by customers is cars. When considering only the transport emissions by LSPs in PLs and HDs, the PLs outperform HDs in both the scenarios. It is found that the PL systems reduce the emissions by upto 75% in urban setup and about 50% in rural setups which amount to about 36.3 g CO2 eq emissions per parcel. Furthermore, a sensitivity analysis is conducted to evaluate the potential impacts of future policy implications and developments regarding the environmental performance of the PL system. The results furnish valuable insights into the different scenario factors and system design factors, facilitating informed decision-making abilities for policymakers to promote eco-friendly last-mile delivery practices.","Parcel Locker; Life cycle assessment (LCA); Environmental impacts; CO2 emissions","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:22cf6d2c-03ea-4338-bcb9-b95ccda322ab","http://resolver.tudelft.nl/uuid:22cf6d2c-03ea-4338-bcb9-b95ccda322ab","6G Beamforming Antenna-in-Package: Improving Efficiency using Direct Impedance Matching","Kooij, Roeland (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yarovoy, Alexander (mentor); Aslan, Y. (mentor); Alavi, S.M. (graduation committee); Giannakidis, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","As the world is getting used to 5G technology, 6G is already on the horizon. With the ultimate goal of very low latency communication and Tbps data rates, 6G supports new wireless technologies such as virtual and augmented reality and autonomous driving. In this new generation of wireless communication, high frequencies up to 100 GHz are used which causes antenna arrays to shrink to the size of a post stamp. Antenna-in-Package (AiP) is an emerging technology that integrates these antennas directly in the packaging material of IC’s. This brings the benefit of high level of integration, making beamforming IC’s an all in one system for communications. Despite this, high integration also comes with challenges. These small antenna systems generate heat on a small footprint which emphasizes the need for a low-loss, efficient system. Moreover, free-space path loss is proportional to frequency so high radiated power is needed, further emphasizing the need for improved efficiency. Lastly, space inside the IC and package material is limited which requires compact solutions for the system’s components. Literature has shown that PA-antenna co-design can reduce losses at the PA-antenna interface by matching the antenna impedance to the impedance of the power amplifier, omitting the need for lossy impedance matching networks. This method, called direct impedance matching, has shown to improve power added efficiency (PAE) in antenna systems with single radiating elements and fixed linear arrays. Nevertheless, the advantage of direct impedance matching has not yet been demonstrated for phased array antennas with active beam forming/steering.
This work demonstrates a novel analysis of PA-antenna co-design at 96 GHz using a 8x8 cavity-backed dual-polarized pin-fed stacked patch array. Two versions of this antenna array are designed in Ansys HFSS, one with an antenna impedance of 50 Ω, the benchmark, and one with a lower impedance of 25 Ω. Both designs are made on a custom package laminate stack-up and are compatible with pin-fed AiP technology. Using Keysight ADS, the antenna designs are co-simulated with an RF front-end circuit comprised of a single tone AC signal, ideal 1-64 channel power divider, ideal continuous phase shifters and realistic SiGe Class A cascode power amplifiers. In this setup, the 50 Ω reference antenna is connected to the PA’s with a matching network in between. Because the 25 Ω antenna array matches the optimal output impedance of the PA, it is directly connected. The performance of both antenna arrays are compared, with the focus on PAE and radiation characteristics. The results show that by going for a directly matched antenna the PAE of the system increases by 15.6% for a broadside beam and 30.4% with a scanned beam (θ = 45◦, φ = 45◦). EIRP for broadside and scanned beams increased from 50.3 W to 56.3 W and from 31.1 W to 37.9 W respectively. Bandwidth, gain, radiation efficiency and side-lobe levels were similar in both arrays but the 25 Ω antenna had 4 dB higher levels of cross-polarized radiation and a 4 dB stronger back-lobe behind the antenna. The advantage of higher efficiency and radiated power outweighs these drawbacks and makes direct impedance matching a good design strategy for 6G beamforming AiP technology.","6G; Antenna in Package; Impedance matching; efficiency-enhancement","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:3b224e1e-b559-41fc-9c33-93b223a905eb","http://resolver.tudelft.nl/uuid:3b224e1e-b559-41fc-9c33-93b223a905eb","Reservoirs Beneath: Groundwater sustainability in India's Ramganga river basin","Angou, Gayathri (TU Delft Architecture and the Built Environment; Wageningen University & Research; International Water Management Institute)","Pande, S. (mentor); Wreyford, Jessica (graduation committee); Delft University of Technology (degree granting institution); Wageningen University & Research (degree granting institution)","2023","class=""MsoNormal"">This research studies groundwater sustainability in the Ramganga river basin of northern India. This region experiences a trifecta of hydrological stressors from groundwater over-extraction, frequent flooding during wet seasons, and agricultural droughts during dry seasons. There is a growing body of interventions known as Managed Aquifer Recharge (MAR) which attempts to co-manage these three concerns. One such example is a technology known as Underground Transfer of Floods for Irrigation (UTFI) proposed by the International Water Management Institute (IWMI.) The most common mode of UTFI is recharge ponds and IWMI has technically proven its validity along with extensive piloting work in rural regions of the upper Ramganga basin. When it comes to groundwater planning, what is missing is a holistic approach that encompasses rural and urban (R & U) to study their collective demand for groundwater and plan for implementation of recharge structures; thereby ensuring better groundwater sustainability. Considering this, this thesis analyzes opportunities and barriers for UTFI’s scale-up in growing rural-urban regions of the Ramganga basin by unpacking rural-urban linkages. It proposes a holistic R+U approach for land-use planning to incorporate recharge infrastructures and in so doing, identify rural & urban implementation zones like existing ponds and parks for mixed interventions. This work adopts mixed-methods of qualitative and quantitative to conduct desk research and fieldwork, backed by relevant academic theories. The thesis culminates in land-use planning recommendations for the rural and urban to cohesively take steps towards groundwater sustainability and hydrological disaster resilience within a chosen study region. These recommendations are useful for planners and policy makers in the field, along with specific spatial, community, institutional and planning strategy aimed for IWMI’s use.","urbanization; India; regional planning; land-use; groundwater recharge; Water x Food nexus; future planning; rural-urban linkages; hydrosocial territories; urban masterplans; data-analysis; stakeholder engagement","en","master thesis","","","","","","https://www.youtube.com/watch?v=hjvDvzGPfcU Webinar with CGIAR (International Water Management Institute's parent organization) NEXUS Gains talks.","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","International Water Management Institute",""
"uuid:bcc50b20-ac40-410c-9dad-c3852be0940e","http://resolver.tudelft.nl/uuid:bcc50b20-ac40-410c-9dad-c3852be0940e","Designing an interactive hinge with shape memory alloys and polymers","Marcelis, Wessel (TU Delft Mechanical, Maritime and Materials Engineering)","Jovanova, J. (mentor); Ghodrat, S. (mentor); Delft University of Technology (degree granting institution)","2023","This research aims to design and simulate a hinge consisting of shape-memory alloys and polymers, which can be actuated along two axes. The hinge consists of a shape-memory body that is actuated externally by shape-memory alloy springs. To achieve maximum deformation, two small single hinges are made, of which the body has the shape of a plate and which are actuated externally by two springs. The two hinges are then stacked, where the second hinge is twisted 90 degrees, so it can move in two directions. Tensile tests of Nitinol wires were carried out to investigate the behavior. Shape-memory springs were manufactured with this Nitinol wire. Then, the springs were deformed, the temperature of the springs was increased in steps of 10 degrees C, and the force was measured at each temperature step. Simulations were also carried out and compared to the force tests. The best type of Nitinol was chosen for the shape of memory wires. A prototype of the hinge was built and tested. First, the small single hinges were tested, where the displacement was documented. The hinge was not deemed energy efficient. Finally, simulations were carried out for the single and stacked hinges, which showed that the results were similar to reality. Hereafter, the range of motion of the stacked hinge is determined.","Interactive hinge; Shape memory material; Nitinol; PLA; Two-way shape memory hinge; Multi-axial actuated shape memory hinge","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:8b9b627f-5b86-49b2-941d-d86b522d9d6e","http://resolver.tudelft.nl/uuid:8b9b627f-5b86-49b2-941d-d86b522d9d6e","Process development of TOPCon rear junction n-type solar cells: Optimization of P+ polysilicon rear emitter and selective N+ Front surface field","Veerman, Sebastian (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dan Mihailetchi, Valentin (mentor); Kuruganti, V.V. (graduation committee); Isabella, O. (mentor); Delft University of Technology (degree granting institution)","2023","Global warming is currently being regarded as one of the most significant concerns in society. As globalnwarming is related to the greenhouse gases emitted from the current energy supply, there is a needbfor renewable energy sources. Solar energy is an energy source which has zero emissions and could
in theory supply the energy demand. A solar cell is technology based on the photovoltaic effect, it converts solar irradiance, specifically the energy derived from photons, into electrical energy. Over the course of the development of photovoltaic technology, numerous variations of solar cells have been introduced. By IRTPV, it is anticipated that new and advanced concepts, especially the Tunnel Oxide Passivating Contact (TOPCon) solar cell, will come to dominate the solar market in the coming years. At ISCKonstanz, the primary objective is to develop solar cells that are feasible for industrial applications.
The solar cell under investigation and exploration within the context of this particular thesis is referred to as TOPCon Rear Junction (TOPCon RJ), it differs from the well-documented TOPCon emitter Front Junction (FJ). The notable advantage lies in the fact that by placing the emitter at the RJ, the FSF can be
lightly doped, thereby reducing Auger recombination. Another advantage is the possibility of increasing the metal pitch between the fingers, reducing the metal used. Even more, the TOPCon RJ doesn’t need the high temperature boron diffusion needed for TOPCon FJ, because of doping during APCVD deposition. Simulation results indicate that TOPCon RJ can achieve at least the same
efficiency as TOPCon FJ. The TOPCon solar cell is developed on large area n-type Cz wafers, with a selective n++/n+ FSF of c-Si, a by APCVD inline deposited p+ poly-Si layer and screen printed silver contacts. The inline APCVD has fast processing as a major advantage. A comprehensive description of the TOPCon RJ solar cell structure will be provided. The solar cell’s parameters will be elaborated on, in order to characterize it. The report incorporates basic supportive solar physics. Various characterization methods will be expounded upon to offer a more comprehensive understanding of the research methodology. The research is divided into three parts: the development of the p+ poly-Si rear layer, the development of the n+ c-Si FSF, and the process of metallization. Good passivation for the individual layers were achieved and good contacting as well. However, viable cell results were not yet obtained. The research necessitates substantial further enhancements. Moreover, the presence of defects and damages significantly impacted the outcomes, lowering the reliability.","","en","master thesis","","","","","","","","2025-10-01","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:9f2f935a-be42-4582-8a48-e6adb33dfa2d","http://resolver.tudelft.nl/uuid:9f2f935a-be42-4582-8a48-e6adb33dfa2d","Multi-sample cardiac bioptome: Design study: Development of an improved cardiac bioptome able to retrieve multiple tissue samples during a single insertion","Matthijssen, Rick (TU Delft Mechanical, Maritime and Materials Engineering)","Sakes, A. (mentor); Atalla, M.A.A. (mentor); Dankelman, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Background:
An endomyocardial biopsy (EMB) is an invasive procedure where biopsy samples are taken from within the heart for diagnosis of different myocardiopathies and or heart transplant rejection monitoring. During EMB procedures, a minimum of five tissue samples are required to be taken from the heart wall for investigation.
Current bioptomes are not able to retrieve more than one sample at a time and therefore need to be re-inserted at least four times. This can lead to complications such as air embolisms. The goal of this design study was to develop a novel cardiac bioptome for endomyocardial biopsies that can take and store multiple biopsy samples during a single insertion.
Design:
The bioptome developed in this study had two major functionalities, resection of myocardial tissue and storage of multiple tissues. Each functionality could be divided into multiple sub-functionalities. From these functionalities the design requirements followed. Afterwards, the alternatives for each subfunctionality
were explored. For the tissue resection, different possibilities for the method of resection, position of the resection tool, direction of resection forces, and motion of resection, were explored. For tissue storage, different possibilities for the storage system, tissue transportation, and sample loss prevention were explored. Following this exploration, multiple design concepts were devised. Two of these concepts, the ’corer’ concept and ’ovipositor’ concept were developed further. These two concept were compared and the ’corer’ concept was chosen as the most viable option. Prototypes of this concept were developed and tested for the functioning of its working principle for tissue resection and storage and for the functioning of the actuation mechanism.
Results:
The experiments showed that the end-effector of the bioptome works as expected and that thus the working principle of the ’corer’ concept for resection and storage of biopsy samples functions as intended. The experiments also validated the functioning of the actuation mechanism within the handle. The experiments however also showed that the flexible shaft was unable to correctly transfer the motion from the actuation mechanism in the handle to the end-effector.
Discussion & conclusion:
More suitable materials are required for future prototypes to improve motion transfer. Furthermore, a steerability functionality should be added to the design to make the bioptome fully functional for EMB procedures. The final design should be tested in an environment more closely resembling the clinical scenario. If these tests are successful, it can be concluded that the bioptome can indeed improve endomyocardial biopsy procedures.","Cardiology; Medical instrument; Bioptome; Endomyocardial biopsies; Design","en","master thesis","","","","","","","","2025-09-27","","","","Biomedical Engineering","",""
"uuid:22fe0002-4623-427f-aaf8-3a5ed574b400","http://resolver.tudelft.nl/uuid:22fe0002-4623-427f-aaf8-3a5ed574b400","Defining the Offshore Wind Support Vessel Market and Simulating Vessel Demand in 2030: Forecasting offshore wind support vessel demand by defining the market drivers and using a factor model and Monte Carlo simulation","van Heurn, Ferdinand (TU Delft Mechanical, Maritime and Materials Engineering)","van Hassel, E.B.H.J. (mentor); Pruyn, J.F.J. (graduation committee); Calvache, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the energy transition taking up speed and strong decarbonisation ambitions offshore wind is becoming a major source of green electricity. European countries are among the leading drivers of the offshore wind expansion on both the wind turbine as well as the vessels side. It is expected that until 2030 170 GW of capacity can be installed, equalling 16000 wind turbines. The wind turbines are serviced using either small vessels or commissioning and service operation vessels (C/SOVs) when parks are larger and in more challenging conditions further away from shore. The C/SOV market is still in development and it is not known how many of these vessels are needed to serve the European offshore wind industry in 2030. It is further unknown until now which factors influence the need for these vessels as both market dynamics as well as operations have not been researched until now.
This research first defines the quantifiable factors influencing the need for C/SOVs in offshore wind parks. These are the park parameters such as the distance to shore and the number of turbines in the park. These data are used in a factor model and Monte Carlo simulation to make an assumption on the required number of vessels. The results are then compared against qualitative factors influencing the need for C/SOVs indirectly.
Out of a high and a low case, the low case was shown to be the most likely fit for the research results. It showed that to serve the offshore wind market in 2030 between 122 and 138 vessels are needed, which is 12 to 28 more than currently are active or on order.
Considering the fact that the industry needs to adapt to a new market, it is crucial to know which factors drive that market and how they influence it. This project allows for researchers to dive further into these factors and research them in more detail. Further the research can assist industry players in their investment decisions and yards can accordingly plan capacity.","Shipping; Offshore; Market; Offshore wind; Monte Carlo simulation","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering | Marine Technology | Ship Design, Production and Operations","",""
"uuid:d7b78135-4f86-45c9-a9bb-6925dabe0b31","http://resolver.tudelft.nl/uuid:d7b78135-4f86-45c9-a9bb-6925dabe0b31","Techno-Economics of Green Hydrogen: Production, Compression, Transportation and Storage","Saraf, Soham (TU Delft Mechanical, Maritime and Materials Engineering)","Ramdin, M. (mentor); Vlugt, T.J.H. (graduation committee); Felden, Anne (graduation committee); Delft University of Technology (degree granting institution)","2023","To comply with the Paris Agreement, the Dutch government has launched an energy transition process, with the goal of replacing coal and natural gas-based electricity with renewable sources. The intermittent nature of renewable electricity necessitates the installation of an energy storage system to balance supply and demand. Hydrogen is a potential energy storage and transport medium. However, its production is currently more expensive than natural gas, and storage and transport are energy-intensive due to its low density. Because the infrastructure necessary for the hydrogen supply chain necessitates significant capital investments, a techno-economic analysis of various techniques of hydrogen production, compression, storage, and transport is required.
The aim of this thesis was to evaluate the levelized costs of hydrogen at various phases of supply chain, from hydrogen production to utilization. In order to accomplish this task, a literature review was conducted to identify the most promising methods in hydrogen production, compression, storage and transport followed by developing mathematical models of various technologies. According to the literature review, water electrolysis using electrolyzers such as alkaline, polymer electrolyte membrane (PEM), and solid oxide was shown to be techno-economically feasible. The literature review also revealed that centrifugal and diaphragm compression, pipeline transmission, and salt cavern storage were all techno-economically feasible technologies. These technologies’ steady-state mathematical models were built for scaling and techno-economic analysis. In the end, learning curves were applied for electrolyzers to predict the cost reductions in future.
According to the results of mathematical modeling, hydrogen production contributes the most to total levelized costs of supply chain followed by overall compression costs. Moreover, capital costs of electrolyzer stack and electricity costs significantly influence the levelized costs of hydrogen production. For 1 MW electrolyzer capacity and average capital and operating costs of electrolyzer stack, alkaline electrolysis is currently the most cost-effective technique of producing hydrogen with levelized cost of hydrogen (LCOH) calculated to be 3.69 €/ kg, followed by solid oxide electrolysis (4.55 €/kg).However, the use of learning curves indicates that by 2050, solid oxide electrolysis may be the most cost-effective technique of producing hydrogen with projected levelized cost of 1.72 €/kg. The pipeline compression costs were found to be around 0.065 €/ kg whereas diaphragm compression costs were found to be in the range of 0.55 to 1.2 €/ kg depending on the outlet pressure. While hydrogen storage and transportation require substantial capital investment, their overall impact on levelized costs was found to be minimal compared to production and compression expenses, with storage costs averaging around 0.8 €/kg and transportation costs at approximately 0.0007 €/kg per kilometer. The same mathematical model was used to analyze two hydrogen utilization scenarios: fuel for fuel cell vehicles and feed for industry. Both pessimistic and optimistic cases were examined by varying cost-influencing parameters to predict the possible range of total levelized costs for the supply chain. The results showed that hydrogen as a fuel for fuel cell vehicles will stay more expensive than hydrogen as a feed for industry.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:e5c79d17-d7f5-4ef7-809c-d9adf6daf3b8","http://resolver.tudelft.nl/uuid:e5c79d17-d7f5-4ef7-809c-d9adf6daf3b8","Designing a just business model for citizen-owned energy cooperatives","Lin, Chia Yu (TU Delft Industrial Design Engineering)","Singh, A. (mentor); Mulder, S.S. (graduation committee); Poolman, Hans Roeland (graduation committee); Delft University of Technology (degree granting institution)","2023","Local energy cooperatives are pivotal in decentralizing and democratizing the energy system. This research aims to provide a roadmap for creating energy cooperatives that address energy poverty in the Netherlands, emphasizing the potential for a just energy transition.
This project bridges the realms of theory and empirical research in energy justice, business model innovation, and cooperative design. It unveils the inherent injustices that reside within traditional energy cooperative business models by utilizing a novel business model analysis tool. Furthermore, it integrates the principles of energy justice with the methodologies of business model innovation to facilitate the development of a just business model. To enable practical action, it provides a comprehensive handbook tailored for energy cooperatives, serving as a versatile guide for igniting changes. In the end, it proposes a conceptual pathway that serves as a guide for embarking on the journey of business model redesign.","energy transition; Energy poverty; Energy Justice; Business model innovation; energy coopeartive; Social sustainability","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:f5bb46ad-4a39-4b26-8e73-e5d6fc31f9f6","http://resolver.tudelft.nl/uuid:f5bb46ad-4a39-4b26-8e73-e5d6fc31f9f6","Validation and Improvement of Shading Tolearability Assessment Tool","Koutarapu, Ritika (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ziar, H. (mentor); Alcañiz Moya, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The increasing deployment of photovoltaic (PV) modules in urban environments, often prone to shading, underscores the critical importance of selecting shading-resistant modules. Unfortunately, a standardized parameter for assessing and comparing PV module performance under shading remains absent. Currently, quantifying a module's ability to withstand shading remains a challenge, as datasheets typically provide vague, qualitative descriptions. This research addresses this issue by presenting the development of a shading tolerance calculator using MATLAB. This calculator yields a numeric parameter known as Shading Tolerability (ST), providing a quantitative measure of a module's ability to cope with shading conditions. By offering a standardized metric for shading tolerance, this tool enables precise characterization and facilitates direct comparisons between different PV modules.
The research's primary objective is to advance the development of a tool that can calculate the ST of any PV module using readily available datasheet parameters. The tool will subsequently be validated through experimental testing and employed to establish a comprehensive database for commercial PV modules, offering guidelines for achieving high ST. Initially, the model transitioned from sectional resolution to cell-level calculations, allowing for a more detailed analysis. The study compares ST results obtained at the cell-level with those at the 12-section level and examines the ensuing impact on ST.
A sensitivity analysis explores the influence of key PV characteristics, including breakdown voltage, nominal operating cell temperature, and bypass diodes, on ST. Notably, the analysis reveals that bypass diodes have a positive effect on ST. Based on these findings, guidelines are formulated to enhance PV module performance under shading conditions and improve the module's ST value.","Shading Tolerability; Partial Shading; Photovoltaic Model; Numerical Analysis; Bypass Diodes","en","master thesis","","","","","","","","2025-09-27","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:969ea35f-7cae-49fe-86de-f89af8835177","http://resolver.tudelft.nl/uuid:969ea35f-7cae-49fe-86de-f89af8835177","Logs to the Rescue: Creating meaningful representations from log files for Anomaly Detection","Timmerman, Gerben (TU Delft Electrical Engineering, Mathematics and Computer Science)","Verwer, S.E. (mentor); Anand, A. (graduation committee); Mulder, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis offers a comprehensive exploration of log-based anomaly detection within the domain of cybersecurity incident response. The research describes a different approach and explores relevant log features for language model training, experimentation with different language models and training methodologies, and the investigation of the potential contribution of extra contextual features. The newly proposed approach is compared against an already implemented baseline in a finite-state classifier called FlexFringe, assessing their performance in detecting malicious anomalies across diverse datasets and hosts.
Key findings from this research underscore the importance of including human language for the generation of coherent clusters and a better performance of pretrained language models over models that were fine-tuned or built from scratch. Furthermore, the influence of clustering parameters on cluster quality proves to be crucial for cluster quality. Additionally, we gained insights into how extra contextual features are useful for log analysis.
In light of these findings, the study provides several recommendations for future research, including the expansion of the methodology to accommodate various log sources, the enhancement of preprocessing techniques, the integration of newer and more advanced language models, and the pursuit of efficient hyperparameter optimization. This work contributes to the continual advancement of log-based anomaly detection and its critical role in enhancing cybersecurity practices.","Anomaly Detection; Clustering; Language Models; Cybersecurity; Incident Response","en","master thesis","","","","","","","","","","","","Computer Science | Cyber Security","",""
"uuid:2b877493-26d3-4f3c-a109-24aed4b71df1","http://resolver.tudelft.nl/uuid:2b877493-26d3-4f3c-a109-24aed4b71df1","Class-F push-push totem-pole power amplifier: for 5G base stations","Petz, Charlene (TU Delft Electrical Engineering, Mathematics and Computer Science)","de Vreede, L.C.N. (mentor); Abelmann, L. (graduation committee); van der Heijden, M.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","class=""MsoNormal"">As 5G is rapidly growing, wireless communication systems require wideband, compact and highly efficient power amplifier modules (PA) to drive the base station antenna arrays. Doherty PAs are implemented in most base stations. The final stage in these power amplifier modules has high supply voltages (28-50 V) to generate a high output power of >20 W at reasonable output impedance levels. This work replaces the ‘classical’ single-ended cascode PA to drive the Doherty PA, with a series push-pull (or totem-pole) PA to increase the efficiency and bandwidth of the driver. The series push pull designs can reach peak efficiencies of 70.7%, which is about 10 percentage points higher than the efficiency of the single-ended PA. To ensure that a fair comparison is made between the designs, the series push-pull designs operate at 5 V and 10 V supply, in order to generate an output voltage swing of 5 V and 10 V respectively. This is compared to the single-ended PA with a 5 V supply and 10 V output swing. Simulation results show that the series push-pull design does indeed increase the efficiency of the driver whilst having minimal AM-AM distortion (<1 dB) at the design frequency of 3.6 GHz.","RF; push-pull; Class F","en","master thesis","","","","","","","","","","","","Electrical Engineering | Microelectronics","",""
"uuid:68709c9e-f720-4b96-acc4-e3837175bec4","http://resolver.tudelft.nl/uuid:68709c9e-f720-4b96-acc4-e3837175bec4","Long-term water balance of a combined capillary barrier-methane oxidising landfill cover system","van den Brink, Mark (TU Delft Civil Engineering & Geosciences; TU Delft Water Resources; NV Afvalzorg Holding)","Gebert, J. (mentor); Hrachowitz, M. (graduation committee); Heimovaara, T.J. (graduation committee); Scharff, Heijo (graduation committee); Delft University of Technology (degree granting institution)","2023","Capillary barrier systems (CBSs) have been demonstrated to be effective in deviating infiltration in a landfill cover. However, their performance when combined with a cover soil optimised for methane oxidation had not been tested in the field yet. This study aimed to describe the water balance of a test field where such a configuration was built, located on the landfill in the Wieringermeer area, the Netherlands, over the period from 2009 to 2023.
During that time period breakthrough and deviated infiltration were measured. A one-dimensional finite difference model was built to model evapotranspiration and storage in the cover soil as well as the moisture retaining layer (capillary layer; CL). This model performed well in describing overall seasonal trends but generally overestimated evapotranspiration in spring and outflow in autumn, consequently underestimating the storage in these seasons.
The results show that the annual precipitation ranges between 770 and 990 mm. On average, 59% (494 mm) of this precipitation is evaporated, 33% (281 mm) is diverted by the CBS and 7% (63 mm) breaks through. Compared to other test fields and design standards, this breakthrough is high. A weakened functioning of the CBS by construction errors or the ingress of sand could be a reason for that. Another factor might be the coarse grain size distribution of cover soil, necessary for the efficient oxidation of methane. This type of soil has a relatively high hydraulic conductivity which does not control infiltration rates into the CBS as well as cover soils in other studies.
Furthermore, the results suggest that storage in the cover soil and CL is the main determinant for breakthrough to occur. Major breakthrough only occurred at a storage larger than the storage in the soil associated with field capacity, both on a seasonal and daily time scale. The available storage is mainly affected by the evapotranspiration which shows a seasonal cycle. Consequently, outflows for the CL and breakthrough occur mostly when evapotranspiration is low (autumn, winter). The relation of breakthrough with precipitation is less straightforward as a high rainfall does not necessarily lead to breakthrough on a seasonal or daily scale, depending on the available storage in the system. The distribution of precipitation can matter however. On a seasonal scale, precipitation in late summer can result in high storage in the beginning of autumn, potentially leading to breakthrough. Furthermore, a precipitation amount uniformly distributed over a day leads to less breakthrough than more concentrated precipitation.
Overall, with some adjustments regarding the design of the CBS and the cover soil, this landfill cover design is a promising alternative for the current standard.
The qualitative results showed that operational management was focused on the overall vision and strategy; middle management was focused on specific tasks and objectives; Senior management was interested in the translation of corporate strategy to business strategy. The Likert-scale results demonstrated that senior management had the highest increase in their “need to understand strategy”, and the operational level had the highest increase in “strategic understanding”. Middle management only reported a slight increase in strategic understanding. Overall, each level highly agreed that the interactive strategy map is an effective tool for strategy communication and mentioned they would use the tool monthly depending on how frequently the content is updated.
In summary, this research concludes that the strategy map, as well as the process of including employees in its development, is an effective way of increasing strategic understanding. Additionally, using a new strategy framework identifies inconsistencies in the existing strategy, thereby increasing coherence in the strategy. Furthermore, including feedback from employees throughout the organizational hierarchy increases strategy cohesion. Lastly, the inclusion of interactive filters and colour coding in a strategy communication tool not only invites inquiry into the strategy, but also makes it more tangible to employees.
This project delves into various end-to-end models, leveraging the PaddleOCR end-to-end model as a foundational reference to devise a compact OCR model tailored for edge devices. Through meticulous optimization of the backbone architecture and the introduction of diverse Feature Pyramid Network (FPN) structures within the stem network, we achieved a remarkable reduction in model size, down to 19MB. This represents a substantial advancement, constituting merely one-tenth of the original PaddleOCR end-to-end model's footprint.
By leveraging an extensive database and conducting a series of fine-tuning experiments specifically tailored for end-to-end OCR tasks involving curved text images, the model exhibits an impressive precision rate of 47.3% and an f-score of 45.3%. This achievement highlights the effectiveness of the customized loss function relative to the original model, despite its reduced size. Notably, this performance is comparable to certain end-to-end models with larger backbones. Furthermore, an Android demo has been carefully developed to demonstrate the model's capabilities on mobile devices, achieving an average processing time of 433 milliseconds per image.","OCR; end-to-end; mobile device","en","master thesis","","","","","","","","","","","","Electrical Engineering | Circuits and Systems","",""
"uuid:739ea341-c598-41e9-b16c-3f0775cb0784","http://resolver.tudelft.nl/uuid:739ea341-c598-41e9-b16c-3f0775cb0784","Early MPN detection in laboratory setting","Nijsse, Paul (TU Delft Mechanical, Maritime and Materials Engineering)","Dieckens, Dennis (mentor); Riedl, Jurgen (mentor); Veenland, Jifke (mentor); Stoel, Berend (graduation committee); Yavuzyigitoglu, Serdar (graduation committee); Delft University of Technology (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution); Universiteit Leiden (degree granting institution)","2023","Myeloproliferative Neoplasms (MPNs) are a group of bone marrow diseases with potentially lethal cardio-vascular complications. Two sub-diseases of MPN are Essential Thrombocytosis (ET) and Polycythemia Vera (PV), which are recognised by an abnormal blood count of respectively thrombocytes and red blood cells.
If an MPN is treated appropriately, complications for patients are reduced, leading to a relative increase of patients life expectancy. However, MPN is often recognised long after the first clinical signs. 1/4 of MPN patients already had abnormal blood measurements for longer than 1 year in advance of their diagnosis.
Therefore, there is the call for methods for earlier recognition of MPN. Screening like methods could be useful to alert clinicians in case of a suspected case. Although genetic testing is conclusive in recognising MPN, high costs make that they are only applied in case of already clinically suspected MPN.
In this thesis, the outlines of a method are proposed for early detection of MPN patients based on blood measurements in the general hospital laboratory workflow. A two stage solution is proposed:
• Stage 1: Filter on regular blood measurements (combined with demographic data);
• Stage 2: Filter based on microscopy imaging of blood.
The primary scope of this thesis is the development of the first stage for ET and PV subtypes of MPN. A machine learning algorithm called XGBoost is utilized to develop classification algorithms for ET and PV in this stage. Patients with elevated blood platelet counts (ET marker) or elevated red blood cell indicators (PV marker) were separately included in a nested cross validation setup for training and testing of the algorithms. For ET vs control classification, mean metrics obtained during cross validation are AUC: 0.87, recall (sensitivity): 0.74 and specificity: 0.84. For PV vs control corresponding metrics are respectively 0.86, 0.66 and 0.87.
Regarding the development of methods for stage 2, a first step is set. A XGBoost model using cell counts from microscopy images as features results in mean AUC, recall and specificity scores of 0.67, 0.78 and 0.80 respectively when trained and tested using nested cross validation. Training a Convolutional Neural Network (CNN) to take microscopy images as input and return MPN vs control classification resulted in an algorithm which only predicted control cases. These results give an indication of the potential of microscopy for automated MPN recognition, calling for further development of the stage 2 filter.
With this proposed laboratory population screening method and the developed blood measurement based filtering, a next step is set toward early detection of MPN in order to prevent (lethal) MPN related complications.","Artificial intelligence; Myeloproliferative Neoplasms; Early detection; Essential Thrombocytosis; Polycythemia Vera; Machine learning","en","master thesis","","","","","","","","","","","","Technical Medicine","",""
"uuid:633a5673-4dd3-4313-b14c-174a9c1b9966","http://resolver.tudelft.nl/uuid:633a5673-4dd3-4313-b14c-174a9c1b9966","Visualization for Better Stakeholder Communication: Design of a visualization strategy tool and an empowering visual tool for multi-stakeholder projects","Zhang, Kai (TU Delft Industrial Design Engineering)","Hoftijzer, J.W. (mentor); Singh, A. (graduation committee); Poolman, Hans Roeland (graduation committee); Delft University of Technology (degree granting institution)","2023","","Visualization; Visual communication design; Stakeholder engagement; Stakeholder collaboration; Communication Design; Drawing","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:cccb5a11-78a6-42d7-8790-0f99209bd8f9","http://resolver.tudelft.nl/uuid:cccb5a11-78a6-42d7-8790-0f99209bd8f9","Design of new hand prosthesis with two modes: Realizing a proof-of-principle to validate feasibility of a hybrid hand prosthesis","Groeneveld, Iris (TU Delft Mechanical, Maritime and Materials Engineering)","Plettenburg, D.H. (mentor); Smit, G. (graduation committee); van den Dobbelsteen, J.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Background
An upper limb amputee currently has two choices for a body-powered prosthesis, a Voluntary Opening (VO) or Voluntary Closing (VC) hand prosthesis. Which type is best, depends on the task and the individual. Currently, there are no good options for VO and VC in one design.
Objectives
To design a proof-of-principle of a hand prosthesis and to validate the feasibility of the prosthesis. The hand prosthesis must have two different modes, the VO mode and the VC mode. Changing between these modes can be done without using the other hand..
Methods
First, a list of requirements and wishes was made, where cable forces are important because too much cable force can cause discomfort, fatigue or make the prosthesis difficult to control.
A new design was created and a prototype was built for user testing. SHAP was used as the outcome measure.
Results
The prototype allowed the user to change between VO and VC and SHAP showed promising Linear Index of Functionality (LIF) values. The calculated cable forces were mostly within the requirements. However, because the prototype of this design was produced with an FDM-printer, not all the tests could be done and the calculated forces could not be verified.
Conclusions
A prototype of a hybrid hand prosthesis with VO and VC modes showed promise, with positive test results. However, limitations in the prototype's construction hindered some tests. The calculated cable forces mostly met requirements, except for a slightly high pinch grip force. Further development is needed, and there are material and design recommendations. This concept demonstrates feasibility but requires more work to become a functional hand prosthesis.
As a result, this project introduces a service concept, ""Selling your device with ease and satisfaction,"" aimed at streamlining the process of selling pre-owned products for efficiency and simplicity by shortening the user flow from placing order to waiting for payment. In this service, all these ""hassle"" process finishes at doorstep. Additionally, during the process, Valyuu's brand identity is conveyed through specially designed vehicles, the attire of pickup personnel, and their customer-centric behavior to enhance customer satisfaction and loyalty. This concept also aligns with Valyuu's long-term vision of expanding into C2C business, where the doorstep service can serve as a pivotal logistics component.","Service design; Circular economy; Recycle; refurbishment","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:abbcd2b6-e2b9-44ca-a9e3-a348d5057063","http://resolver.tudelft.nl/uuid:abbcd2b6-e2b9-44ca-a9e3-a348d5057063","The Impact of Test Case Clustering on Comprehending Automatically Generated Test Suites","Lin, Longfei (TU Delft Electrical Engineering, Mathematics and Computer Science)","Panichella, A. (mentor); Olsthoorn, Mitchell (mentor); Brinkman, W.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Software testing, a critical phase in the software development lifecycle, is often hindered by the time-intensive and costly manual creation of test cases. While automating test case generation could mitigate these challenges, its adoption in the industry has been limited due to difficulties in comprehending the generated test cases. To address this, our study presents an approach for clustering test cases and evaluates its impact on the comprehensibility of test suites through empirical research. Our approach clusters test cases based on their covered objectives, grouping together those with similar attributes to enhance developer understanding. The core of our empirical research evaluates developer agreement with our clustering method and contrasts the comprehensibility of clustered versus non-clustered test suites. Findings suggest a broad agreement among developers in favor of our clustering approach, with clustered test suites facilitating faster software maintenance tasks. Notably, the effectiveness of task completion remained comparable between both suite types. In summary, our research introduces and validates an innovative test case clustering strategy, striving to enhance the comprehensibility of automatically generated test suites.","Search-Based Software Testing; Test Case Generation; Program Comprehension; Empirical Research; Test Case Clustering","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:049514eb-4204-43fb-818d-2338418a2733","http://resolver.tudelft.nl/uuid:049514eb-4204-43fb-818d-2338418a2733","The Way to Success: Oxygen Consumption (VO2), Effort and Weaning in Mechanically Ventilated Patients in the Intensive Care Unit","Smits, Floor (TU Delft Mechanical, Maritime and Materials Engineering)","Schoe, A. (mentor); van Engelen, S.J.P.M. (mentor); Verzijl, U.C.A.M. (graduation committee); Harlaar, J. (graduation committee); Delft University of Technology (degree granting institution); Leiden University Medical Center (degree granting institution); Erasmus MC (degree granting institution)","2023","Critically ill, mechanically ventilated patients require a substantial amount of energy to meet their basic metabolic demands, as well as for their recovery, spontaneous breathing, gaining of strength, and weaning from the ventilator. It is important for patients to use their energy as efficient as possible at all stages of the disease and recovery. Physicians need to estimate and match the energy cost of the treatment regimen with the patient’s ability for energy expenditure. If the demands of the treatment regimen, such as weaning from the ventilator, are too high, it will lead to exertion and fatigue. On the other hand, if the patient is not challenged enough, it could lead to a slower recovery and prolonged admission to the Intensive Care Unit (ICU). For example, it is known that a too slow reduction in ventilator support can lead to atrophy of the diaphragm, resulting in prolonged ventilation time.
Predicting successful extubation is crucial for mechanically ventilated ICU patients. Prolonged intubation leads to prolonged mechanical ventilation, which is known to have adverse effects. Up to 20 % of all ICU patients fail to wean from mechanical ventilation. Therefore, it is crucial to investigate different parameters of effort. This will be elaborated on in Chapter 1 of the thesis. The oxygen consumption seems to be a good new parameter to add in standard of care.
To measure oxygen consumption (VO2) breath-by-breath, it is necessary to synchronize flow and oxygen concentration data. To create this algorithm, it was necessary to design an in vitro test setup that correctly mimics the mechanically ventilated ICU patient. This setup and the plan of requirements is described in Chapter 2. In the test setup a cylinder was used with N2 and CO2 to simulate oxygen consumption and CO2 production.
The algorithm developed using the data generated with the test setup using the Hamilton Mechanical Ventilator C6 flow data and the Masimo ISA OR+ oxygen concentration data, is used to synchronize the data from the two devices and to determine the VO2. As the rise time of the oxygen sensor in the Masimo was slow, this signal needed some adaptions. Furthermore, the time stamps were not the same, as with the sample frequency. After the synchronization, the VO2 was derived by taking the integral of the two synchronized signals per breath. These values were compared to predicted values, derived from breath-by-breath parameter data of the two devices. All the preprocessing, synchronization and eventual oxygen consumption measurements are described in Chapter 3.
As there were too many assumptions in the design of the in vitro test setup, it was necessary to create a new setup with minimized number of assumptions. This new test setup had two extra flow sensors in order to monitor all leaks and flows. Next to the more reliable data from the setup, some simulation data was created using Python. Both data were used to validate the algorithm. These steps were explained in Chapter 4.
In Chapter 5 some perspectives for the future were explained. This includes the integration of the VO2 in clinical practice and the steps that still need to be taken to come that far. These include testing on patient data and performing more clinical trials.","Intensive Care; Oxygen Consumption; Mechanical Ventilation; In vitro test setup; Weaning; Spontaneous Breathing Trial","en","master thesis","","","","","","","","2025-09-04","","","","Technical Medicine","",""
"uuid:ffb943e8-28b4-45bb-8c1e-8270a5e74296","http://resolver.tudelft.nl/uuid:ffb943e8-28b4-45bb-8c1e-8270a5e74296","Effect of structural elasticity on simulation of wind turbines and its verification: OpenFOAM based CFD simulations of HAWT coupled with FEM analysis based on spinning element","Gajjar, Kishan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Viré, A.C. (mentor); De Tavernier, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","As wind turbines get bigger and bigger, the simulation of wind turbines becomes more complex. The increase in size brings about a multitude of intricate challenges that must be addressed in the simulation process. These challenges lie in the different aspects of the simulations such as aerodynamics, structural dynamics, power electronics, hydrodynamics, turbine control etc.
The central theme of this thesis is to explore the effect of one of the aspects- structural dynamics, on the wind turbine simulations. Moreover, the thesis also emphasises the development of a structural module and it’s integration in an OpenFOAM-based wind turbine simulation library called TurbinesFoam. TurbinesFoam is an actuator line method-based simulation tool, which enables the study of turbine performance as well as wake dynamics using Computational Fluid Dynamics. The key motivation is also to contribute towards the accurate simulation of wind turbines by performing a successful integration as said above.
To fulfil the goals, a structural module was developed in Matlab to simulate the
structural dynamics of wind turbines. The module is developed from the theory
of spinning elements assuming the blade and tower as Euler-Bernoulli beam. The developed module code is called while running the CFD simulations in OpenFOAM to add deflection and rotation of the blades and towers, using a MatLab pipe class.The accuracy of the developed code was measured using BModes and OpenFAST. The results exhibited satisfactory agreement between the outputs.
The NREL 5MW turbine was used to study the effects. The results revealed that,
at slightly above the rated condition, the turbine showed 1% decrease in power
production due to elasticity when compared with a rigid turbine. The thrust and
torque coefficients showed similar trends of reduction in value. Moreover, as the inflow wind velocity increased, the differences in performance got broader, due to increased deflection value. The wake region of the elastic turbine showed a mixed region of both increased and decreased wind velocity when compared with the rigid turbine.
The case study is on the island of Grand Bahama. The island of Grand Bahama depends on the freshwater groundwater lens for its drinking water. Flooding during hurricanes introduces saline water into that groundwater lens. It is therefore highly probable that the current drinking water production method will not be able to meet the demand in the future. An alternative drinking water supply system should therefore be examined.
To investigate the interactions between the concepts, they are put into a conceptual design process, which uses the methodology “RenewIsland”, and used to set up some preliminary designs. Three Alternatives were made with the methodology “RenewIsland”. These Alternative designs were then subjected to a Multi-Criteria Analysis (MCA).
This thesis substantiates from the process that the interaction between the concepts is possible and that the inclusion of Build Back Better in the Water management decisions gives more possibilities to make balanced choices.
A blueprint has been made for a method to analyze swiftly if an alternative is compatible with the different cycles and phases of a location regarding a disaster and normal circumstances. This thesis also states that the study has to be extended with more research to make it generally applicable.","build back better; Interaction; water; Water management; three; point; pillar; sustainability; RenewIsland; Disaster Cycle; Multi-Criteria Analysis","en","master thesis","","","","","","","","","","","","Civil Engineering","","26.648803821724364, -78.52443925396999"
"uuid:1e9129cb-3a41-47a8-aca7-1b56bea2fa93","http://resolver.tudelft.nl/uuid:1e9129cb-3a41-47a8-aca7-1b56bea2fa93","Design of demountable iSRR connectors on oversized holes subjected to wheel loading","Köhlenberg, Jelco (TU Delft Civil Engineering & Geosciences; TU Delft Steel & Composite Structures)","Pavlovic, M. (mentor); Christoforidou, A. (graduation committee); Pasterkamp, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","As many bridges in the Netherlands are past their design-life and yet still have well-functioning steel superstructures, their bridge decks are often due to be replaced. FRP panels are promising alternatives to concrete decks for these renovation works due to their low density, fast on-site construction time, and excellent acoustic and thermal properties. Many of these bridges are originally designed with hybrid interactions occurring at the connection level. Multiple connection types exist to ensure hybrid interaction with FRP decks. However, there is a gap in this field concerning a rapidly demountable connection able to transfer shear forces at girders with oversized holes. The injected reinforced resin connector is designed and aimed at achieving hybrid interaction through combined injection and preloading of the connector. The aim of this thesis is to find a viable design for this connection, and to test the connection under local compressive wheel loads. be able to design the connector and test it according to standards as expected to occur in real bridge applications, a FE-model of the bridge Nieuw-Vossemeer is made to assess expected loadlevels in the connection. The result is a combined list of loadlevels and geometrical tolerances that the connection will have to be able to sustain or perform under. Based on the resulting design requirements a main design is proposed. Here a steel bolt is embedded in the bridge deck and held in place by injection with reinforced resin. A steel plate separates the bridge deck from the girder flange, and creates a full steel clamping package. Multiple design alternatives are tested by the use of FE-models, where the variable is the type of steel plate used between the bridge
deck and the girder flange. The most viable design to continue experimental tests with is selected by its stiffness, ultimate resistance, and a qualitative assessment regarding expected cyclic endurance. The best performing concept is a steel plate with a spherical bottom, a diameter of 150mm and maximum thickness of 20mm. Extra FE-analyses are performed on a local scale, and take into account positional deviations from the most ideal situation. In addition a series of two static and two cyclic experiments has been performed. Apart from finding their respective resistances, the failure modes are assessed and compared together with the numerical analysis. The static tests show an ultimate resistance of 501kN, the numerical analysis shows a resistance of 498kN. Both the static tests and the numerical analysis failed ultimately due to delamination in the bottom facing of the FRP, the cyclic tests failed under a similar failure mode in the bottom FRP flange. On loading ranges with R = 0.1 it is found that the primary failure mode is a horizontal crack opening up in the bottom FRP flange, which propagates into the webs. The connection withstood 2 million cycles at 9kN - 90kN without damage. Subsequently, two different loadranges were applied to the two different tests, which failed after 394 thousand cycles at 13.5kN - 135kN and 34 thousand cycles at 18kN - 180kN. It is concluded that the spherical plate is able to function as a coverplate and withstands rotations, displacements and the required loadlevels as expected in bridge applications. The primary recommendation is to continue performing tests with a similar plate with a thickness of 15mm, as the current design overshoots the required resistance significantly.
The results show that the design is well able to sustain the required local compressive wheel loads, both in ultimate and cyclic resistance. With the ability to (de)mount these FRP panels quickly on-site, two main goals have been reached. Firstly, this brings the use of FRP panels in infrastructure closer, leading to reduced loads onto steel superstructures of existing bridges (and hence an extended lifetime). Secondly, a fundamental step has been set towards modular bridge design, where during failure only specific panels of the system have to be replaced. Together these developments can lead to a reduced impact of the construction industry on the environment.","Demountable connections; iSRR connection; Composite bridges; Wheel loading","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:d40d059c-6a11-417b-9928-cf818901e01b","http://resolver.tudelft.nl/uuid:d40d059c-6a11-417b-9928-cf818901e01b","An Approach to Integrating the Environmental Costs into the Decision-making Process of Cost-benefit Analysis for Circular Viaducts","Krishna, Puneeth (TU Delft Civil Engineering & Geosciences)","Schraven, D.F.J. (graduation committee); Jonkers, H.M. (mentor); Yang, Y. (graduation committee); Schraven, P.A.H.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research investigates the integration of environmental costs into the cost-benefit analysis (CBA) framework for constructing circular viaducts. Circular viaducts offer environmental benefits but face challenges due to their higher initial construction costs. The traditional CBA framework focuses solely on economic costs, hindering the adoption of circular construction. This research proposes a methodology to monetize environmental costs and integrate them into the CBA framework to make informed decisions about circular viaduct construction.
The methodology involves analysing the environmental impact of circular viaducts and traditional viaducts using Life Cycle Assessment (LCA) and Environmental Product Declarations (EPDs). The environmental impact is then monetized using agreed-upon values from various stakeholders. The monetized environmental costs are incorporated into the CBA framework using cash flows and the net present value (NPV) method.
The research findings indicate that the implementation of circular viaducts is most viable in scenarios with longer lifespans. In these scenarios, the overall environmental costs of the circular viaduct are lower than those of the traditional viaduct due to the lower frequency of replacement. However, the NPV analysis reveals that the traditional viaduct has lower present value environmental costs in all three scenarios. This is due to the difficulty of accurately predicting future material prices, which could significantly impact the economic benefits of material reuse in the circular viaduct.
Results show that while the circular viaduct exhibits higher environmental costs in some scenarios, its economic benefits through material reuse, particularly in scenarios two and three, make it a more viable option. However, further research and development are needed to reduce the initial environmental and economic costs of circular viaducts to achieve a wider and faster adoption of this sustainable construction method.
The comparison uses novel and state-of-the-art techniques. Using scenario analysis, several perspectives are considered: recyclability, mass-induced energy demand, and alternative energy carriers. The analysis of energy carriers and end-of-life processes incorporates prospective methods to explore the effects of the energy transition. Across these scenarios, it was found that, when there is a mass difference among alternatives of 2% or more, the lighter alternative will be preferred, regardless of other factors. Through sensitivity analyses, potential was found for this margin to grow to 3% under extreme conditions, and to around 5-10% when shifting the whole lifecycle into the future. When dealing with smaller mass differences, material production and manufacturing waste become distinguishers of environmental performance.
These insights are valuable when exploring novel materials and manufacturing methods for commercial aviation. The approach defined in this thesis can be extended to any other application which has a lightweighting imperative, such as automotive, shipping, rail, or wind turbines. Building on this thesis, guidance can be provided on how and where to apply novel materials across multiple product lifecycles.
Augmented Reality (AR) and Mixed Reality (MR) can be used for surgical navigation to execute the pre-operative plan. HoloMA is a novel AR/MR application which can guide the user to place surgical instruments on the planned location within the patient. In this pilot study, the AR/MR-guidance of HoloMA was used to place personalized canine acetabular roof implants on the pre-planned location on the iliac bone.
Methods
Dedicated tools to perform the AR/MR patient registration and surgical guidance were developed. An in silico patient registration test was conducted to assess if the available bony surface during the acetabular roof surgery was suitable to perform the patient registration accurately. Pilot tests to place implants using the AR/MR-guidance of HoloMA were conducted on phantoms, a cadaver and in an in vivo dog patient. The translational and angulation error between the planned and the post-operative implant positions were determined. The aim was to achieve implant placement with a maximum translational error of 4.0 mm and a maximum angulation error of 5.0° relative to the pre-operative plan.
Results
The in silico patient registration test demonstrated a mean translational error of 0.94 ± 0.23 mm and a mean angulation error of 2.49 ± 0.34°. In the phantom tests, implants (n=6) were placed with a mean translational error of 1.94 ± 0.79 mm. The mean angulation errors in this test were: 2.87 ± 1.81° (transversal plane), 1.72 ± 1.64° (dorsal plane) and 3.10 ± 2.52° (sagittal plane). Two of the implants of the phantom test and both implants of the cadaveric test (n=2) were positioned with a translational error exceeding 4.0 mm and/or angulation error exceeding 5.0° from the planned positions. No implants were placed using AR/MR-guidance in the in vivo dog patient test.
Conclusion
The results of the in silico patient registration test hold promise for the use of AR/MR-guidance in positioning personalized acetabular roof implants. However, the moderate outcomes observed in the phantom and cadaveric test suggest the need for further testing and improvements before deploying this AR/MR technology in a clinical setting.
The research begins with an analysis of existing models used to describe friction behavior in precision engineering systems. A critical evaluation of empirical models highlighting strengths and limitations is presented, and the LuGre friction model is selected. Subsequently, a simulation work is conducted to identify the viscous coefficients, the stiffness coefficient, the Coulomb friction, the Stribeck friction, and the Stribeck velocity in the LuGre model. The simulation setup is described, including the incorporation of the LuGre friction model and the identification of system parameters. The accuracy of the identification value to the true value is above 99\%. A comparison of the sensitivity of the objective function to the change of parameters is also conducted to enable a comprehensive exploration of friction dynamics. Finally, the research delves into static and dynamic parameter experiments, where cable slab forces' position-dependent impacts and velocity-friction maps that capture the intricate Stribeck effect are presented, and closed-loop and open-loop setups to dissect friction behavior during rapid motion changes are employed. Residual analysis of histogram and 90\% confidence autocorrelation and cross-correlation is also presented to study the quality of identification and shows that the LuGre model does not fully capture the friction phenomena on the Proton Motion Stage. Future research should involve the modification of the LuGre model and data-driven approaches such as machine learning. Overall, this thesis fills the gap in state-of-the-art works by combining theory and practice to enhance the understanding of friction in precision engineering systems.","Friction; Signal processing; Estimation","en","master thesis","","","","","","","","2024-03-27","","","","Electrical Engineering","",""
"uuid:1d01eb40-fb9a-4588-a48d-62fceb38ae7c","http://resolver.tudelft.nl/uuid:1d01eb40-fb9a-4588-a48d-62fceb38ae7c","The Design of a Flexible Damper For Passive Energy-Dissipation in Assistive Devices","Venkata Shanmukha Sreeramagiri, Souri (TU Delft Mechanical, Maritime and Materials Engineering)","Smit, G. (mentor); Vallery, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Energy dissipation plays a crucial role in mitigating noise and vibration in a wide range of applications. In the field of assistive devices, energy dissipation is used to improve the stability of joint motion and protect the musculoskeletal system from excessive loads. It is not surprising that considerable effort has gone into developing effective energy dissipation mechanisms for this application. However conventional devices are bulky, expensive, and rigid. They are known to cause discomfort, and skin trauma to the patients. With the advances in soft robotics, novel soft exoskeletons that demonstrate bio-mimetic functionality, increased comfort, and cost-effective designs are being developed. This work proposes a novel soft, passive damper, intended for operation as an energy dissipator in assistive devices. The study begins with an overview of the state of the art in assistive devices, and energy dissipation mechanisms. A comparison is made of the various modes of energy dissipation for the intended application, and the most suitable one is selected. A proof-of-concept passive flexible damper is designed. A prototype is assembled and its working is experimentally verified. The study concludes with the successful demonstration of a novel soft damper, comments on the current limitations, and suggests future research directions to improve on this approach. This work is a contribution to the development of a new class of soft energy dissipators.","Energy dissipation; Soft Devices; Compliant transmission; Assistive Devices; Hysteresis","en","master thesis","","","","","","","","2025-12-25","","","","Mechanical Engineering | BioMechanical Design","Master Thesis",""
"uuid:065626d1-53c9-4139-b53b-cea7bd1ba8e9","http://resolver.tudelft.nl/uuid:065626d1-53c9-4139-b53b-cea7bd1ba8e9","Een eerste haalbaarheidsstudie naar grootschalige implementatie van waterkrachtcentrales in de toekomstige Maas-stuwen: Een casestudie naar de vervangingsopgave van de stuw bij Grave","van Bergen, Jeroen (TU Delft Civil Engineering & Geosciences)","Voorendt, M.Z. (mentor); van Nederveen, G.A. (mentor); Moll, J.R. (graduation committee); van Heereveld, Michel (graduation committee); Delft University of Technology (degree granting institution)","2023","With the growing demand for expanding sustainable energy production in the Netherlands, investigating potential renewable energy sources has become imperative. Scheduled replacement projects for weirs along the river Meuse within the next decade present an excellent opportunity to assess the feasibility of integrating hydropower plants into these future weirs. This thesis explores the feasibility of implementing hydropower plants in future weirs along the river Meuse, addressing criteria such as hydraulic aspects, impact on vessel navigation, fish migration, and economic viability.
To achieve this objective, an initial case study was conducted, focusing on the development of a design for the weir replacement at Grave. Subsequently, the broader applicability of the developed design was explored to investigate large-scale implementation in the river Meuse. At the outset of this study, no specific design existed for the future weir at Grave. Therefore, the initial research phase concentrated on creating a future weir design for the Grave location. Following this, the optimal method for integrating a hydropower plant into this future weir was explored, employing the basic engineering design cycle as applied in civil engineering. The Archimedean screw turbine technology was selected, leading to the development of multiple hydropower plant designs using this technology. Initially, their direct integration into the weir was explored. However, it was determined that placing more than two of these turbines directly within the weir was hydraulically unfeasible, posing risks to water safety. To overcome this challenge, three potential implementation concepts were developed: temporary removable turbines, a hydropower plant in the floodplain, and turbine integration into weir pillars.
The optimal design for integrating a hydropower plant into the future weir complex at Grave was determined through a Multicriteria Analyses and the calculation of the internal rate of return for the various design variants. An essential finding is that economic feasibility depends on factors such as electricity prices and subsidies. The research suggests promising potential for hydropower implementation but underscores the need for further investigation. The design appears widely applicable to future weir projects along the river Meuse, which could potentially provide sustainable energy to approximately 32,000 households. Nevertheless, complexities necessitate additional research. It is crucial to emphasize that this thesis serves as an exploration rather than a definitive assessment of hydropower plant implementation in future river Meuse weirs. The study concludes by offering recommendations for further research.","Weirs; River Meuse system; Hydropower; Basic engineering design cycle as applied in civil engineering; Grave; Archimedes Screw Turbine","nl","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:cbe15b57-79d7-405e-9d48-0d82fcbebbe5","http://resolver.tudelft.nl/uuid:cbe15b57-79d7-405e-9d48-0d82fcbebbe5","Design and Analysis of an Underwater Wedge Connection","Kurup, Vivek (TU Delft Civil Engineering & Geosciences)","Veljkovic, M. (mentor); Tankova, T. (graduation committee); Hoving, J.S. (graduation committee); Winkes, Jasper (graduation committee); Creusen, Koen (graduation committee); Delft University of Technology (degree granting institution)","2023","The field of wind energy has experienced significant expansion and development driven by the need to reduce reliance on fossil fuels. Offshore wind turbines have become increasingly popular leading to larger turbines with greater energy output to match the growing demand. The most common foundation type of offshore wind turbines is the monopile foundation, consisting of two large diameter steel tubulars, the monopile (MP) and the transition piece (TP).
As wind turbine generators (WTGs) increase in size, the transportation and installation of MP foundations becomes more complex and expensive, requiring specialized vessels with adequate lifting capacity. This research investigates a new connection – the underwater wedge connection – as a method of connecting an MP to a TP underwater. By having an underwater connection, the size of the MP can be reduced as it no longer has to exceed the waterline.
The wedge connection consists of a dowel with an inclined plane and two flanges. A number of dowels will be placed around the circumference of the connection, fitted onto flanges on both the MP and TP. As the dowels are pushed into position, the inclined plane creates a vertical preload between the two flanges. This allows a tensile load on a segment of the connection (caused by the bending moment in the foundation) to be transferred to the foundation via two load paths: reduction of the preload, and by loading the dowel itself in shear.
First an analysis on the current state of art and relevant design codes was carried out. This analysis highlighted the requirements the connection needed to satisfy, in order to serve as starting points to make decisions regarding the design. The preliminary design of the connection is made using analytical calculations. The structural integrity of the flanges and the dowel at the Ultimate Limit State (ULS) was verified. A 3D model of the connection was then created to perform numerical analyses using ANSYS Static Structural. The behaviour of the connection under ULS and Fatigue Limit State (FLS) loading is studied. The opening and failure point of the connection along with the sensitivity of various parameters are also investigated.
The results show that connection was able to effectively transfer both tension and compression loads. The connection has a mechanical advantage of 1.95, meaning that it achieves the same preload as the ULS load by applying only 51.3% of the ULS segment load during installation. The connection opens gradually and only opens at a load higher than the ULS load. Even after opening, it continues to transmit loads effectively, with ultimate failure governed by the yielding of the lower flange. Additionally, the connection exhibits good fatigue resistance, with a low fatigue damage level of 3.8%.
It is recommended to conduct experiments to validate the numerical model employed in this study. Further studies should also be carried out to investigate the impact of structural imperfections on the behaviour of the connection.
The Digital Twin model showed great control tuneability, while the PLECS model showed superior control performance, modeling complexity, error occurrence, and time-based performance for the designed specifications. It was concluded that the Digital Twin model needs to be developed further or reassessed
to outperform the other modeling methods. The recommendations made included re-evaluating the OPAL-RT Digital Twin results for different signal measurement methods and validating the OPAL-RT Digital Twin’s performance by comparing the results with other Digital Twin brands. Future work suggestions included creating a hardware-in-the-loop system and expanding on the current network design to include all components of a power-to-power hydrogen network.","Digital Twin; Isolated DC/DC coverter; Dual Active Bridge; Alkaline Electrolyzer; Real TIme Digital Twin; Electrolysis","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:440c54a7-e599-4a9e-bdd2-6b936de23ef6","http://resolver.tudelft.nl/uuid:440c54a7-e599-4a9e-bdd2-6b936de23ef6","Bubble Dynamics in a Fluidized Bed: An Experimental Study Using X-ray Tomography","Podber, Sophia (TU Delft Applied Sciences)","Portela, L. (mentor); Bera, B. (graduation committee); Henkes, R.A.W.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Fluidized bed reactors are catalytic multiphase reactors capable of processing large volumes with relatively high mass and heat transfer, which is appealing to a variety of industries. The presence of voids, in this case gas bubbles, within these systems can be detrimental to the efficiency and are desired to be minimized. Further fundamental understanding of the bubbles is necessary to model, design, and control them. However, the study of bubbles is difficult, as these beds are typically opaque and 3D. Current studies of bubbles within fluidized beds are often limited to use of quasi-2D set ups, specialized particles, or internal measuring devices. This research utilizes a newly constructed experimental setup consisting of three x-ray source and flat panel detector pairs that produce 2D projections. This setup allows for investigation into the bubble dynamics inside a 3D cylindrical column with industrial particles. The possibilities and limitations of the use of 2D projections to obtain fully 3D time-resolved reconstructions were explored through simple 3D reconstructions of injections of single bubbles. These 3D reconstructions showed the potential of the setup for 3D time-resolved studies of the bubble dynamics and laid the groundwork for future studies. Apart from the ability to obtain 3D reconstructions, the 2D projections can be used to study the dynamics of the bubbles. In this research, 2D projections of an injection of a single bubble were used to develop a deeper fundamental understanding of the shape, motion, and dynamics of an individual bubble traversing through a bed. The bubble shape and size were obtained through a horizontal slicing technique, which assumes axis-symmetry around the vertical axis. Different background fluidization levels, injection volumes, injection velocities, particles, and column diameters were investigated. An analysis of the individual bubble trajectories and the statistical averages provided insight into the interconnection of these factors. The two previously studied bubble stages, formation and rising, were observed. The rising stage was observed to have two regions, stable and unstable. The stable region, following the formation stage, occurs when the motion of the bubble is essentially rectilinear. The unstable region, following the stable region, occurs when the bubble moves sideways while traversing the column in an irregular motion. A simple analogy to gas bubbles in a liquid was drawn, suggesting the bubble cloud, or particles surrounding the bubble, plays a vital role, especially in the formation of the bubble. Standard correlations for the bubble rise velocity based on a constant bubble Froude number were found to be insufficient at accurately describing the bubble velocity and dynamics. The Froude number for individual bubbles appears to be non constant and dependent on parameters that have not been previously considered, like the level of background fluidization.","","en","master thesis","","","","","","","","","","","","Chemical Engineering","",""
"uuid:dbacafb8-115c-422d-862a-eb25e0296053","http://resolver.tudelft.nl/uuid:dbacafb8-115c-422d-862a-eb25e0296053","Hotspot Endurance Testing of Thin Amorphous Silicon Solar Foils: The importance of reliability","Sluijs, Peer (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smets, A.H.M. (mentor); Koorthedath Pullayikody, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Thin-film amorphous Silicon (a-Si) technology has been up and coming in recent years in order to achieve an efficiency comparable to crystalline Silicon (c-Si). Although the technology has existed for quite some time, it has not been researched as much as its crystalline counterpart, especially not in the hotspot reliability sector. The hotspot phenomenon is noticed in field-aged modules commonly due to partial shading or soiling losses. When one of the cells in a string gets partially or fully shaded, the shaded cells are forced to be in reverse bias and dissipate power in the form of heat, causing the formation of hotspots. The hotspots can reach temperatures of beyond 100C. These can lead to the melting of module encapsulants and faster degradation of the module, as well as being a fire hazard because of the elevated temperatures. This thesis was done in collaboration with HyET Solar BV, a company that produces a-Si thin film solar foils. The modules used in this thesis are from HyET Solar BV and we have selected highly degraded modules for the experiments.
This report aims to achieve a couple of things: first, get insight of hotspot formation in a-Si thin-film modules in accordance with the latest International Electrotechnical Comission (IEC) 61215 Certification norms.
Secondly, we have utilized electroluminescence (EL) imaging to classify various defects present in the modules and to evaluate their endurance to hotspot formation. Based on those results, we created a map that shows the probability of hotspot formation from different defects in the degraded modules.
And lastly, we classified the different kinds of hotspots based on factors like shape and location. Also, the possibilities of how these defects originated are explained on the basis of a schematic overview of the interconnection between the cells.
After testing and experimenting, it became apparent that the highly degraded a-Si foils are susceptible to hotspot formation. After light soaking two foils according to the IEC 61215 norms, a couple of hotspots were created in the shaded area. Some of these hotspots could be seen on EL images by defects before they became visible during the visual inspection step. EL imaging is used to characterize different defects that could lead to hotspot formation. These defects are white dots, current crowding and dark regions. The probability map for these defects is as follows: 46.6% of the white dots have turned into hotspots, 16.7% for current crowding and 32% for dark regions. It is suspected that these white dots are a manufacturing process problem; they could be areas of high-impurity contaminants or a sharp point. Current crowding and dark regions are always paired together and depending on which side of the cell they are on, it is suspected that it is a fault in either the P2 or P3 scribes of a cell in the module.","Amorphous silicon solar cells; Thin-film modules; Hotspot endurance testing; Reliability of solar foils; EL and IR imaging","en","master thesis","","","","","","","","2025-09-30","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:9c76e0a8-8b86-4a3b-a83b-1a443e5503bc","http://resolver.tudelft.nl/uuid:9c76e0a8-8b86-4a3b-a83b-1a443e5503bc","On the Influence of Whitening Transformations on Hyperspectral Data","van der Wal, Gwyn (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Statistics)","van Gijzen, M.B. (graduation committee); Söhl, J. (mentor); Satink, R. G. (mentor); Delft University of Technology (degree granting institution)","2023","The whitening transformation transforms a random matrix into a whitened matrix with expectation 0 and covariance matrix I. By removing the first and second order statistical structures, higher order structures can be looked at for better classification. This is why Stage Gate 11 B.V. has employed whitening in the preprocessing of their hyperspectral data. The aim of this work is to gain insight into the whitening transformation and how it influences hyperspectral data.
To gain this insight, synthetic data was created and used to make synthetic scans. The signal-to-noise ratio of a target spectrum was calculated, and Monte Carlo simulations were used to reveal hidden patterns in the data. In case of a high contrast scenario, multi-area whitening was employed and the cosine similarity between the target spectrum and its signature was determined. It was observed that the shape and intensity of the whitened target spectrum differs, depending on if pixels were used as observations or wavelengths. However, both are subject to the ‘bleeding’ effect. Further, it was found that if the number of pixels in the scan is greater than the number of spectral bands (548), then the signal-to-noise ratio becomes better as the number of whitened pixels in the scan increases. In case of a high contrast scenario, multi-area whitening guarantees the uniformity of the spectra, resulting in a higher
cosine similarity between the target spectrum and its signature. But as multi-area whitening uses a smaller
number of pixels in the scan, it cannot be concluded if multi-area whitening is better than global whitening, as it is not known how the increase in cosine similarity and the decrease in signal-to-noise ratio relate to the classification process. Finally, it is concluded that when working with real and unknown data, using pixels as
observations is much more feasible.","Whitening; Hyperspectral imaging; Signal-to-noise ratio; Cosine similarity","en","master thesis","","","","","","","","2025-09-26","","","","Applied Mathematics","",""
"uuid:560e550f-fe63-47f6-9cfa-73cac7e3882c","http://resolver.tudelft.nl/uuid:560e550f-fe63-47f6-9cfa-73cac7e3882c","Barriers and Strategies for The Market Diffusion of Solar Electric Vehicles in India","Nandigam, Sai Pavan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Annema, J.A. (mentor); Kamp, L.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The transportation sector is a major contributor to global emissions, with road transportation responsible for a significant part of these emissions. Electric mobility, particularly Solar Electric Vehicles (SEVs), offers a solution to deal with the challenges encountered by traditional electric vehicles ultimately contributing to reducing emissions, which is especially relevant for countries like India. This thesis investigates the socio-technical conditions influencing the market diffusion of SEVs in India using the Technological Innovation Systems (TIS) framework. It involves qualitative research methods, including expert interviews, to explore barriers, opportunities, strategies, and stakeholders involved. This is investigated further by raising the (research) question
“What are the socio-technical conditions that affect the market diffusion of Solar Electric Vehicles in the Indian market?”
This study is conducted through four different phases. It begins by examining the current status of Electric Vehicles (EVs) and their technological similarities with SEVs. While SEVs have the potential to offer a dual power source with solar panels, they are yet to enter the Indian market. Also, various relevant frameworks are reviewed to understand the conditions affecting SEV diffusion, including the TIS framework by Ortt and Kamp (2022). SEVs are classified as disruptive technology, and the possibility of large-scale diffusion of SEVs in India is explored by evaluating the completeness of certain TIS building blocks.
During the second phase, the barriers, their underlying conditions, and the affected TIS building blocks are linked using the guidelines provided in the literature. Upon identifying the links, the status of TIS building blocks is evaluated for SEVs in India. It is evaluated by understanding the level of threat these barriers pose to the diffusion of SEVs in India, based on the validation from expert interviews. Additionally, the opportunities for SEV technology in the Indian market are also discussed highlighting their potential to solve the problems in India.
In order to address the barriers identified in phase two, using the Niche strategy framework proposed by Ortt et al. (2013), the strategies relevant to the market diffusion of SEVs are explored and validated. These strategies are clearly divided into the ones tailored for the government, industry, and customers. Expert interviews reveal the feasibility of the implementation of these strategies based on the current market conditions and also that of the future.
After identifying the barriers, and the strategies, in phase four, the links between them are formulated based on the guidelines provided in the literature. These guidelines are used to first, formulate all the possible strategy links circumventing each barrier. Secondly, based on the expert opinions the procedure to select the most important strategy (per barrier) from the list of possible all the possible strategies is provided.
Ultimately, upon forming the links, the immediate threats to the market diffusion of SEVs in India are discovered, and the possible measures to circumvent those threats are recommended to the stakeholders involved.
To start and give direction to the project, the Material Driven Design (MDD) method was used. Moreover, a literature review about awe, organza, light and light-material interactions was carried out. Besides, three separate experiments were conducted involving 22 participants in order to find which variables of organza and lighting would elicit what emotions, what rate of a visual awe experience and what meanings/associations by using an adapted version of the Experiential Characterization toolkit from the MDD method.
The results of the three experiments showed that high-intensity red and blue lighting colours, a hole-patterned organza construction and the room's lighting turned off elicits the highest rating of the awe experience.
The final light sculpture – made from organza with circular patterned holes, high-intensity red and blue lighting and projections of storytelling dynamic light textures – elicits a visual awe experience of different intensities during one loop of three light textures.
The process of designing this installation serves as a case study demonstrating how the interplay of light and organza can evoke awe and enhance people's experiences, potentially leading to benefits such as increased state of focused attention and awareness on the present moment and improved psychological well-being.","awe experience; lighting design; organza; dynamic light textures","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:e8c4fcd7-0941-4f0b-b160-dc84a8e997e1","http://resolver.tudelft.nl/uuid:e8c4fcd7-0941-4f0b-b160-dc84a8e997e1","Residual Ground Fault Detector for Bipolar LVDC Grids: A Novel Approach towards Selective Protection","Balaji Subramanian, Balaji (TU Delft Electrical Engineering, Mathematics and Computer Science)","Popov, M. (mentor); Qin, Z. (graduation committee); Mackay, Laurens (graduation committee); Shah Khawaja, Samad (graduation committee); Delft University of Technology (degree granting institution)","2023","LVDC Distribution systems are becoming popular due to the avenue of integrating renewable energy sources on a large scale. Predominant DC based power system architecture has been predicted to serve the needs of a sustainable society that holds the capability to self-generate, share, and trade power produced from renewable energy sources. Bottom - up approach beginning from development of products that run on DC till microgrids and integration of rural communities using LVDC distribution presents as a promising avenue for adoption of DC grids worldwide. Many areas in DC distribution system are yet to undergo rigorous study both theoretically and practically. Touch protection is one such area which is largely unexplored. Residual current devices (RCD) are traditionally implemented at the load side to trip at specific residual current levels ranging from few to hundreds of milli amperes. Current trip thresholds and time within which the fault must be isolated are different for DC. There is dearth of standards for DC RCD. In this thesis project we attempt to design a compact, reliable, and cost-effective RCD. The designed and developed prototype is tested at DC Low Voltage levels for various residual current magnitudes to determine important parameters like reaction time and accuracy.","RCD; DC distribution system; LVDC; Residual currents; Current Sensor; Protection; Ground Fault; Fluxgate; PCB Design; Magnetic core","en","master thesis","","","","","","","","2025-09-18","","","","Electrical Engineering | Electrical Power Engineering","Energy Access",""
"uuid:15c00aee-7d70-44a2-b30e-eabdbfb7a6bb","http://resolver.tudelft.nl/uuid:15c00aee-7d70-44a2-b30e-eabdbfb7a6bb","Helical Piles as Complementary Foundation for Offshore Temporary Structures: A study into the effects of incorporating helical piles into monopile installation templates","Meijer, Philip (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Offshore and Dredging Engineering)","van der Male, P. (mentor); van der Stap, A.C.M. (graduation committee); Hoving, J.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study examines the effect of adding helical piles to the foundation mechanism of monopile installation templates. A monopile installation template is a gravity-based structure, which is placed on the seabed. The installation template is utilised for the installation of monopiles, and to ensure its verticality during this installation. At this time, challenges arise for the stability and operability of the installation templates due to the increased dimensions and mass of these structures. The increased demand for green energy leads to the growth of monopiles, in both number and size. The growth in monopile size leads to the increasing required dimensions of the installation templates. The installation templates are, however, often restricted in size and mass by the capabilities of the installation vessel.
The installation templates generally use mud-mats as foundation system to ensure stability and prevent the template from excessive settling. The mud-mats cause significant dynamic effects, especially when taken through the water line. Moreover, the sliding capacity of mud-mats is often insufficient, leading to potential sliding failure of the template. A literature review is conducted using different sources, such as reviews, offshore guidelines, research papers, and expert interviews, to investigate installation templates and different foundation mechanisms. The investigated foundation mechanisms are suction buckets, mud-mats, and (helical) piles. The literature review demonstrates that helical piles show greatest potential of being added to the mud-mat foundation. Therefore, solely helical piles are considered in the current study, and the following research question is answered: How, and to what extent, does adding helical piles affect the footprint of the monopile installation template designed for the benchmark project? The current study uses a project carried out by Heerema Marine Contractors as benchmark. All parameters of this project are used as input for the study. Findings of analytical analyses on the (environmental) loading and mud-mat capacity are validated with the benchmark project results. Subsequently, the helical pile geometry is optimised for maximum uplift capacity, using the methodology shown in the figure below. The capacity is optimised for uplift capacity, as it is assumed that the mud-mats can bear the compressive loading. Additionally, the lateral capacity would significantly increase by utilising piles, and therefore optimising for lateral capacity is not recommended. The obtained geometry, optimised for maximum uplift capacity, is then assumed to be constant and used to determine the uplift, compressive, and lateral capacity. The analysis shows a positive correlation between embedment depth and capacity (uplift, compressive, and lateral). The helical pile capacity is added to the mud-mat capacity. Consequently, effects on design variations are examined, such as reduction in template's mass and size, mud-mat size, and variations in helical pile geometry and soil parameters. The analysis shows a significant improvement in capacity of the foundation, in both compressive and lateral capacity. The uplift capacity of the helical piles ensure no uplift of mud-mats occurs. This leads to a more evenly load distribution among the mud-mats, lowering the maximum factored load on the legs. Consequently, the mud-mat size and the template's mass and dimensions could be reduced. It can be concluded that a reduction in mud-mat size directly results in the potential of reducing the template dimensions. The reduction in both template and mud-mat size would automatically lead to a decrease in mass. However, the reduction in template mass could lead to a decrease in structural performance. This should be investigated in further studies. Variations in soil characteristics show that the performance is even more enhanced in denser sands. Concluding, the helical piles have a positive influence on the template's overall capacity. The findings show that a reduction in the footprint of the template is possible, of maximum 13.6%.","offshore; monopile installation template; helical piles; screw piles; foundation","en","master thesis","","","","","","","","2025-09-26","","","","Offshore and Dredging Engineering","",""
"uuid:7762a76f-e09d-4d90-b194-c76e2e83db80","http://resolver.tudelft.nl/uuid:7762a76f-e09d-4d90-b194-c76e2e83db80","A model-based thought experiment on ports' energy vectors: The case of Port of Barcelona","Di Pietro Garcia, Carlos (TU Delft Technology, Policy and Management)","Ramirez, Andrea (mentor); Bruninx, K. (mentor); Brazier, F.M. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:ecdc5f33-60c3-40ca-a08b-b02c6a7d085e","http://resolver.tudelft.nl/uuid:ecdc5f33-60c3-40ca-a08b-b02c6a7d085e","Unlocking Cluster Investment Attractiveness: A Multi-Case Study Analysis of Patenting, Publishing, and University Proximity","Venturi, Laura (TU Delft Technology, Policy and Management)","Scholten, V.E. (mentor); Ralcheva, Aleksandrina (graduation committee); Delft University of Technology (degree granting institution)","2023","In a world marked by rapid technological advancements and environmental concerns, clean technology clusters have become hubs of innovation. Attracting investment in these clusters has gained significant attention from scholars and industry professionals, which aim at understanding which activities companies can undertake in order to increase the attractiveness of the cluster and region.
This study explores the factors influencing investment attractiveness in clean technology clusters, focusing on company-level variables like patenting activity, publishing activity, and proximity to universities.
Using a case study approach, the research analyzes four Western European clean technology clusters, aiming to uncover insights and differences in investment attractiveness. The four clusters are Aclima (Basque Country, Spain), CLEAN (Central Region, Denmark), Greenreality (South Karelia, Denmark) and Water Alliance (The Netherlands). The analysis is divided into the single case reports for each cluster, exploring the variables at the company level, and into the cross-case analysis, bring the previous observations together at the cluster level.
The findings highlight the positive impact of patenting activity on cluster attractiveness for the clusters under study. While no distinct relationships were found for publishing activity and university proximity, the cluster-level additional factors in consideration provided useful identifying some potentially meaningful differences between the clusters, such as the approach and recognition of their regions and countries toward sustainability.
However, the study also acknowledges its limitations, mainly stated as the availability and quality of data, as well as some methodological decisions on the assessment of investment attractiveness, suggesting future research opportunities in this dynamic field. From elaborating a more refined iteration of this study to approaching new topics, the findings and limitations of this research invite future researchers to enrich the body of knowledge associated with clean technology clusters, and in particular with their funding dynamics.
The implications of this study mainly concern the positive influence of patenting on the attractiveness of companies and clusters. Pursuing and supporting this kind of intellectual protection activity could prove to be a powerful tool for firms and cluster managers.","investment attractiveness; clean technology clusters; clusters; innovation capabilities; access to knowledge","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:e86ab65e-3b80-4949-9666-a858ccecbb3e","http://resolver.tudelft.nl/uuid:e86ab65e-3b80-4949-9666-a858ccecbb3e","Probabilistic Regression of wind turbine loads using Conditional Generative Adversarial Networks","Yap, Li-Toong (TU Delft Aerospace Engineering)","Dwight, R.P. (mentor); Singh, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Site analysis to determine the loads experienced by wind turbines based on site-specific environmental conditions is typically done using either coupled aero-servo-elastic simulations for onshore wind turbines or coupled aero-servo-hydroelastic simulations in the case of offshore wind turbines. These simulations become computationally expensive when multiple load cases are needed to be taken into account, together with the numerous possible combinations of turbulence inflow patterns that result in the same mean inflow conditions. Probabilistic surrogate models offer a cheap alternative to these expensive simulations for predicting load statistics. This thesis explores a type of neural network called Conditional Generative Adversarial Networks (CGANs) as a potential candidate for such a surrogate model. Originally developed for image generation, CGANs have seen success in other applications. However, most applications of GANs to date are high-dimensional, with relatively low research focused on low-dimensional problems such as wind turbine load statistics. Multiple experiments are conducted using various multimodal and heteroscedastic datasets to assess its ability to model such characteristics
accurately. The conditional log-likelihood andWasserstein-1 distances were used as metrics. The results show that CGANs can indeed model such low-dimensional datasets. Finally, the CGANs are trained on data from simulations of onshore and offshore wind turbines in OpenFAST and compared with predictions from Mixture Density Networks (MDNs). The results from CGANs are comparable to MDNs, showing its potential as another alternative surrogate method, although more research needs to be performed.","Machine Learning; Surrogate Modeling; Probabilistic Regression; conditional Generative Adversarial Network; Wind turbine loads","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Aerodynamics and Wind Energy","",""
"uuid:8c784c0a-3b40-40d6-ae6a-8412d959ef67","http://resolver.tudelft.nl/uuid:8c784c0a-3b40-40d6-ae6a-8412d959ef67","Modelling the Social Return on Investment: A System Dynamics Approach for the Support of Patients with Cardiovascular Disease","den Otter, Jeremy (TU Delft Technology, Policy and Management)","Hinrichs-Krapels, S. (mentor); Mouter, N. (graduation committee); Kwakkel, J.H. (mentor); Wigmans, J. (graduation committee); Verstraaten, I. (graduation committee); Delft University of Technology (degree granting institution)","2023","Cardiovascular diseases (CVDs) are a leading cause of death globally, causing 38% of all premature deaths. Providing care for the patients who survive is considered a priority for healthcare managers. In the Netherlands, 1.7 million people currently are diagnosed with cardiovascular diseases. After experiencing and surviving a CVD event, patients require support to get their lives back on track. However, over the next years, the number of patients is expected to increase to 2.6 million people, creating a burden on the healthcare system. Moreover, the costs of healthcare have been increasing over the last few years, decreasing the accessible and affordable for the patients to receive care. Intervention is needed to keep the quality of life of patients high. However, most interventions focus on the prevention of CVDs, with no attention to patients that already diagnosed with cardiovascular diseases. This research presents a model for the support of patients with cardiovascular diseases within the Netherlands. It is done in conjunction with Harteraad, a non-profit Dutch patient organisation that represents the interests of the 1.7 million patients. The model aims to explore the effect of interventions on the lives of patients while keeping the investment cost-efficient. To successfully answer the research question, four steps were taken. First, a literature study was conducted to find potential candidate interventions that Harteraad can implement. The results from the literature study suggest that the priority lies in interventions on physical activity, mental health and medication adherence. In the second step, a causal loop diagram (CLD) was built that maps the interventions to outcomes. For this research, the main outcome variable is the social returns on investment (SROI). SROI measures value creation on a social, environmental and economic level. Secondary outcomes include deaths, hospitalisations, and quality of life. All three of which are used to calculate the SROI. The CLD shows that the interventions affect the outcomes by improving patient behaviour. Whether that is in more exercise, seeking mental support or medical adherence. In the third step, a System Dynamics model was developed to simulate the economic effect of different interventions. Population data were retrieved from the Hartstichting, whereas data sources on input values were found in academic literature. The latter being a source of uncertainty, as healthcare systems across countries cannot be directly compared. So in the fourth step, uncertainties were incorporated into the SD model and tested systematically. The results for the simulation show that the SROI is positive for six interventions. As such, the recommendation is to invest in either of those interventions. More specifically, for physical activity, the efficient interventions are “Active-at-Home”, “Video Gaming”, and “Group-Based Training”. For mental health, the efficient interventions are “Care Coordination”, “Cognitive Behavioural Therapy”, and “Telemedicine”. Lastly, for “CombiConsult”, the recommendation is to offer additional mental support as well to create social return. Although the model is able to give insights into potential interventions, more research is required. The model is only a simplified representation of the real world. Data was either lacking or outdated, creating nuance in the model results. As such, the model cannot be used to give the optimal solution. These nuances thus need to be addressed in future research. Despite the limitations of the model, the research provides societal and scientific contributions. The research shows that system dynamics modelling is a suitable method to model the support of patients. Moreover, the model is able to determine if interventions are cost-efficient while increasing social benefits to the patient. This research furthermore adds a contribution by performing a systematic uncertainty analysis and so on, offering aid in robust decision-making. Lastly, the model is suitable to be used in different countries under different healthcare systems. As such, this research contributes to the research on patient support.","Cardiovascular disease; Social Return on Investment; Quality of Life; Healthcare Modelling; System Dynamics","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:be6da098-6bcb-45fc-9f3c-23dde5810bce","http://resolver.tudelft.nl/uuid:be6da098-6bcb-45fc-9f3c-23dde5810bce","Carbon Credit Incentives for Agroforestry: A Feasibility Study for Smallholder Farmers in Ghana's Ashanti Region","Dumas, Youp (TU Delft Technology, Policy and Management)","Scholten, V.E. (mentor); Ubacht, J. (graduation committee); van Andel, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Climate change is a pressing concern affecting the livelihoods of farmers in Ghana's Ashanti region due to erratic rainfall patterns, primarily impacting rain-fed agriculture. This research explores the potential of carbon credits to encourage smallholder farmers in the Ashanti region to adopt agroforestry practices as a solution. Agroforestry, if effectively implemented, not only helps combat climate change by capturing carbon but also improves soil fertility, protects crops from extreme weather, and offers various benefits to local farmers. This study delves into the complexities of this issue to propose innovative solutions benefiting both the local farming community and the global climate challenge.
The carbon-based agroforestry system consists of three main parts: the carbon credit system, the institutional system, and the socio-technical system. To study this complex system, we adopt an illustrative case study approach, focusing on the Ashanti region in Ghana. Our research follows a top-down approach, beginning with comprehensive desk research to build a foundational understanding, followed by in-depth interviews with local farmers and selected experts, including government agencies and an NGO, to gain a nuanced understanding of the Ashanti region's context.
Taking into account the carbon credit system, significant attention is devoted to crafting a project framework that aligns with rigorous carbon standards. The accumulation of carbon credits over time serves as a means to secure initial investments. Farmer involvement, particularly their commitment, assumes paramount importance in the context of the carbon credit system, given that only mature trees can generate carbon credits. Primary risks pertain to tree cutting or tree mortality. To mitigate these risks, farmers need comprehensive training and access to essential tools for tree maintenance.
The land tenure system in the Ashanti region is notably complex, predominantly relying on the customary framework. Insights garnered from farmer interviews underscore the pronounced tenure insecurity that impedes farmer participation in the system. Securing land tenure documents is pivotal to instilling confidence among farmers regarding the equitable distribution of system benefits. Notably, varying farmer characteristics and specific traditional areas wield varying degrees of influence over land tenure security and the complexity of acquiring such documents. For system feasibility, a targeted approach focusing on engaging landowners and dispelling misconceptions while emphasising the advantages of land tenure documents is essential. Incentivising landowners through a share of the carbon revenue may also be necessary to ensure their active participation.
Farmers in the Ashanti region grapple with diverse challenges, stemming from erratic rainfall patterns, pest infestations, weed proliferation, and soil nutrient depletion. These challenges are compounded by financial constraints, exacerbating the farmers' livelihood struggles. Notably, farmers place a higher premium on the tangible benefits of increased fruit tree yields as the primary incentive for system participation, displaying comparatively lesser interest in the intangible monetary returns from carbon credits. Effective communication with farmers necessitates addressing their immediate concerns. Consequently, the agroforestry system should be designed to incorporate intercropped fruit trees, delivering additional yields while preserving the cultural significance of existing crops and optimising the environmental advantages of the system. Given that farmers predominantly learn through visual exposure, the initiation of a pilot agroforestry system can substantially bolster their willingness to participate. Simultaneously, the development of tailored training programs and the provision of essential tools are indispensable for empowering farmers to proficiently maintain the trees.
The significance of carbon credits within the system primarily lies in compensating cooperating and financial parties, as farmers prioritise other benefits. The institutional system's challenges, particularly in securing land tenure documents, pose substantial feasibility hurdles for the system's viability. In future research on this topic, it would be valuable to seek the insights of traditional authorities.","Agroforestry; Carbon Credit; Voluntary Carbon Market; Land tenure; Smallholder farmers","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","","6.747000, -1.520900"
"uuid:fc7f28b3-ba94-44b1-962a-181eeb1d4cbc","http://resolver.tudelft.nl/uuid:fc7f28b3-ba94-44b1-962a-181eeb1d4cbc","Assessing Lift and Drag in Front Crawl Swimming: the Straight or Curved Pull","Houtman, Willis (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy)","Greidanus, A.J. (mentor); Westerweel, J. (mentor); van de Water, W. (mentor); Mulder, G. (graduation committee); Costa, Pedro (graduation committee); Delft University of Technology (degree granting institution)","2023","Swimming is a sport where an incremental gain in performance can lead to either a medal or leaving the swimmer empty-handed. In the front crawl, water is driven backwards by the limbs where most propulsive forces come from the hands. Two main stroke patterns can be observed, the ”straight I pull” is commonly taught to generate forces with drag, and the ”curved S-pull” applies lift as well. This investigation uses a new industrial 6-DOF robot arm to study angle-dependent forces, stroke patterns and hand configuration variables. The forearm and hand are analysed using force measurements and PIV to obtain quantitative information, while a parameterisation of the forearm and hand is used to obtain qualitative analysis. The flow is analysed qualitatively using two analytical methods, but it is discovered that these methods can only be applied to a limited range of cases to predict the angle-dependent lift force. Numerical investigations into the parameterised arm model reveal that forces generated were similar in magnitude to those reported in the literature for a pseudo-transient simulation. Quantitative research shows that lift only surpasses drag at extreme angles of attack immediately after a rapid start. This provides clear evidence that drag-based propulsion is preferred for front-crawl swimming. We utilize PIV technology to visualise the flow field around two cases where the lift was highly prevalent. The results reveal various aspects, including the nature of the observed lift peak. Finally, the study compares three distinct strokes: one utilizing a straight drag-based approach and two utilizing a sinusoidal path, resembling a curved pull. The findings indicate that the sinusoidal path’s lift-to-drag ratio remains relatively consistent compared to steady-state, constant-angle experiments. Additionally, the results suggest that the straight stroke is optimal for propulsion, while one of the sinusoidal strokes might be more energy-efficient. An additional aim of this research is to determine the suitability of an industrial 6-DOF robot arm for stroke experiments. It has been discovered that some experiments need a longer path and should preferably be conducted in a wind or water tunnel.","Fluid mechanics; Swimming; Front crawl; propulsion; PIV","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Process and Energy Technology","",""
"uuid:07d761a5-c169-45a5-aee1-903bb32e165d","http://resolver.tudelft.nl/uuid:07d761a5-c169-45a5-aee1-903bb32e165d","Inoculation as grain refinement strategy for Wire Arc Manufacturing of Invar 36","Perez Bustos, Kevork (TU Delft Mechanical, Maritime and Materials Engineering)","Hermans, M.J.M. (mentor); Popovich, V. (mentor); Delft University of Technology (degree granting institution)","2023","Additive manufacturing (AM) refers to a series of techniques in which parts are created by successive deposition of layers. Among the different technologies, Wire Arc Additive Manufacturing (WAAM) employs a welding system in combination with a motion mechanism to deposit layers of molten metal. This technology possesses high deposition rates and an unconstrained build envelope, limited only by the reach of the motion mechanism making it suitable for fabricating large-scale parts. The use of this technique to manufacture technologically interesting materials as Invar 36, a low thermal expansion alloy, could lead to reduced material waste and components with a geometrical complexity only attainable via AM. The high heat input associated with WAAM has been proven to induce columnar grain growth causing anisotropic behavior in the materials, and in the case of Invar 36, it also promotes cracking. In this work, the addition of nucleating agents, known as inoculation, has been implemented during the deposition process to induce grain refinement and mitigate the aforementioned effects. TiC and NbC were selected as possible inoculants based on the results from the implementation of the edge-to-edge matching model as selection criterion. The inoculants, in the form of powders, were mixed with an organic carrier to create suspensions at 50 wt.% and 75 wt.% which then were applied as a coating to each layer during the deposition process. Invar 36 cuboidal specimens with dimensions of 15x15x120 mm were fabricated using GTAW-based WAAM with a heat input of 550 J mm-1. Microstructural characterization showed that specimens with added inoculants achieved significant grain size reduction, reduced crack formation, and an increase in hardness. Defect-free depositions were attained in the specimens with inoculant-loaded suspensions at 75 wt.%.","Additive Manufacturing; Invar 36; Grain refinement; Wire arc additive manufacturing; Inoculation","en","master thesis","","","","","","","","2025-09-30","","","","Materials Science and Engineering","",""
"uuid:bd9d018d-c725-4072-9e95-7305aa305491","http://resolver.tudelft.nl/uuid:bd9d018d-c725-4072-9e95-7305aa305491","Design VR Pop-up book experience from the principles of creating immersive user experience","Zhao, Jiaqing (TU Delft Industrial Design Engineering)","Elkhuizen, W.S. (mentor); Wijntjes, M.W.A. (mentor); Delft University of Technology (degree granting institution)","2023","The National Library of the Netherlands boasts an extensive collection of Pop-up books. However, due to their age, some of these Pop-up books have deteriorated over time, making it impossible to display them to the public. Nonetheless, emerging VR/AR technologies offer a solution to this issue. By recreating these Pop-up books within the VR/AR realm, users can once again engage with these embodiments of human wisdom. Hence, the core of my graduation project lies in designing a VR/AR Pop-up book experience. With the aim of fostering a greater appreciation and understanding of Pop-up books, I envision my experience to meet the following criteria: 1. It should provide users with a profound sense of immersion. 2. It should be easy to navigate and operate. 3. It should offer users a novel perspective for appreciating Pop-up books.
Analysis
Through my research into the histories of ""Pop-up books"" and ""VR/AR books,"" coupled with an analysis of their features, I have uncovered a shared core concept: the transformation of imagination into tangible form. This underlying principle resonates with people's desire for immersion. Furthermore, my investigation into the literature surrounding ""immersion"" has led me to identify seven factors that influence the sense of immersion in an experience: Sensory, Curiosity, Concentration, Comprehension, Control, Challenge, and Empathy. These factors exert varying degrees of influence on immersion at different stages of the experience.
Design
After careful consideration, I decided to utilize VR to present a Pop-up book titled ""TIP+TOP Boven De Wolken."" Building upon my previous conclusion of immersion and insights gained from user study, I particular emphasis on the environment and interactions part. I decided to craft the environment as a ""Wizard's attic"" and will introduce two pivotal interactions: ""Scalable Pop-up book size"" and ""Exploration-triggered story-telling."" These components collectively encompass all the factors influencing immersion. I believe that their synergistic integration will effectively enhance the sense of immersion within the experience.
Conclusion
Ultimately, upon completing the experience, I invited 24 participants to engage with it and provide feedback. Through the analysis of both ratings and feedback, I have concluded that my VR Pop-up book experience has successfully enhanced user immersion and introduced a novel perspective on Pop-up books for some users. However, it also revealed several areas that require further improvement.","Virtual reality; Pop-up book; Immersion; Experience; Library","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:1635204b-5daa-48d0-af08-3faf71a31ba7","http://resolver.tudelft.nl/uuid:1635204b-5daa-48d0-af08-3faf71a31ba7","A Multi-level perspective on a transition towards Green IT in the Netherlands","Kreuger, Floris (TU Delft Technology, Policy and Management)","Smit, A.C. (mentor); Zuiderwijk, AMG (mentor); Penna, C.C.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Global warming is one of the biggest societal problems in 2023. The share of IT usage within this problem has been growing rapidly in the last decades, and this is likely to continue. To stop the increasing footprint of IT (usage) a significant change is required. However, due to the pervasive nature of IT integration in our daily lives, this is a very complex undertaking. Greening the IT sector would require multidimensional changes in technologies, behaviors, regulations and so on. Apart from the need of IT in society, most people within society are not aware of the consequences of IT usage...","","en","master thesis","","","","","","","","2023-10-31","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:c615b8b5-ffe9-46e4-8b0e-608d3b7bbc3b","http://resolver.tudelft.nl/uuid:c615b8b5-ffe9-46e4-8b0e-608d3b7bbc3b","Energy-efficient Spike Encoding for ECG Arrhythmia Classification","Dong, Yingzhou (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bishnoi, R.K. (mentor); Hamdioui, S. (mentor); Gao, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Cardiovascular diseases (CVDs) are the top cause of death worldwide, and their diagnosis can be quickly and painlessly achieved through Electrocardiogram (ECG). The diagnosis of electrocardiogram has gradually evolved from manual diagnosis by doctors to one that can be realized using Artificial Intelligence (AI). Early AI still required manual extraction of features for ECG classification, but later Deep Neural Network (DNN) could automatically extract features during the learning process. With this technology, people can monitor heart movements in real-time through wearable devices. If there are any abnormalities, they can seek medical treatment in time to prevent death from sudden severe heart disease. However, for the wearable device, the energy consumption per classification by the traditional AI is so high that a limited battery cannot work for a long time.
To address this issue, this thesis adopts Spiking Neural Network (SNN) to do classification and implement the inference on the hardware. Compared with traditional Artificial Neural Network (ANN), SNN is highly energy efficient. According to the needs of SNN and its event-driven characteristics, the multi-threshold-based encoding scheme is proposed, which encodes the heartbeat into 54 spikes on average with less information loss. The SNN model is trained by ANN-SNN conversion with an accuracy of 97.42\%. After RTL coding, synthesis, and back-end implementation, the chip with encoding and inference functions achieves an energy consumption of only 57.88 nJ per heartbeat classification.","Spiking Neural Network (SNN); Electrocardiogram (ECG); Encoding; Chip Design; Low Energy Consumption","en","master thesis","","","","","","","","2024-12-31","","","","Electrical Engineering | Microelectronics","",""
"uuid:b38154b3-3234-46b7-b0ba-ffd60b09f087","http://resolver.tudelft.nl/uuid:b38154b3-3234-46b7-b0ba-ffd60b09f087","The Value of Tractography: Towards More Accurate Targeting in Deep Brain Stimulation?","Zwinkels, Anouk (TU Delft Mechanical, Maritime and Materials Engineering)","van der Gaag, N.A. (mentor); Vos, F.M. (mentor); Contarino, M.F. (graduation committee); Harlaar, J. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution)","2023","b>Introduction: Deep brain stimulation (DBS) is an important therapeutic option for various neurological diseases. For certain indications the optimal target cannot be identified on structural magnetic resonance imaging (MRI), but can be visualized with tractography. A recent improvement for clinical practice is the probabilistic tractography algorithm, providing superior results to the deterministic counterpart. However, it is suggested that clinical users are unfamiliar with the complex technology of this new approach, which might lead to missed potential of tractography in DBS-care. The overall aims of this thesis were threefold: to create an overview of the national landscape on the application of tractography, to narrow the gap between medicine and advanced technology in the application of tractography in DBS surgery planning, and to report potentialities and pitfalls of the implementation of tractography in a general hospital.
Methods: In the first part, a survey was conducted among Dutch DBS clinicians on the deployment of tractography. The survey consisted of 25 questions about the provision of DBS care, the use of tractography, the expert’s opinion and the respondent’s demographic characteristics. A comprehensive literature study is conducted in the second part. In the third part, an economic evaluation is performed by analyzing the costs and benefits of tractography. Guidelines are suggested based on literature and expert experience.
Results: Tractography is considered valuable for essential tremor (p<0.001) and not valuable for epilepsy (p=0.002) and chronic cluster headache (p=0.016). The majority uses deterministic approaches like DTI. Probabilistic users consider tractography more valuable than deterministic users (p=0.036). There is a heterogeneity in used image acquisition parameters and a lack of knowledge exist on technical background of tractography. The key elements of the technical principles of tractography are summarized, including diffusion weighted MRI, the concept of estimating the diffusion tensors, image acquisition parameters and tract reconstruction with the deterministic and probabilistic approach and quantitative measures.
Conclusion: Tractography is used by DBS clinicians with limited knowledge on the technical aspects. Generally the inferior deterministic approach is used without an (inter)nationally standardized protocol for image acquisition and tract reconstruction. A comprehensive explanation of the technical concepts and suggested practical guidelines should contribute to better application of this promising technology in DBS-care.
parameters of soft grippers for this research, multiple demo structures are manufactured and compared with simulations. Additionally, the effect of these parameters on the bending performance is determined with
a Design of Experiments. At last, a potential configuration of a multi segmented adaptive soft grippers is presented.","smart materials; soft gripper; modelling","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:61e372ab-649a-41f2-8c59-5e8430db73d8","http://resolver.tudelft.nl/uuid:61e372ab-649a-41f2-8c59-5e8430db73d8","Deep Learning-Based Automatic Detection and Classification of Rib Fractures from CT scans","Borren, Noor (TU Delft Mechanical, Maritime and Materials Engineering)","van Walsum, Theo (mentor); Wijffels, Mathieu (mentor); van der Elst, M. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution)","2023","Introduction: Trauma-induced rib fractures are a common injury, affecting millions of individuals globally each year. Although anteroposterior thoracic radiographs are part of the standard posttraumatic screening, the most sensitive modality, and therefore golden standard for diagnosing rib fractures, is computed tomography (CT). Still, between 19.2% and 26.8% of rib fractures are missed. Another problem encountered in rib fracture treatment management is the large interobserver variability on their taxonomy. This thesis aims to automate rib fracture detection and improve consistency in their classification by developing a Deep Learning (DL) model, using CT data.
Methods: The rib fractures were classified according to the Chest Wall Injury Society (CWIS) taxonomy, evaluating rib fracture’s type, displacement and location. Furthermore, the ribs were numbered from 1 up to and including 12 from cranio-caudal direction. For the detection and three CWIS labels, three classification models of the nnDetection framework were trained. The rib numbering consisted of a trained nnU-Net segmentation model. The four models were combined to obtain the proposed DCRibFrac model.
Experiments and results: The dataset is composed of retrospectively collected and anonymized CT scans of 100 randomly selected patients (1010 rib fractures) who were admitted to the Erasmus MC following blunt chest trauma. On the internal test set, DCRibFrac achieved a detection sensitivity of 77%, precision of 79%, and F1-score of 78%, with a mean false-positives per scan of 2.26. The type labels had the lowest scores, with sensitivities between 17% and 90%. The displacement labels had sensitivities between 43% and 91%. The location labels had the highest scores, with sensitivities between 88% and 96%. The rib number was correct in 72% of the rib fractures when wrong segmentations were excluded.
Conclusion: The proposed DL model automates acute rib fracture detection and reaches a sensitivity that is on par with clinicians. This model is the first, to the authors’ knowledge, to incorporate the CWIS taxonomy and shows its potential for achieving a consistent classification.","Rib Fractures; Automatic detection and classification; Deep Learning; CWIS taxonomy","en","master thesis","","","","","","","","","","","","Technical Medicine","",""
"uuid:0b876868-c6d5-4a82-86a8-dc581c8b12a6","http://resolver.tudelft.nl/uuid:0b876868-c6d5-4a82-86a8-dc581c8b12a6","Experimental evaluation of distributed similarity joins in stream processing environments","Hernandez Quintanilla, Tomás (TU Delft Electrical Engineering, Mathematics and Computer Science)","Katsifodimos, A (mentor); Siachamis, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Similarity joins are operations which involve identifying similar pairs of records within one or multiple datasets. These operations are typically time-sensitive, as timely identification of relations can lead to increased profitability. Therefore, it is advantageous to analyze them using a stream processing system, which offers real-time capabilities. Due to the computational complexity of comparing numerous records, similarity joins can be resource-intensive.
To address this challenge, employing a distributed setting for executing the operations proves to be the most effective approach for resource management. In this research, we evaluate four distinct distributed systems designed for similarity joins in stream processing environments. The primary objective is to assess their individual strengths and weaknesses, as well as their overall efficiency. Our investigation reveals that certain solutions exhibit superior scalability and resource utilization, while highlighting the potential for further advancements in this domain.","Apache Flink; Apache Kafka; stream processing; Distributed Systems; Similarity joins","en","master thesis","","","","","","","","","","","","Computer Engineering","",""
"uuid:5613a7c2-9a83-4a87-9bf0-f04c16e62f8c","http://resolver.tudelft.nl/uuid:5613a7c2-9a83-4a87-9bf0-f04c16e62f8c","Model and Design Differential Power Processing: P-DPP PV2VB architecture","Liu, Anson (TU Delft Electrical Engineering, Mathematics and Computer Science)","Nazer, A. (mentor); Manganiello, P. (mentor); Delft University of Technology (degree granting institution)","2023","Photovoltaic (PV) systems are often exposed to mismatch conditions caused by partial shading, different mounting angles, dust accumulation, cell degradation, etc. Among various techniques, PV to Virtual Bus Parallel Differential Power Processing (PV2VB P-DPP) has been proposed to overcome the mismatch among PV strings. This thesis focuses on the dynamic behavior of the PV2VB P-DPP configuration in order to analyze the system stability and design appropriate controllers. Configuration needs to control two different types of converters: 1- P-DPP converters (inner control loop) and 2- the Central Converter (outer control loop). Moreover, since the selection of capacitance for the virtual bus is a tradeoff between several factors, such as cost, stability, reliability, current ripple, and so on, this thesis provides a methodology to identify an acceptable range for the capacitance of the virtual bus.
This project used the state space representation to model the system owing to its simplicity and ability to provide a uniform and convenient starting point for linearization, stability evaluation, control design, and simulation. Since the system’s large signal model is nonlinear and difficult to work with, we linearized the state space equations (to achieve the small-signal model of a PV2VB P-DPP system consisting of any number of strings and converted them into the Laplace form to perform system analysis and controller design. Considering Gain and Phase Margin as the main design criteria, proportional control (Kp ) and integral control (Ki) were tuned for PI controllers using the SISO tool MATLAB toolbox for a PV system consisting of two PV strings. The system stability depends on two main aspects: the value of the virtual bus capacitor (CVB) and the voltage magnitude in the virtual bus (vV B ). The complete developed model has been implemented in MATLAB.
For the simulated PV2VB P-DPP system, a gain margin of 15.7 dB, a phase margin of 121 degrees, and a settling time of 0.8 s have been achieved for the outer control loop (central converter) by setting Ki and Kp to 5s^−1 and 0.5, respectively. On top of that, a gain margin of infinity dB, a phase margin of 91.2 degrees, and a settling time of 1 ms have been achieved for the inner control loop (DPP converter) by setting Ki and Kp to 10s^−1 and 0.1, respectively. Finally, it is shown that the virtual bus capacitor should be selected within the range 50µF- 5mF in order to make the system have higher stability margin. However, the final selected value of capacitance for the virtual bus is equal to 1.2 mF owing to other constraints, such as the expected ripple current.
To ensure that viable exporting countries are assessed a multicriteria analysis (MCA) was employed. Within this MCA, contributing factors underwent expert weighting. It was found that the earliest developing value chains might not necessarily be the most economical. Among potential initial exporters to the Netherlands, Chile, Spain, and the United States emerged as frontrunners, which led to their assessment in the TEA.
The study highlighted the importance of wind availability for cost-effective hydrogen production. Even with favourable wind conditions in the Dutch North Sea, the Netherlands faces higher hydrogen costs due to the added expenses of connecting the wind with an onshore electrolyser through the grid.
The costs of hydrogen transport were evaluated based on four possible hydrogen import development scenarios for transport as ammonia, liquified hydrogen and the liquid organic hydrogen carrier (LOHC) DBT. Results indicated that the costs of delivered hydrogen will likely range from similar to thrice that of domestic production across the different countries and carriers for the two scenarios where gigawatt supply chains are realised. Consequently, the study suggests that overseas imported green hydrogen will be more costly than domestically produced hydrogen in 2030.","Green; Hydrogen; Imports; Sustainable; Energy; Model; LOHC; Ammonia; Liquid Hydrogen; H2; TEA; MCA; Techno-economic analysis","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:1b1a0b62-ccf3-4cf7-8d71-42167d52124b","http://resolver.tudelft.nl/uuid:1b1a0b62-ccf3-4cf7-8d71-42167d52124b","Modelling the soil-structure interaction of 60 m long piles under gradual loading during construction of a high-rise building in Rotterdam","Portillo Arreguin, Diana (TU Delft Civil Engineering & Geosciences)","Korff, M. (mentor); Spruit, Rodriaan (graduation committee); Schippers, Robert (graduation committee); Crielaard, R. (graduation committee); Gavin, Kenneth (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis examines the load distribution on 60 m long piles under gradual static loading, considering the POST Rotterdam high-rise building as the study project. The research employs fibre optic (FO) instrumentation on site to monitor strain changes in the piles over time and assess load transfer mechanisms. This data is integrated into a Plaxis 3D model of the building's foundation to validate existing approaches on soil structure interaction (SSI), optimize SSI modelling, and assess the high-rise building's impact on nearby structures. Results show that at early stages, the resistance contribution comes from the shaft in contact with the Pleistocene sand layer. However, as loading progresses and the Kedichem clay layer consolidates, most of the resistance shifts to the tip and the shaft located in the deeper sands. The FO strain measurements follow a similar trend to the site stratigraphy, but there is high uncertainty about the results at early load stages. The latter requires further investigation to corroborate once the building is finalised. The piles were incorporated into the Plaxis 3D model by means of EBR with a layer-dependent force distribution. The resulting spring stiffness of various pile groups reveals the significance of the group effect. Regarding the impacts of POST loads on the adjacent Old Post Office building, an angular distortion of 1/555 in 50 years was obtained, which indicates a conservative result of slight damage in the structure. For the Timmerhuis building, a resulting angular distortion of 1/1428 indicates no damage. This study addresses gaps in understanding load distribution in 60 m long piles, offering a practical modelling approach and recommendations for future research. It contributes to optimizing design and safety protocols as the use of such piles becomes more common in Rotterdam. By utilizing advanced sensing techniques like FO, this research may lead to more accurate pile design and criteria, potentially reducing construction costs and enhancing safety for high-rise buildings and their surroundings.","soil-structure interaction; Piles; Rotterdam; Kedichem clay; high-rise building; fibre optic; Instrumentation; Plaxis 3D; modelling; geotechnical engineering","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:1c4be997-120f-4342-bc0d-01388791b673","http://resolver.tudelft.nl/uuid:1c4be997-120f-4342-bc0d-01388791b673","Experimental and modelling studies on HVO-methanol mixtures separation for superyachts applications","La Colla, Ernesto (TU Delft Mechanical, Maritime and Materials Engineering)","van Biert, L. (mentor); Loeff, Giedo (mentor); Delft University of Technology (degree granting institution)","2023","In the yachting decarbonisation by 2030, multiple fuels usage provides flexibility to ocean-crossing superyachts in a scenario where alternative fuels become progressively available worldwide. Hydrotreated vegetable oil (HVO) and methanol (MeOH) are selected among all sustainable fuels. The desired flexibility can be achieved with a multi-fuel system. To make optimal use of the tanks' capacity, HVO and methanol are alternately stored in all tanks, yielding mutual fuels’ contamination. The lack of standards and research on accepted fuels impurity makes full fuels separation relevant to be explored to avoid performance degradation of dual-fuel engines. Identified technologies for separating HVO-methanol mixtures are gravity-settling tanks and disc-bowl centrifuges. Shake tests were conducted on HVO-MeOH mixtures to quantify the separation time and relative concentrations to obtain complete gravity separation. The fuels were poured into a beaker with methanol at 1, 5, 10-70% v/v. Visual and microscopic examinations identified MeOH traces in HVO. The tests revealed that full separation was not achieved in the 1 hour-3 days observation time, due to the low-density difference between the fuels. Hence, as the experimental outcomes evidenced incomplete HVO-methanol separation, centrifuges were studied to achieve this goal. A mathematical model was developed for disc-bowl centrifuges to assess the separator performance and separation time. Integration with a multi-fuel system helped size the centrifuge by providing the separator working conditions for varying engine modes. Complete separation is theoretically possible with a separator larger than the existing disc-bowl designs, due to the low-density difference between methanol and HVO. The maximum separation time ranges 5-10 minutes for MeOH droplets ranging 12-16 µm in diameter. Droplets with a diameter outside this range coalesce quasi-instantaneously. Lastly, when integrating the centrifuge within the multi-fuel system, HVO and MeOH buffer tanks are needed onboard, respectively with about 1/3 and 1/20 of the storage tanks' capacity.","","en","master thesis","","","","","","","","","","","","Marine Technology | Marine Engineering","",""
"uuid:642c2241-91b5-4ffc-93fb-bbbedd54dd07","http://resolver.tudelft.nl/uuid:642c2241-91b5-4ffc-93fb-bbbedd54dd07","The Ideal Crossing: Urban microclimate impacts of a renovated traffic intersection: The Case of Hugo de Vrieslaan in Amsterdam-Oost","Lavana Perez, Matthew (TU Delft Technology, Policy and Management)","Wang, Ranran (mentor); van Bueren, Ellen (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution); Amsterdam Institute for Advanced Metropolitan Solutions (AMS) (degree granting institution)","2023","Urban environments must be prepared to address the impacts of climate change and mitigate its repercussions. The rapidly surging rate of urbanization is expected to instigate significant land use and land cover changes, through expansion and densification of the built environment, on top of urban population growth. The Netherlands, a highly urbanized delta and densely populated country, is a prime example experiencing significant urban growth and climate-related events causing distress. This country has increasingly witnessed extreme weather events resulting in record-breaking heatwaves, drought, and precipitation leading to the disruption of public and transport infrastructures. The Netherland’s authorities have established new strategic spatial plans aimed at ensuring climate-proof infrastructure and climate resilience for the coming years. However, the extensive goals and targets at national and international levels complicate the situation. Key changes are being initiated at the local level, with results yet to be fully realized.
This research conducts a single case study on a renovated road intersection in Amsterdam Oost in collaboration with the Ideal(s) City project from the Amsterdam Institute for advanced metropolitan solutions. The primary aim is to investigate Amsterdam’s impacts on climate resilience and adaptation strategies. To assess the progress towards the program’s fifth ideal state of “sustainability”, a conceptual indicator list is derived from a literature review. This indicator list serves as a tool to evaluate the program’s inventory and study the sustainability outcomes of the case study. The examined indicator parameters include: (1) temperature & urban morphology, (2) surface materials, and (3) vegetation in the microclimate.
Through a combination of qualitative and quantitative secondary data, the case study is compared to the literary indicator list. This process results in a refined indicators set to support the development of The Ideal(s) City’s framework. The findings reveal a notable absence of all indicators in the inventory of the Ideal(s) City and the municipality of Amsterdam. To address this gap, recommendations are laid out to: First, the scientific community to further explore the application of indicators on traffic intersections in the city. Second, the Ideal(s) City programme to review and evaluate the existing indicators to fill the gaps and minimize the mismatch between the indicators. Third, the municipality of Amsterdam to increase the planting of trees and their variety on the median at Hugo de Vrieslaan to increase climate resilience and enhance the microclimatic conditions of traffic intersection.
Keywords: Case study • Climate resilience • Adaptation strategy • Urban road infrastructure • Urban microclimate • Urban morphology • Urban greening • Sustainability • Environmental assessment","","en","master thesis","","","","","","","","","","","","Industrial Ecology","The Ideal City",""
"uuid:de7e7b08-6c0f-48f9-a7bd-10bb1b1ccd0b","http://resolver.tudelft.nl/uuid:de7e7b08-6c0f-48f9-a7bd-10bb1b1ccd0b","Gardens as Protest: for the right to sustenance and the right to the city....","Schächer, Rebekka (TU Delft Architecture and the Built Environment)","Pietsch, S. (mentor); Reinders, L.G.A.J. (mentor); van den Ban, R.R. (mentor); Delft University of Technology (degree granting institution)","2023","The Bananeira Garden (Horta da Bananeira) is a guerrilla garden in the escarpments of Porto that has collectively been created by a group of people with no claim of ownership or fixed identity. These gardeners explicitly refuse to recognize the state’s authority over the forgotten urban spaces in the city. Gardening turns into a political act, a quiet but constant form of spatial activism addressing climate change, social inequality, and real estate speculation. Porto’s administration is solely focused on expanding the city’s brand as a tourist destination, with tourist accommodations and rising prices forcing the local population to the margins. This could have consequences for the Horta da Bananeira, as it does not have any legal protection.
This project is an attempt to support the Horta da Bananeira in its struggle for emancipation. ‘Garden as Protest’ acknowledges the garden’s complexity and its value beyond the garden’s borders. A network of acupunctures makes sure that the border conditions of the garden are secured, fulfilling their social purpose and catering to the actual needs of the neighbourhood.
The project follows an eco-feminist approach, demonstrating a new role for the architect.
This thesis focuses on investigating the performance of CO2 EOR in unconventional oil reservoirs using the huff’n’puff scheme through a comprehensive reservoir simulation study based on an open-source geological model. The study evaluates the effects of key parameters on oil production, including injection rate, injection time, soaking time, diffusion coefficient, and well completion.
The simulation results demonstrate that CO2 EOR can effectively enhance oil recovery from unconven- tional oil reservoirs. Specifically, the study reveals that implementing CO2 EOR increases cumulative oil production by 27% after 20 years compared to natural depletion cases. Furthermore, when incorporating a two-year primary recovery period, cumulative oil production is further elevated to 45%. By implementing the findings from the sensitivity study, cumulative oil production can be increased up to 67% in the optimum case.
In terms of the influence of the tested parameters on oil production, the study identifies that higher injection rates and longer injection, soaking, and production times for each cycle improve oil recovery until reaching an optimum value. Additionally, extending injection period by 10 times in each cycle with the same CO2 injected volume improved cumulative oil production by 7% compared to fixed injection rate as a result of longer diffusion and dissolution time. Moreover, implementing bottom CO2 injection targeting bottom layers improves vertical sweep efficiency due to buoyancy forces. The study also highlights the importance of the diffusion coefficient, as higher values facilitate faster CO2 transport, resulting in higher oil recovery and reduced CO2 reproduction.
This thesis provides valuable insights into the design and implementation of CO2 EOR projects in tight oil reservoirs. It emphasizes the need for careful selection of optimal operating conditions based on specific reservoir properties and highlights the significance of the diffusion mechanism in CO2 EOR performance. The findings contribute to the development of more effective CO2 EOR strategies for unconventional oil reservoirs, addressing gaps in previous research. Moreover, the study’s outcomes have practical implications for improving the design and implementation of CO2 EOR projects in the field, ultimately leading to increased oil production.","","en","master thesis","","","","","","","","","","","","Applied Earth Sciences","",""
"uuid:cf20c647-c671-4f20-85c7-df0ee5bcdf1c","http://resolver.tudelft.nl/uuid:cf20c647-c671-4f20-85c7-df0ee5bcdf1c","Manufacturing deviations in Hydrofoils and their impact on performance: Investigating the Manufacturing deviations of the Nacra 17 Hydrofoil and their impact on performance","van Maarschalkerweerd, Timo (TU Delft Aerospace Engineering)","Dransfeld, C.A. (mentor); Bergsma, O.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Nacra 17 is a foiling catamaran selected to compete in the 2024 Olympic Games. The Nacra 17 is a one-design class, which requires all equipment to be identical. The Dutch Olympic team found through testing the bending stiffness that there is a slight difference in how each of their hydrofoils performed. The question was asked: What are the manufacturing deviations in the Nacra 17 hydrofoils and how do they impact the performance? This research aims to answer the previously stated question. The 3D scanned hydrofoils validated that there is indeed a measurable difference in shape between the different hydrofoils of the Olympic team. A successful method was proposed that automatically extracts 2D profile section properties from the point-cloud data of a 3D scanned hydrofoil. This method used a rough orientation using the profile plotted in polar coordinates. The mean camber line could be extracted using the Voronoi vertex points and the offset of the profile surface. The resulting data set was fitted using non-periodic cubic B-spline curves. This produced a curve for the mean camber line and the thickness profile. Comparison of profile properties resulted in the conclusion that profile thickness (yt) could show that the two female mould halves were probably spaced differently for the different hydrofoils by a standard deviation of 0.0669 mm at a thickness of 22.6 mm. Differences are found in the maximum thickness location (xt), Leading edge radius (rle), maximum camber (yc) and its location (xc). However, the differences in lift over drag for the different hydrofoils are minimal. The size of the drag bucket did not differ more than 0.1 of the lift coefficient (cl) for the same drag coefficient. With the exception of in general one section that presented an early separation. The specific profile showing early separation changes along the span, not allowing for the generalisation for the complete hydrofoil. It shows that the curvature at the leading edge of the profile is critical for the performance of the Nacra hydrofoil. Knowing which part of the profile shape is critical. Companies can take this area into account when designing the production process for the next hydrofoil, and the Olympic team can focus on maintaining these areas of the hydrofoil. Allowing the best preparation to be competitive at the next Olympic games.","Nacra 17; Hydrofoil; Digitisation; Xfoil; 2D section method","en","master thesis","","","","","","","","2024-07-24","","","","Aerospace Engineering | Structures and Materials","",""
"uuid:32db95c7-bffa-4521-a586-af51acc9f08c","http://resolver.tudelft.nl/uuid:32db95c7-bffa-4521-a586-af51acc9f08c","Large-Scale Hydrogen Liquefaction: Process Modelling, Viability and Techno-economic Analysis","Panji Baskara Tamarona, Panji (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy; TU Delft Engineering Thermodynamics; TU Delft Energy Technology)","Ramdin, M. (mentor); Pecnik, Rene (mentor); van Biert, L. (graduation committee); Vlugt, T.J.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Hydrogen's competitiveness as a sustainable energy carrier depends greatly on its transportation and storage costs. Liquefying hydrogen for these purposes offers benefits like purity, versatility, and higher density. However, current industrial hydrogen liquefaction processes face efficiency and cost challenges, with a second-law efficiency below 25% and costs between 2.5-3.0 US$/kgLH2, and they are mainly limited to small-scale. Scaling up liquefaction plants is a potential solution to reduce costs, but existing models often overlook the economic and technical viability of the conceptual plants.
Most reported liquefaction costs rely on ""ballpark"" estimation, hindering process economic comparisons between various processes. Industry-sponsored studies often use confidential data, limiting accessibility. Thus, this thesis aims to develop a comprehensive framework for modelling large-scale liquefaction processes and assessing their feasibility, focusing on the large-scale high-pressure hydrogen Claude-cycle liquefier concept.
The technical analysis focuses on the preliminary designs of main equipment, such as compressors, turboexpanders, and heat exchangers, ensuring compatibility with existing technology limitations. Aspen Process Economic Analyzer (APEA) is employed to estimate the capital and operating expenditure. At 0.1 €/kWh electricity price, the techno-economic assessment of the 125 tonnes per day (TPD) Claude-cycle concept yields specific liquefaction costs (SLC) of 1.55 €/kgLH2. Sensitivity analysis shows electricity price has significant influence. Applying the established design approach, incorporating high-speed centrifugal compressors could reduce the SLC by 5.42% and potentially more.
Scaling up to 250 and 500 TPD shows potential SLC reductions of 7.80% and 9.45%, respectively, at electricity price of 0.1 €/kWh. Future projections suggest a potential 12.6% SLC reduction as the Claude-cycle liquefiers matures. In an ideal scenario, with incentives and low-cost renewable electricity, costs could range from 0.87 to 1.09 €/kgLH2.
Finally, a cost-scaling curve for hydrogen liquefaction plants are estimated based on the cost results from this study. The curve is comparable to existing cost curves reported by industrial and government joint research projects, validating the methodologies developed in this thesis. Moreover, an experience curve is also predicted using cost data from this study and data found in the literature. The curve indicates a 17% price decrease in hydrogen liquefiers with each doubling of global liquefaction capacity.","Liquid hydrogen; hydrogen; Process modelling; Preliminary Design; Techno-economic","en","master thesis","","","","","","https://github.com/pbtamarona/h2liquefaction Link to the self-developed program and design results from this thesis.","","2024-09-25","","","","Mechanical Engineering | Energy, Flow and Process Technology","","51.99964475466827, 4.371055277155264"
"uuid:416ed8e9-96bf-4bcd-947c-7ed781c29d2e","http://resolver.tudelft.nl/uuid:416ed8e9-96bf-4bcd-947c-7ed781c29d2e","Most Suitable Future-Proof Energy Supply for a New-Build Semi-Submersible Crane Vessel: Energy Transition-Compliant Energy Supply for Heerema's Sleipnir: A Multi-Criteria Analysis","Hoeksma, Bram (TU Delft Electrical Engineering, Mathematics and Computer Science)","Annema, J.A. (mentor); Polinder, H. (graduation committee); Zwaginga, J.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Currently, our society faces a pressing challenge: global warming. The solution lies in the energy transition, which replaces fossil fuels with clean sources. This requires a global effort across all sectors, including shipping. While a significant portion of shipping relies on polluting fuels, the European Union’s Green Deal aims for climate neutrality by 2050, which applies to shipping as well. Emission reduction technology and low-to-zero emission energy supplies are emerging, yet choosing the right energy supply for new vessels remains complex, especially in meeting EU targets.
This study focuses on supporting the decision-making process for the energy supply of a new Semi-Submersible Crane Vessel (SSCV). The method facilitates a comprehensive comparison of energy supply options using multiple criteria. It also integrates decision-makers’ preferences with the characteristics of alternative energy supplies, providing insights into the most suitable choice. This research features a case study centered on Heerema Marine Contractors’ SSCV Sleipnir.
To create this method, a literature review on Multi-Criteria Decision Making (MCDM) methods was conducted. The Analytic Hierarchy Process (AHP) model was chosen as the foundational framework for the decision-making tool. During the research key limitations and requirements for designing an energy supply for a SSCV were identified. Furthermore, the research contains an examination of various fossil and sustainable fuels, including Marine Gas Oil (MGO), (E-)Liquefied Natural Gas ((E-)LNG), EHydrogen, E-Methanol, E-Ammonia, Uranium, and Thorium. Additionally, the study considers diverse energy conversion systems including Internal Combustion Engines (ICE), Proton Exchange Membrane Fuel Cells (PEMFC), Solid Oxide Fuel Cells (SOFC), Direct Methanol Fuel Cells (DMFC), Molten Salt Reactors (MSR), and Very High-Temperature Reactors (VHTR). A set of significant criteria are identified and the accompanying characteristics of the energy supplies regarding these criteria are gathered. The literature research is followed by a financial assessment. This assessment shows that the financial impact of fossil- and e-fuel energy supplies is highly dominated by Operational Expenditure (OPEX), while the nuclear energy supplies are highly dominated by its Capital Expenditures (CAPEX).
The preferences of Heerema’s decision-makers are collected via a survey, revealing that the Technological Readiness Level (TRL) of the system, health risk, emissions, Levelized Cost Of Energy (LCOE), maintenance requirements, and efficiency of the conversion system are found to be the most important criteria according to the survey results. The preference weights assigned to the criteria are integrated with the energy supply characteristics, providing a score that indicates the suitability of each energy supply considering the SSCV’s limits and requirements, aligned with the preferences of the decision-maker. Hence, the optimal energy supply choice can be deduced from this data.
Although the fossil fuel MGO is included to act as a base-case scenario during this case study, the results of the method show that MGO used in an ICE would be the best-suiting energy supply according to the preferences of the decision-makers. Since MGO energy supplies are assumed to be non-compliant with the EU-emission goals they are excluded. When excluding MGO from the results, methanol used in an ICE is identified as the best-suiting alternative. This can be attributed to its relatively high TRL, favorable overall characteristics, and absence of significantly low scores regarding the criteria assigned high priority by the decision-makers, in comparison to other energy supplies.
However, the validity of the presented results is reduced due to several factors. These include the reliance on assumptions about alternative energy supplies, a limited number of interviewees, and the sensitivity to uncertainties about future developments. Nevertheless, this study shows that the use of this method can provide insights into complex decision problems regarding future energy supply choices. Also, the study identifies a range of attractive energy supplies, with methanol used in an ICE ranked as the most suitable option. These high-ranking energy supplies can be an interesting subject for further studies.
In this project, our objective is to perform a study to evaluate the acceleration potential on GPUs of Arrow-based query execution engines, specifically with libcudf, a C++ GPU DataFrame library with Arrow format.
With this purpose, we design and implement four micro-benchmarks for different operators to understand the characteristics of workloads that result in high acceleration, and their
possible bottlenecks and limitations. When we exclude data transfer durations, inherently parallelizable workloads exhibit high potential for GPU acceleration. However, this advantage diminishes considerably when considering data transfer overheads. Stemming from these micro-benchmark outcomes, we designed an on-the-fly scheduler at the operator level to dynamically accelerate query execution engines in a hybrid CPU/GPU system. The scheduler can decide whether to distribute an operator on the CPU or GPU based on the input data location, data volume, data-related parameters, and the operator type so
that we can accelerate query execution engines in a hybrid CPU-GPU system according to a statistics cost model.
The conclusion is that,
with the scheduler, we achieve a maximum of 4.88x speedup for Filter Operator, 2.52x speedup for Sort Operator, and 1.52x speedup for Copy Operator when handling an array of 1e8 in length.","GPU Acceleration; Apache Arrow; Query execution engine","en","master thesis","","","","","","","","2025-09-25","","","","Computer Science","",""
"uuid:a80a8673-351e-4195-b0ea-cbf7e44cc702","http://resolver.tudelft.nl/uuid:a80a8673-351e-4195-b0ea-cbf7e44cc702","Last-mile delivery preferences: exploring the potential of Parcel Lockers at online checkout and for failed delivery","de Vette, Willem (TU Delft Technology, Policy and Management)","Molin, E.J.E. (mentor); van Duin, Ron (graduation committee); Delft University of Technology (degree granting institution)","2023","The growth of e-commerce has increased the demand for last-mile delivery. This increased demand
poses the sector of last-mile delivery with challenges such as traffic congestion, route optimization and environmental concerns. Delivery to a Service Point or a Parcel Locker, self-collection alternatives, have to potential to address these challenges. This research employs a discrete choice experiment to gather insights into consumer preference for regular parcel delivery and to uncover previously unexplored consumer preference for alternative delivery preference in the case of delivery failure. This second aim constitutes the main addition of this study. An online survey was conducted which resulted in 210 valid responses. The results show that in the case of anticipated failed delivery, most consumers prefer delivery to their neighbours or have the parcel left at an agreed place around the house. The distance to a Service Point or Parcel Locker is the most important delivery attribute guiding this decision. However, both now and in the future, demand for the PL as an alternative delivery option in case of delivery failure seems low, with few policy options to increase this demand. Regarding parcel delivery preference at checkout, the price of Home Delivery is most dominant in the trade-off consumers make. In contrast to other studies, the opening hours or the delivery moment do not contribute significantly. To best increase the demand for self-collection alternatives, parcel delivery companies should focus on: shortening the distance to the Parcel Lockers or Service Points, locating Parcel Lockers in commercial buildings as opposed to public spaces, and increasing the awareness of Parcel Lockers and increasing the awareness of the benefits of self-collection in general. However, the results of this study indicate that in order for the PL to become dominant ’at online checkout’, both a price incentive and a shortening of the distance from consumer to PL are necessary, but at significant cost. Further research should aim to investigate the demand for changing delivery options through notifications and the viability of
the last-mile delivery market agreeing on lower prices for self-collection as proposed in scientific literature.
The focus of this thesis is to address the challenges associated with generating robust initial shunting plans in an uncertain environment. This thesis focuses on a sequential problem formulation, modeled as a Markov Decision Process (MDP) and uses a policy optimized for this environment. The goal is to design a method capable of deriving robust initial shunting plans from the policy that are likely to remain feasible for a large number of possible plan executions.
The limitation addressed in this thesis, is that conventional policy-rollout techniques generate action sequences that overlook most alternative outcomes, thereby making the overall plan not feasible for a large number of plan realizations. To address this issue, the thesis proposes two distinct solution methods, aimed to consider every possible state that might be encountered, either directly or indirectly.
Through experimentation on realistically generated problem instances, the research concludes that both proposed methods significantly outperform the baseline approach, demonstrating the possibility of extracting robust initial shunting plans from a given policy that was not explicitly designed for this purpose.","train shunting; robustness; TUSP; Monte Carlo","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:f5e68fab-f7fb-4030-a50c-0bc583401312","http://resolver.tudelft.nl/uuid:f5e68fab-f7fb-4030-a50c-0bc583401312","Effect of HVAF thermal sprayed NiCrMoW coating thickness on HIC resistance of carbon steels in sour environment","Winters, Koen (TU Delft Mechanical, Maritime and Materials Engineering)","Mol, J.M.C. (mentor); Wilms, M.E. (mentor); Popovich, V. (graduation committee); Taheri, P. (graduation committee); Delft University of Technology (degree granting institution)","2023","The exposure of certain carbon steels to sour environments can result in severe hydrogen induced cracking (HIC) damage in the oil and gas industry. Current mitigation techniques in this field have low reliability or are not able to provide long-term protection against such damage. Recent advancements in thermal spray technology have resulted in a promising and cost-effective solution. Improvements in particle velocity and deposition efficiency have enabled coatings to achieve higher density and uniformity. High-velocity air fuel (HVAF) thermal sprayed NiCrMoW coatings are particularly interesting due to their outstanding corrosion resistance and mechanical properties. To ensure that equipment is sufficiently protected against the harsh environment of this industry, a thick coating is desired. However, as coating thickness increases, the performance of thermal sprayed coatings is frequently affected by residual stresses and unfavourable microstructural features.
To identify this effect, three NiCrMoW coatings with thicknesses of 250, 375, and 500 \textmu m were applied with HVAF thermal spray technology on S235JR carbon steel. Samples were analyzed in order to evaluate differences in terms of microstructure, mechanical behaviour, HIC resistance, and corrosion resistance. An AK07 HVAF instrument in a controlled setting at the IOT research centre of the University of Aachen was used to ensure consistency among the coatings during the spraying process. Experiments to evaluate HIC resistance and corrosion resistance involved prolonged immersion in a sour environment, cathodic charging, open circuit potential measurements, and potentiodynamic polarization tests. Microstructural variation was examined with the use of SEM-EDS and optical microscopy. Additionally, subsurface microhardness measurements of the coating and underlying substrate were used to evaluate hardness and give an indication of the presence of residual stresses.
Findings indicate that the coatings exhibit excellent corrosion resistance. A small but noticeable decrease in resistance was however observed with increasing coating thickness. This decline can be attributed to two factors: an increase in the degree of oxidation and accumulation of residual stresses within the thicker coatings. Additionally, it is noteworthy that while the degree of oxidation and residual stresses increased with coating thickness, the porosity fraction decreased. Microstructural features in the coatings varied as a result of differences in thermal input, cooling passes and the influence of shot peening effects. Resistance to HIC of carbon steel in a sour environment was significantly improved by the application of the coatings in comparison with uncoated samples. This can be attributed to the excellent corrosion resistance, uniformity and absence of through-coating porosity in the coatings, the thickness did not have an influence. Furthermore, it was found that the galvanic interaction between the NiCrMoW coating and the S235JR carbon steel significantly accelerates the corrosion of the underlying substrate. Thicker coatings might be able to provide a greater physical defect-free barrier which can resist breaking, damage and erosion to prevent this galvanic effect.","Hydrogen Induced Cracking; Thermal Sprayed Coatings; High Velocity Air Fuel; Sour Corrosion; Hydrogen Sulfide Corrosion; Hydrogen Embrittlement; C276/NiCrMoW Coating","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:783b2819-aa7c-4870-a6fa-9d91a5c64215","http://resolver.tudelft.nl/uuid:783b2819-aa7c-4870-a6fa-9d91a5c64215","A deep learning method for 3D point cloud segmentation of building facades","CHEN, Vincent (TU Delft Civil Engineering & Geosciences)","Lindenbergh, R.C. (mentor); Verhagen, S. (graduation committee); Zang, Yufu (graduation committee); Delft University of Technology (degree granting institution)","2023","Semantic segmentation, a task vital in the creation of 3D point cloud models for buildings, is aimed at assigning meaning to individual points. However, due to the vast volume of unstructured point cloud data, precise semantic segmentation remains challenging. Significant progress has been observed in recent years with the application of deep learning techniques to point cloud segmentation, and the effectiveness of Dynamic Graph Convolutional Neural Network (DGCNN) and K-Nearest Neighbors (K-NN) in handling point cloud data has been recognized.
In this study, Dynamic Graph Convolutional Neural Network (DGCNN) was utilized for semantic segmentation on a building's point cloud scene. We adopted K-Nearest Neighbors (K-NN) as a crucial component of our methodology to optimize the segmentation process. By varying 'k' values in K-NN and exploring different block sizes, we aimed to obtain various segmentation results for comparison. When a block size of 1 meter was employed and 'k' was set to 20, an overall accuracy of 90.32%, mean accuracy of 87.64%, and IoU of 80.71% were achieved. However, the most favorable segmentation outcomes were observed when the block size remained 1 meter, and 'k' was set to 30, resulting in an overall accuracy of 93.86%, mean accuracy of 90.68%, and IoU of 84.97%.
These experiments underscore the significance of parameter selection in optimizing the performance of DGCNN for point cloud segmentation. The findings reveal that adjustments to 'k' values and block sizes can significantly influence segmentation accuracy and quality, emphasizing the importance of parameter optimization in the context of semantic segmentation for building point clouds using deep learning techniques. The utilization of K-NN played a crucial role in achieving these improvements by allowing us to adapt to the inherent variability in point cloud data.","","en","master thesis","","","","","","","","","","","","Geoscience and Remote Sensing","",""
"uuid:f592bdbf-2adf-405f-b1c2-3fdc4802b7cf","http://resolver.tudelft.nl/uuid:f592bdbf-2adf-405f-b1c2-3fdc4802b7cf","Output Error Estimation for Unsteady Flows Using Reconstructed Solutions: Effect of Compression and Reconstruction of Unsteady CFD Data using Neural Networks and PODs on Error Estimates","Sitaram, Arnish (TU Delft Aerospace Engineering)","Hulshoff, S.J. (mentor); Hunter, T.P. (graduation committee); Dwight, R.P. (graduation committee); de Visser, C.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Unsteady numerical simulation has been proven to be an essential tool for research. The quality of the results can be improved by using mesh adaptation. Mesh adaptation uses error indicators to refine the mesh in regions with high errors. The error indicators used are output errors with the most accurate output error estimation method being adjoint-based error estimation. However, for this method, the primal solution needs to be stored, which is storage intensive, especially for large unsteady simulations. The method proposed in this thesis uses a neural network autoencoder to compress and reconstruct the primal solution. This solution is compared to a reconstructed solution using Proper Orthogonal Decomposition (POD).
The one-dimensional unsteady Burgers equation is used as validation for the methods using a manufactured solution while the lid-driven cavity flow is investigated using the proposed method. The manufactured solution of the one-dimensional Burgers case could be exactly reconstructed using two POD modes. For the autoencoder a small latent space was used. For low resolutions, the small latent space did not prove to be a problem as the primal and residual could be captured accurately. However, for higher resolutions, the reconstruction error of the autoencoder became dominant for the residuals and resulted in erroneous adjoint-based error estimates while the primal remained qualitatively similar.
For the lid-driven cavity flow, the POD was still able to capture the solution using a low number of modes due to the smoothness of the solution. This resulted in an unfair comparison between the POD and autoencoder reconstructed solutions. The reconstructed autoencoder error estimates for lower resolutions were more accurate due to the latent space being large enough to capture the residual of the discrete primal accurately enough. When moving to higher resolutions, the autoencoder was not able to reconstruct the residual accurately enough leading to erroneous error estimates. Therefore, the latent space of autoencoders should be sufficiently large in order to gain an accurate reconstruction of the residual. If the latent space is large enough, the error estimate is accurate and the local error estimates can be used as a first iteration error indicator for mesh refinement.","Output Error Estimation; Autoencoder; Data Compression and Reconstruction; Neural Network; Computational Fluid Dynamics (CFD); Proper Orthogonal Decomposition","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:670c506d-7184-4154-ae0d-a0e79e156671","http://resolver.tudelft.nl/uuid:670c506d-7184-4154-ae0d-a0e79e156671","Strain-Hardening Cementitious Composite as Lost Formwork for Reinforced Concrete Beams","Nuri, Masi (TU Delft Civil Engineering & Geosciences)","Lukovic, M. (mentor); He, S. (graduation committee); Šavija, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Cracks that develop in concrete due to tensile stresses can lead to the corrosion of embedded reinforcing steel, which is a primary cause of concrete deterioration. It's common practice to introduce additional reinforcement to limit crack width in concrete. Another potential solution involves partially substituting concrete with alternative materials. Strain-hardening cementitious composites (SHCC) display ductile behavior, forming multiple fine cracks under tension, making them a potential candidate for use in conjunction with traditional concrete. This study aims to explore the flexural behavior of SHCC-RC hybrid beams and the shear behavior of SHCC-RC hybrid beams without transverse reinforcement. This investigation encompasses load-bearing capacity, crack patterns, crack width control, and post-cracking shear ductility.
The SHCC-RC hybrid beams consist of a SHCC U-shaped formwork with lower-quality concrete cast inside. The feasibility of 3D printing the stay-in-place formwork is also under examination. Two types of experiments were conducted to examine the structural response of the SHCC-RC hybrid members: a four-point bending test to assess flexural behavior and a three-point bending test to study shear behavior. Digital image correlation (DIC) was used to evaluate cracking patterns and crack widths, and these measurements were corroborated with linear variable differential transformers (LVDTs).
Four specimens underwent bending tests: a control beam, a hybrid beam with a transverse profiled interface, a hybrid beam with both transverse and longitudinal profiled interfaces, and a hybrid beam with a 3D printed SHCC formwork. The experimental results of the bending tests reveal that SHCC-RC hybrid beams exhibit significantly higher bending capacities compared to the control beam. Specifically, the control beam reached a capacity of 98.3 kN, while the hybrid beams with transverse, transverse and longitudinal interfaces, and a printed SHCC formwork had capacities of 145.1 kN, 159.1 kN, and 152.4 kN, respectively. The interface properties between SHCC and concrete were robust enough to prevent complete delamination of the SHCC formwork. Additionally, the hybrid beams demonstrated superior crack width control. The hybrid beams with precast SHCC U-shaped formwork, with or without a longitudinal profiled interface, exceeded a maximum crack width of 0.3 mm at 85.9% and 86.3% of their capacity after the reinforcing steel yielded, respectively. In the case of a printed SHCC formwork, the maximum crack width exceeded 0.3 mm at 78.1% of the capacity, while the control beam exhibited this at 49% of its capacity, well before the reinforcement's yield point.
Furthermore, four specimens without transverse reinforcement were subjected to shear tests: a control beam, a hybrid beam with a transverse profiled interface, a hybrid beam with both transverse and longitudinal profiled interfaces, and a hybrid beam with a 3D printed SHCC formwork. The shear test results suggest that a stay-in-place formwork can marginally enhance the shear capacity of an RC beam. The control beam and the hybrid beam with a transverse profiled interface exhibited capacities of 103.7 kN, while the hybrid beam with both transverse and longitudinal profiled interfaces had a capacity of 113.5 kN. The composite beam with a printed SHCC lost formwork achieved a capacity of 124.5 kN, possibly due to unintended increases in SHCC formwork thickness. All hybrid beams displayed greater energy absorption capacity and superior post-cracking shear ductility compared to the control beam. Specifically, the energy absorption capacity for hybrid beams without a longitudinal profiled interface, with a longitudinal profiled interface, and with 3D printed formwork was 26.7%, 106.5%, and 160.5%, respectively...
To address this, a literature review is first conducted. Two research gaps arise. First, empirical research concerning the entire user charging journey and service integration is lacking. Additionally, more influential factors should be identified while predicting user preference of charging decision. To bridge the gaps, semi-structured interviews is carried out to examine the journey from charging intent to completion and explore factors influencing driver decisions. User pain points are clustered into a journey map, revealing three main challenges hindering the seamless charging experience. The research suggests that addressing these challenges during planning phase can streamline the overall journey. Thus, the design goal is: “How might we design an effortless planning phase that adapts to user needs and contextual factors, ensuring a seamless public charging experience for BEV drivers.”
Based on literature and qualitative research, a route and charger suggestion service is proposed to enhance the overall charging experience. The mechanism behind the scenes is first outlined. Subsequently, five design guidelines are developed to inspire the creation of this new service, providing application strategies for potential service developers such as vehicle manufacturers and E-Mobility service providers (EMSPs). Moreover, interactive prototypes are created as a tool to validate the concept. At its core, this concept integrates factors from the vehicle, charging network, user behavior and preferences, as well as road and environmental conditions to deliver personalized and optimal charger suggestions in realtime. This minimizes cognitive effort, enabling informed and efficient charging decisions while driving, and ensuring compatibility between chargers, BEVs, and user preferences.
After creating the concept and prototypes, validation was conducted in person with several previous BEV drivers participating in the interview section, along with some experts. Feedback was collected through concept demonstrations, focusing on whether it aligns with the design goal and how BEV drivers perceive the new planning experience. The audience responded positively. Compared to the current fragmented planning experience, a more effortless route planning and charger selection process was validated, and users appreciated the context-aware service that made their charging experience relevant. However, further research is essential to gauge real-world feasibility by consulting stakeholders in the EV charging ecosystem. Additionally, exploring what other influential factors out there and how developers weighted them differently based on user preferences and situations is recommended.","EV charging; User Experience; User journey; In-car interface; HMI design; Interaction Design; BEV; public charging infrastructure","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:6a8a0fa2-bd83-4a72-901a-e6b1914d935b","http://resolver.tudelft.nl/uuid:6a8a0fa2-bd83-4a72-901a-e6b1914d935b","A Hybrid-Hysteresis-CFM Control Method Recursive Switched-Capacitor DC-DC Converter with ripple reduction and load transition enhancement","Li, Shuangmu (TU Delft Electrical Engineering, Mathematics and Computer Science)","Du, S. (mentor); Bult, K. (graduation committee); Makinwa, K.A.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Switched-capacitor power converters (SCPCs) have emerged as promising alternatives to traditional inductive power converters due to their CMOS integration capability and configurable conversion ratios. However, challenges such as output ripple, power efficiency, and transient response have hindered their widespread adoption. This article presents a novel Hybrid Hysteresis-CFM (HHC) control strategy that effectively addresses these issues.
The HHC strategy combines the best of both worlds, integrating hysteresis control and Continuous Frequency Modulation (CFM) control. During transient moments, the system utilizes a coarse/fine frequency tuning approach to rapidly reach the target frequency. Simultaneously, hysteresis control ensures that overshoot and undershoot are kept within predefined limits, significantly reducing output ripple during load transitions.
To enhance performance and versatility, the proposed system employs a Recursive Switched Capacitor (RSC) topology with adaptive capacitor sizing and 10-phase time-interleaving. This configuration enables configurable voltage-conversion ratios (VCRs) and high power conversion efficiency (PCE) across a wide input-output range.
Experimental results validate the effectiveness of the HHC SCPC. It demonstrates minimal overshoot and undershoot during load transition, maintains a low output voltage ripple during steady-state operation, and achieves high PCE. Comparative analysis with state-of-the-art DC-DC converters underscores the superior performance of the proposed system.
In summary, the Hybrid Hysteresis-CFM (HHC) control strategy offers a promising solution to enhance the capabilities of switched-capacitor power converters. Its ability to address output ripple, power efficiency, and transient response challenges makes it a valuable innovation for diverse applications requiring efficient power conversion.","DC DC converters; Ripple reduction; Load enhancement; SC","en","master thesis","","","","","","","","2025-09-30","","","","Electrical Engineering","",""
"uuid:25bc7e39-7065-47d7-8d84-e5b25e5f2aca","http://resolver.tudelft.nl/uuid:25bc7e39-7065-47d7-8d84-e5b25e5f2aca","More effective knowledge sharing of nature-based flood defense pilots: A knowledge base approach","Klein Essink, Casper (TU Delft Technology, Policy and Management)","Vreugdenhil, H.S.I. (mentor); van de Kaa, G. (graduation committee); Slinger, J (graduation committee); Penna, C.C.R. (graduation committee); Leung, Nick (graduation committee); Delft University of Technology (degree granting institution)","2023","Currently, there is no standardised process for monitoring and evaluation of nature-based flood defenses pilots in Dutch rivers and floodplains. Thus, piloted technologies cannot be compared fairly with other nature-based flood defense technologies and conventional solutions. This study developed such a process by way of a monitoring and evaluation framework, using a research-by-design approach. This monitoring and evaluation framework is incorporated into a knowledge base that was developed in parallel to store the results of monitoring and evaluating and to effectively share knowledge about each pilot for future reference. The primary intended users of the knowledge base are researchers, therefore requirements were elicited via interviews with matter experts. Findings from the interviews, combined with literature review, formed the basis of design and development for the monitoring and evaluation framework and knowledge base. A second round of interviews was held for iterative improvements of this design via feedback and subsequent revision. In total, three design-feedback iterations were done. The last provided validation results of the improved solution. The main findings indicate that a knowledge base approach has merit and it is suggested that Rijkswaterstaat adopt and improve this solution as a template within their innovation process. The innovation process at Rijkswaterstaat is being developed right now and has incorporated evaluation of pilots. Additional results are a large number of possible avenues for further development and future research.","Nature-based solution; Nature-based flood defense; Nature-based flood defences; nature-based flood defences; Rivers; Monitoring and evaluation","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:3da6145d-e55d-4a08-90e2-39cb7dc3370e","http://resolver.tudelft.nl/uuid:3da6145d-e55d-4a08-90e2-39cb7dc3370e","Enhancing biodiversity in offshore windfarms in the North Sea","Stassen, Laura (TU Delft Industrial Design Engineering)","Santema, S.C. (mentor); Berghuis, G.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","De Rijke Noordzee (DRN) is a Dutch organization with a mission to enhance nature utilizing the opportunities which offshore wind farms offer. The organization is currently working on several nature enhancement projects in offshore wind farms in the Dutch part of the North Sea. However, DRN’s mission to enhance biodiversity does not stop at the borders of the North Sea. Therefore, I explored in this report the opportunities for DRN to collaborate with the North Sea countries in offshore wind farms (OWFs).
To find opportunities for DRN, I started with an analysis of De Rijke Noordzee by interviewing employees of DRN. Based on this analysis, I found two critical needs regarding international collaboration. The need for a strategy on international collaboration between North Sea countries and a way to share knowledge on nature enhancement. Furthermore, I identified three valuable strengths of DRN: 1) connector between science, industry and government, 2) practical performer in nature enhancement projects, 3) opportunity focussed.
Thereafter, I created future contexts on Enhancing biodiversity in the North Sea by 2030 with the so called ViP method. I selected the most promising two future contexts which align with DRN’s needs and strengths: A) North Sea cooperation plays a significant role in educating people about nature topics and B) Nature education starts by engaging locally.
Based on the two analyses, I primarily focussed on the development of a strategy on North Sea collaboration (including looking for a country to start knowledge sharing with). Furthermore, on the development of ideas for DRN to share knowledge on nature enhancement projects by engaging locally.
To assess the North Sea countries, I built a decision tree based on a list of factors which influences the suitability for DRN to share knowledge with this country. The North Sea countries Germany, Denmark, UK and Belgium appeared to be suitable for collaboration from 2024 on, where Germany has the highest score. Furthermore, France and Norway might be suitable to share knowledge with in the future.
To come up with different ideas on sharing knowledge on nature enhancement by engaging locally, I organized multiple brainstorm sessions. Four ideas were selected for DRN which create the highest impact and at the same time acquire low effort to be implemented in 2024.
Consequently, I formulated a strategy on how to approach the North Sea collaboration to enhance biodiversity by 2030. This strategy is illustrated in a roadmap including selected ideas for horizon 1. The strategy is summarized as following:
Horizon 1: Share knowledge with Germany by engaging locally while: eating OWF seafood in restaurants, walking along the coast line or joining a music festival.
Horizon 2: Share and gain knowledge with and from Denmark, the UK and Belgium.
Horizon 3: Scale knowledge with France and Norway.
Besides the developed strategy, the decision tree is a structured tool for DRN to evaluate countries for collaboration in the future. Together with DRN, I discovered how the decision can be feasible, viable and desirable for them. As a result a poster was developed to inspire DRN employees. Besides, the decision tree is valuable in acquiring new funding and informing new and current stakeholders.
In addition to these outcomes for DRN, I discovered the contribution for Accenture. Together with fellow design consultants from Accenture, I explored the use of the ViP method within Accenture. I organized a brainstorm session to discuss the potential value. The session resulted in a positive response towards a VIP by a majority of my colleagues. Therefore, I designed a guide to integrate the ViP method within Accenture.
The numerical model was validated with physical model tests performed on a rubble mound breakwater. Overall, the numerical model simulated the incident waves accurately, but overestimated the mean overtopping discharge. The overprediction of the overtopping discharge and the different methodologies of computation of the flow velocities made it difficult to validate the overtopping flow. However, the numerical model was still valuable to study the physical processes occurring during overtopping events, and the trends on the modelled flow depths and velocities when changing the wave conditions and protrusion heights.
It was determined that wave gauges and probes are the optimal methods to extract the flow depths and velocities from the numerical model. They were placed along (and over) the crest. For the specific set-up of the numerical model, it was found that the most extreme events impact the horizontal part of the crest wall in between the measuring devices. Therefore, for some instruments, the flow depths and velocities are extracted when the events are still in the air or at the moment of collision with the crest. In these cases, the trends had a different behavior than the expected one once the events are propagating attached to and along the crest. More detailed analysis and future validation are required for such circumstances.
Events associated to high exceedance probabilities showed trends aligned with the expected tendencies (for events propagating attached to the crest). This is because, these events were produced by smaller wave heights. Hence, the overtopping events collided with the horizontal part of the crest wall before the first measuring devices. For these events, it was observed that the flow depths and velocities decreased the lower the significant wave height, the larger the wave steepness, and the longer the distances from the seaward boundary. In addition, for a smaller protrusion height, more events were captured, and their flow depths and velocities were larger.
At the moment the most promising alternative seems to be cloud technology. Cloud technology, or more specifically public cloud technology, provides the option to ’rent’ computing resources remotely from an external party. It is already widely in use within the private sector, as well as in some European governments. However, to introduce public cloud technology some hurdles must be overcome, such as concerns related to data security or the dependence on soft- and hardware that has been superseded but is difficult to replace. To gain more insight into the issue of the public cloud being a viable option to accommodate future digital transformation, it is first and foremost important to know what variables play a role in the decision-making process: what are the incentives to answer this question with either a ’yes’ or a ’no’? The objective of this study will therefore be to investigate this landscape of variables that influence the decision to adopt public cloud technology. It starts with the identification of variables from current research and reports, and then explores possible interrelationships. The identification and classification of these relationships could eventually help to bring about a well-considered decision about public cloud adoption in the Dutch public sector.
Reviewing current research related to variables that influence cloud adoption, three research gaps emerged. Firstly, current research was delineated to the Netherlands and therefore failed to capture geographically specific variables, such as legal or cultural characteristics. Secondly, authors that analysed the decision-making process of adopting cloud technology neglected any interrelations between different variables. Thirdly, existing theories fell short in capturing the full scope of could computing adoption decisions, being either too generic or not specific enough.
To address the knowledge gaps and simultaneously fulfil the objective of this study, Interpretive Structural Modeling (ISM) and Fuzzy Matrice d’Impacts Croisés Multiplication Appliquée à un Classement (MICMAC) methods are used. ISM aims to simplify complex relationships between a system of variables by providing a graphical representation of the hierarchical structure of that system. Fuzzy MICMAC is used to assess the strength of the relationship between the variables. In total, 22 interviews with experts in the field were held as input for the analysis. The experts worked in the Dutch public sector and were familiar with the decision-making process related to cloud computing adoption.
In the first step of ISM, relevant current literature and official Dutch documents and reports were used to create a list of eight variables that would have a negative effect on the decision to adopt cloud computing within the Dutch public sector. These were classified as the ’barriers’. Additionally, a list of eight positive variables was created and classified as the ’drivers’. Then, the ISM Fuzzy MICMAC steps were followed for both lists.
Key findings for ISM can be described as ’how many’ other variables are influenced by a certain variable, or by ’how many’ other variables this certain variable influences (including indirect effects). For Fuzzy MICMAC, results can be described by using the terms driving power, i.e. how ’strong’ does a variable influence other variables in the system, and dependence power, i.e. how ’strong’ is a variable influenced by other variables.
For the barriers, Regulations and government policy was the variable that had the most influence on other variables within the barrier system. The barrier that influenced other variables the strongest however (i.e. had the highest driving power), was Lack of knowledge and capabilities. Both Internal resistance to change and Negative business case were influenced by the highest number of other variables and did not affect any new variables. The variables with the highest dependence power were Internal resistance to change and Data security concerns.
For the drivers, Bigger knowledge market, Ease of use and Improved hard- and software were the variables that had the most influence on other variables within the drivers’ system. The driver with the highest driving power was Improved hard- and software. Governmental strategy was influenced by the highest number of other variables and did not affect any new variables. The variables with the highest dependence power were Lower and flexible cost and Governmental strategy.
The findings of this research have practical and theoretical implications. Practical, because they can support decisions about cloud within the Dutch public sector. Theoretical, since the findings suggest new variables, interrelations and theories related to public cloud computing. Furthermore, it uses ISM Fuzzy MICMAC, which is often used in the context of novel technologies, but never before for cloud computing adoption decisions.
Future research could address the limitations of this study, such as the exclusion of a feedback loop from the experts during the identification of the variables. Alternatively, research could use the Total Interpretive Structural Modelling (TISM) method to investigate the relationships further.","cloud computing; public sector; ISM; Fuzzy MICMAC","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:ba5da525-6746-42cc-8896-9f476ef71231","http://resolver.tudelft.nl/uuid:ba5da525-6746-42cc-8896-9f476ef71231","FPGA-based Fault Emulation for Safety-Critical ICs","Lohar, Nivedita (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hamdioui, S. (mentor); Fieback, M. (graduation committee); Van Vroonhoven, Caspar (mentor); Tervisan, Alessandro (graduation committee); Delft University of Technology (degree granting institution)","2023","In 2022, there were over 26 million electric automobiles on the road, a 60% increase with regard to 2021 and more than 5 times the stock in 2018. As automobiles become more electric and systems get increasingly complex, the safety requirements get more stringent. In 2011, the International Organization for Standardization (ISO) established ISO26262 to provide guidance to the semiconductor industry on the development process of safety essential ICs for automotive applications.
When evaluating the safety of a system parallel to the normal functional operation, traditional Design-for-Test (DFT) techniques such as scan chain, Built-In Self-Test (BIST), Joint Test Action Group (JTAG), and boundary scan are no longer viable options for two fundamental reasons. Firstly, safety assessment in the context of automotive applications necessitates evaluation at an application level, going beyond the capabilities of these techniques, which are primarily designed for structural and functional testing. Secondly, during safety checks, it is imperative that the normal operation of the integrated circuit (IC) remains uninterrupted, as the chip is often deployed in critical, real-time systems. These traditional DFT methods, while effective during the IC manufacture and production before they are released in the market, fall short of addressing the dynamic and application-specific safety concerns that arise during the operational lifecycle of safety-critical systems in sectors like automotive engineering.
To address these challenges, fault injection has emerged as the necessary step for safety assessment. ISO26262 explicitly recognizes fault injection as one of the most popular techniques for evaluating a system's safety and determining its Automotive Safety Integrity Level (ASIL). The safety metrics are required for certification of a product with ASIL. Fault injection allows for the creation of realistic fault scenarios and the assessment of how the system responds to these faults during normal operation, aligning more closely with the dynamic and application-specific nature of safety-critical systems in fields of automotive engineering.
Currently, many EDA companies provide Failure Mode Effects and Diagnostic Analysis (FMEDA) platforms for IC safety evaluations. However, these tools are time-consuming and resource-intensive. Additionally, as IC designs become more intricate, there is a reliance on fault reduction techniques such as statistical sampling, which entails simulating only a mere 5% of the overall fault space. Thus, an FPGA-based fault emulation system emerges as a promising approach to expedite this process.
The novelty of this work was in designing a dedicated platform tailored for the evaluation of safety-critical systems for automotive applications such as Battery Management Systems (BMS). This platform can execute the safety sequences on the system to evaluate the safety mechanisms implemented in the design in the presence of random faults assuming the chip is in use in the car. Moreover, the fault emulation activity provides the evidence necessary for the certification of products with ASIL level. Furthermore, performing this activity during the development stage helps in designing the ICs with the highest level of safety. The proposed FPGA-based fault emulation system efficiently overcomes three key challenges: it decreases execution time dramatically provides a speed-up of 296x compared to the simulation method, optimizes resource utilization, eliminates the tool license cost, and removes the requirement for considerable fault space reduction. This platform can emulate a large fault population of up to one million faults in less than three hours.","Functional Safety; ASIL; ISO26262; HARA; Single-point fault","en","master thesis","","","","","","","","2025-09-22","","","","Electrical Engineering","",""
"uuid:e9199d15-2298-4f78-afcd-da0ed432a28c","http://resolver.tudelft.nl/uuid:e9199d15-2298-4f78-afcd-da0ed432a28c","Bio-Based FRP Floors: A Comprehensive Feasibility Analysis: Structural, environmental, and economic performance in modular buildings compared to conventional floors","van der Toorn, Iona (TU Delft Civil Engineering & Geosciences)","Pavlovic, M. (mentor); Ravenshorst, G.J.P. (graduation committee); Karpenko, O. (graduation committee); van der Ploeg, J.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research investigates the feasibility and potential of utilizing BFRP floors in modular buildings as a low environmental impact and cost-effective alternative to conventional floors. A modular building is introduced as a case study, the Natural Pavilion in Almere, to bring focus to the research and set a baseline for the requirements of the BFRP floor. A second case study, the bio-composite bridge in Ritsumasyl, is introduced to utilize its comprehensive dataset of material properties representing the state-of-the-art BFRP. Using the two case studies, two design solutions, a one-way and two-way floor, are developed.
Comparing the designed BFRP floor to conventional floors such as cross-laminated timber, concrete hollow core slab, and concrete flat slab, several conclusions can be drawn:
(1) The construction height of BFRP floors is similar to that of conventional floors. The weight of the floor is similar to a CLT floor, while a concrete floor is 6-8 times heavier. Design optimization is possible, and the amount of BFRP material utilized can be reduced by up to 21% for the one-way floor and 19% for the two-way floor. Additionally, the floor design proposed in this thesis is intended for buildings with a design life of 15 years and no specific fire resistance requirements. For structures with a design life of 50 years, it is imperative to increase the floor height to meet deflection criteria. Further research on fire resistance and additional measures is necessary to extend applicability beyond single-compartment buildings and terrace housing.
(2) The environmental impact of a BFRP floor, assessed in terms of Global Warming Potential through a Life Cycle Analysis encompassing stages A1-A5, is found to be twice that of a concrete floor. Through optimization of the floor design and reduction of BFRP material usage, it is possible to achieve a reduction in CO2 emissions of approximately 10%. Nevertheless, in the current state-of-the-art, BFRP floors exhibit a higher environmental impact than conventional flooring systems. The use of resin and the production process are the primary contributors to CO2 emissions. The contribution from the production process requires nuance, as results heavily depend on the data source. Factors such as manufacturing techniques and production scale significantly affect this impact. By reducing the impact of the resin and production techniques while also exploring end-of-life possibilities for 100% bio-based BFRP, the environmental impact of BFRP floors holds potential for the future.
(3) The floor cost is nearly twice as high as a comparable CLT floor. This can be attributed to introducing new design solutions with a sustainability focus, often resulting in increased costs due to lower demand, higher material and design expenses, and limited production scale. Even though current costs are much higher for BFRP floors than for conventional floors, there is potential for BFRP floors to become more cost-effective and competitive in the future, especially when the environmental impact is reduced. It is difficult to estimate how the price of BFRP floors would change over time, and therefore, it has not been taken into account in the results.","FRP; Bio-Based; Floors; LCA; Flax fibers","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering | Steel and Timber Construction","",""
"uuid:db67b5cd-bd65-4b98-9ad4-f226e7e6d015","http://resolver.tudelft.nl/uuid:db67b5cd-bd65-4b98-9ad4-f226e7e6d015","Algorithmic FX trading: a new backtesting approach for the venue selection","Ferretti, Luca (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Applied Probability)","Papapantoleon, A. (mentor); Fang, F. (graduation committee); Hazeveld, Erwin (mentor); Delft University of Technology (degree granting institution)","2023","This research project, conducted in collaboration between TU Delft and MN, a pension fund asset manager, focuses on the optimal venue selection in FX trading. The objective is to investigate how the venue selection affects trading performance and to improve MN trading execution algorithm, named ALGO. The research aims to propose a new approach for the venue selection problem by allocating weights to different venues instead of solely selecting the best one. It utilizes advanced statistical and machine learning techniques and develops a matching engine capable of reconstructing historical orderbooks for backtesting strategies. The outcomes of this thesis show clear ideas for improving the current venue selection model. The proposed models are anticipated to consistently outperform ALGO, leading to improved trade execution. The insights and methodologies developed in this research will contribute to further investigations in improving venue selection processes and optimizing execution strategies in the FX market. The thesis provides a comprehensive analysis of the problem, explores the mathematical framework, presents real-world data-driven approaches, and discusses the findings and conclusions, offering valuable insights and recommendations for future MN research.","Algorithmic Trading; Algorithmic Execution; Quantitative analysis; FX Trading; Venue Selection; Optimization Algorithm","en","master thesis","","","","","","","","2025-09-22","","","","Applied Mathematics","",""
"uuid:71c010c5-b479-405f-ae89-21c4b177e027","http://resolver.tudelft.nl/uuid:71c010c5-b479-405f-ae89-21c4b177e027","Pathways to Sustainable Rural Electrification in Uganda: A Holistic Analysis of Techno-Economic, Socio-Technical, and Political Dimensions: From Policies to Action: Fostering Collaboration for Energy Development","Lawino, Marilyn (TU Delft Technology, Policy and Management)","Correljé, A. (mentor); Bouwmans, I. (mentor); Delft University of Technology (degree granting institution)","2023","Decision-making about sustainable energy development often constitutes not only choices about which technology to adopt but also the dependencies of these technological choices on the social, economic and political helms within the context they are applied. For Uganda, juggling some of the country’s innate challenges like poverty and lack of energy access whilst managing limited resources magnifies the complexity of its development efforts. Thus, crafting actionable policies and strategies to achieve the country’s development goals requires a harmonious interplay of the country’s social, technical, economic and political perspectives. Literature on sustainable energy development encompassing the different perspectives is growing. However, there is a knowledge gap in research that covers all the mentioned perspectives for Uganda.
This research focuses on rural electrification because rural communities comprise 74% of the country’s total population and hold the key to attaining the country’s sustainable energy development goals. From this, we derive the main research question: What are the plausible pathways for rural electrification development in Uganda, considering the techno-economic, socio-technical, and political perspectives, using a serious game? The main aim for including a serious game in this research is to study the tool's potential in streamlining the multi-faceted decision-making process. A pre-existing game was selected and adapted to the Ugandan context to include institutional roles, the choice of suitable rural electrification technology and other subsidy measures. The information needed for the adaptations was gathered using a mixed methods analysis approach. The information included Uganda’s feasible energy generation alternatives, the criteria for analysis of the different generation alternatives and the main actors in the arena. This was done through reviews of relevant policies, surveys and interviews with relevant stakeholders. The findings from the game, which constitute adapting institutional roles, the choice of suitable rural electrification technology and other subsidy measures, were then proposed. The game also proved to be a beneficial tool to foster learning and collaboration amongst relevant energy stakeholders.
The present study explores and seeks to understand the reliability of sewage pumping stations, particularly in the setting of the Department of Public Works in Rotterdam. The scope of this study is confined to data collected between 2016 and 2020. The research encompasses a diverse range of interconnected aspects, such as examining discrepancies in failure data, categorizing types of failures, tracking changes in failure patterns over time, and selecting a fitting statistical model to accurately represent the findings. The core focus of this study is to formulate an analysis framework to assess the reliability and failure patterns in wastewater pumping stations. In these patterns, various trends can be discerned through interarrival time - the period between two failures. For instance, an increasing trend indicates less frequent failures, suggesting improved reliability of the pumps. The devised framework combines both objective and subjective trend analyses, multiple trend tests, and employs a range of models such as the Homogeneous Poisson Process (HPP), Renewal Process (RP), and Non-Homogeneous Poisson Process (NHPP). This methodological approach is structured to facilitate a better understanding of the patterns of system behavior and shifts. Analyzing pump performance patterns over five years revealed various trends among 447 pumps studied. Of these, 254 had consistent failure patterns; 98 showed a stable trend, 146 improved over time with fewer failures, and 10 experienced more failures, indicating declining performance. The remainingpumps were divided into various trend groups or segments, demonstrating different patterns in their performance over the five years. The application of statistical methods elucidated these failure patterns, contributing to the evaluation of sewage pump station efficiency. Notwithstanding these progressions, my investigation has identified certain domains that could derive advantages from additional enhancements. The current methodology, which entailed manual configuration of kernel parameters and dependence on piecewise linear regression, was deemed insufficient for about 50% of the pumps. The study proposes the integration of sophisticated parameter estimation techniques, including Bayesian optimization or grid search, and alternative modeling methodologies to tackle this issue. It is recommended that forthcoming research expands its scope beyond Rotterdam and investigates a wider variety of pump mechanisms to validate the generalizability of the findings presented in this study. In conclusion, this thesis develops an analytical framework based on examination of failure patterns and system dynamics. It establishes a platform for future progress by outlining a distinct pathway for prospective investigations pertaining to the failures in sewage pumping stations.","pumping station; statistical analysis; Water management","en","master thesis","","","","","","","","","","","","Water Management","",""
"uuid:89add524-2a2b-43c9-b31f-b74e2a78aca7","http://resolver.tudelft.nl/uuid:89add524-2a2b-43c9-b31f-b74e2a78aca7","Assessment of loading rate effects on elastic-plastic shell buckling capacity of monopiles subjected to bending moments","Teeuwen, Eduard (TU Delft Mechanical, Maritime and Materials Engineering)","Walters, C.L. (mentor); Wong, W.J. (graduation committee); Napoleone, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","It is becoming increasingly clear that the effects of climate change should be decreased or even mitigated. Green alternative sources of energy are being explored, and wind energy emerges as an important option that can be exploited on a large scale. Wind turbines are placed more and more often offshore due to larger and more stable wind resources. The most common foundations for these turbines are monopiles. The future outlook for these turbines and their foundations is that they will become bigger. An important design characteristic of monopiles is the natural frequency of the pile. In order to keep dynamic effects to a minimum, excitation frequencies should not coincide with the natural frequency. The primary source of excitation of monopiles are bending moments. Therefore, this thesis will take a closer look at the dynamic bending capability of monopiles.
There is a lack of knowledge on dynamic effects of bending moments on steel cylindrical shells (monopiles). While the dynamic axial buckling capacity of cylindrical shells has been researched extensively, there is little known on the dynamic bending buckling capacity of such shells. This thesis investigates the influence of loading rate on the dynamic buckling capacity of monopiles subjected to bending moments. For this, a finite element model is constructed which is validated by analytical models. Later on, the finite element model is used to conduct a parametric study to investigate the effect of loading rate on buckling capacity.
The scope of this study excludes factors such as soil dynamics, fluid structure interactions, residual stresses, and lateral forces. The focus is solely on the cylindrical shell of monopiles, excluding secondary steel from consideration. Initial geometric imperfections are considered as local perturbations necessary to initiate buckling, while other factors that may affect lateral forces or overall structural capacity are excluded.
This study will show that different parameters play part in the dynamic bending buckling behavior of cylindrical shells. The natural frequency of the cylinder, as well as the non-dimensional length together with the yield stress of the material play an important role in the dynamic buckling capacity. This research concludes that cylindrical shells with higher natural periods are more influenced by dynamic bending moments than cylinders with shorter periods. Next, shorter, stocky cylinders exhibit higher dynamic buckling capacities than slender cylinders. Also, imperfections are found to decrease the buckling capacity of cylindrical shells, but this effect diminishes for increasing loading rates.
Keywords: Offshore wind energy; Cylindrical shells; Dynamic buckling; Loading rate; Imperfections; FEM;","Offshore wind; Cylindrical shell; Dynamic buckling; Loading rate; Imperfections; FEM","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:1eb12635-2636-4c69-a152-525bd07bdd22","http://resolver.tudelft.nl/uuid:1eb12635-2636-4c69-a152-525bd07bdd22","Design and Validation of Innovative Prototypes for Effective Sealing of PP Sterilization Wraps","Naveen, Naveen (TU Delft Mechanical, Maritime and Materials Engineering)","Horeman, T. (mentor); van Straten, Bart (graduation committee); Dankelman, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The sterile packaging of instrument trays containing medical devices is a critical step in the sterilization process for various medical and industrial applications. This process involves wrapping instrument trays with nonwoven polypropylene sterilization wrap, which plays a crucial role during and after sterilization. Traditionally, the loose ends of the wrap are secured using autoclave tape. However, the presence of this tape poses a risk of recycling contamination when the wraps are disposed of for recycling. Additionally, in some cases, the autoclave tape has been found burnt at the end of sterilization due to excessive use during the packaging process. This study aims to design and develop a prototype sealing device that eliminates the need for autoclave tapes while maintaining the integrity of the sterile barrier system. During this study, two prototypes of sealing devices were developed: one utilizing ultrasonic sealing technology and the other using impulse sealing technology. The ultrasonic sealer is sourced from a cold-fusion sealer, while the impulse sealer is obtained from a plastic packaging device. Both devices are upgraded and repurposed as per the drawn-up requirement specifications and development criteria. To validate the prototypes, a product characterization test is conducted optimizing the seal time settings based on seal strength tests following ASTM F88/F88M-21. The optimum seal strength, measured using the T-peel test, is determined to be 1.21±0.05 N/mm for the impulse sealer and 0.93±0.08 N/mm for the ultrasonic sealer at the optimized seal time for a test sample width of 30 mm. This is succeeded by, a demonstration of the prototypes at Maastad CSA in Rotterdam, assessing the usability and functionality of these devices. During the demonstration sealed sterile packages were made following a standard envelope-wrapping approach. Replacing autoclave tapes with the seals at the critical points to secure the wrap. Feedback is collected from employees regarding the new method of packaging. Two sealed sterilization packages produced following the use of both prototypes are subjected to a trial run in an autoclave for sterilization testing. The seals proved to be effective in withstanding the sterilization process without compromising the package's integrity.
The results of this study contribute to sustainability efforts in the healthcare sector by offering a more efficient and environmentally friendly approach to maintaining the sterility of reusable medical devices.","Sterile barrier system; Nonwoven polypropylene sterilization wraps; Ultrasonic sealers; Impulse sealers","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:d76e7929-a191-4e57-be96-1fbecccd43f9","http://resolver.tudelft.nl/uuid:d76e7929-a191-4e57-be96-1fbecccd43f9","The influence of diversity and size of standard setting organisations and standards consortia on standard success","Verkleij, Ruud (TU Delft Technology, Policy and Management)","Smit, A.C. (mentor); van de Kaa, G. (mentor); Grossmann, I. (mentor); Delft University of Technology (degree granting institution)","2023","Standardisation plays a crucial role in driving long-term productivity, competitive growth, and innovation in various industries. Its primary objective is to streamline processes by establishing consensus among different stakeholders, such as firms, users, academia and governments. Standards provide classifications such as quality, compatibility, safety, or measurements to ensure that products and procedures meet specific requirements and can be reliably used across different domains. In spite of the importance of standardisation, there is a lot to learn about the factors that influence standard success. As of now, literature in this field is mostly exploratory in nature. This study investigates the factors influencing the success of standardisation efforts, in particular, it examines the impact of technological diversity and geographical diversity within standard setting organisations (SSOs) or standards consortia on achieving standard success. Additionally, the study explores the role of the size of these SSOs or standards consortia in influencing the likelihood of standard success. Next to that, the influence of the definition of standard success is also examined, by providing three different theoretical definitions for standard success. This study adds on to the literature by providing larger scale qualitative research in an attempt to better understand the dynamics of standard success. In this study an original dataset was created of standards consortia in the context of Renewable Energy (RE) and Sustainability, Efficiency, and Green Initiatives (SEG). The chosen context is significant for addressing the global climate problem, a complex and multifaceted wicked problem that requires collaborative efforts. Therefore, the understanding of which factors influence the success of standards is essential to tackle climate change's adverse impacts. Standards are important in providing universal guidelines, products and protocols that are needed to combat climate change. The results indicate the presence of a U-shaped relationship between technological diversity and standards success, this result has not yet been found earlier and implies that instead of an optimum range of diversity, managers should to be at either extreme end of the technological diversity spectrum. Moreover, we observe a positive relationship between consortium size and standard success, meaning that whenever possible, managers should aim to increase consortium size. This study provides a better understanding of how the characteristics of standards consortia influence standard success which can also aid managers in making well-educated choices when setting up standards consortia.","","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:3b0b3c3b-6eaa-43b8-b2f3-945938b1e2d8","http://resolver.tudelft.nl/uuid:3b0b3c3b-6eaa-43b8-b2f3-945938b1e2d8","What do Circular Strategies Mean for Current HVAC Systems in Utility Buildings?: An Exploratory Research","Patil, Mrinal (TU Delft Civil Engineering & Geosciences)","van Os, M. (mentor); Houwing, E.J. (graduation committee); Chan, P.W.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research extensively examines the pivotal shift toward circularity within the European construction and building sector, with a specific emphasis on HVAC systems in utility buildings. It commences by underscoring the pressing urgency precipitated by the Paris Agreement of 2015 and subsequent climate objectives, necessitating reductions in greenhouse gas emissions and resource utilization. The construction and building sector's notable contributions to energy consumption, waste production, and material extraction highlight the imperative to address circularity within this industry.
The report delves deeply into the notion of the circular economy (CE), emphasizing its potential to disassociate economic growth from resource depletion and waste generation. While acknowledging the growing scholarly and corporate interest in CE, exemplified by industry giants such as Philips and IKEA, this study highlights the limited practical application of circular principles, particularly within the HVAC systems sector.
Recognizing the multifaceted and varying interpretations of circularity, the report seeks to demystify the concept by conducting a thorough literature review and interviews. Through a thematic analysis, it identifies existing challenges, proposes potential solutions, and evaluates the feasibility of implementing circular strategies for HVAC systems. Key findings encompass project-specific considerations, the influence of location and climate, and the imperative need for legislative reforms to expedite the transition.
Ultimately, the research provides a valuable starting point for MEP consultants, equipping them with a pragmatic decision support framework and a categorized repertoire of circular strategies. It encourages industry professionals to embrace circularity in HVAC design, bridging knowledge gaps and promoting sustainable practices. While acknowledging the study's limitations, including its qualitative nature and potential for bias, this study calls for future research to validate the framework through real-world cases, expand performance evaluation criteria, and advocate for policy reforms across the broader built environment. In summary, this study constitutes a vital stride toward fostering circularity and sustainability within the construction and HVAC sectors.
A continuous flow column experiment was conducted in order to simulate the processes which take place under oxic conditions at SIR for the removal of 5 targeted OMPs (bentazone, metformin, caffeine, carbamazepine and atrazine). Two columns were filled with iron oxides (FeOx) coated sand and manganese oxides (MnOx) coated sand respectively, in order to simulate the two precipitation zones created in the subsurface. The aim of the study was to investigate the removal efficiencies of the selected OMPs in both columns in order to understand if the SIR environment is favourable for the
removal of OMPs.
Results indicated that for most compounds there was removal observed after 65 pore volumes of continuous flow. Metformin was hardly removed (<10% removal rate) in both materials. A higher removal efficiency was observed at the FeOx column for bentazone (65%), caffeine (54%) and carbamazepine (29%), while atrazine was the only compound which had a greater affinity for MnOx, with a removal rate of 87%.
These findings suggest that SIR has a potential on the removal of certain OMPs. It is suggested that during SIR the OMPs existing in the subsurface, pass through the two precipitation zones and undergo removal processes within both zones. However, based on their respective affinities to each of these zones, their removal extents vary accordingly. Ultimately, the water extracted from the undergoing SIR exhibits a reduced concentration of OMPs, which is a result of the combined removal mechanisms operating within both precipitation zones.","","en","master thesis","","","","","","","","2025-09-22","","","","Applied Earth Sciences | Environmental Engineering","",""
"uuid:63ea86ad-3f02-4609-939f-c48c09563aed","http://resolver.tudelft.nl/uuid:63ea86ad-3f02-4609-939f-c48c09563aed","Designing a reusable applicator and extractor for the Beppy menstrual cup","van Nieuwenhuizen, Sonja (TU Delft Industrial Design Engineering)","Pasman, G.J. (graduation committee); Filippi, M. (mentor); Delft University of Technology (degree granting institution)","2023","This graduation report explains the process of the creation of a new reusable product to apply and extract the Beppy menstrual cup, to make the user experience less intimidating and more convenient. The results are two seperate products: the applicator made from medical grade silicone via injection moulding and the extractor made from a hard plastic core with a medical grade silicone outer layer via 2K injection moulding. The applicator helps the user fold the cup and keeps the cup tightly folded while inserting. When inserting, the cup is pushed out by pressing the bottom upwards, pushing a stick against the cup.
The extractor is used to take the menstrual cup out of the vagina canal. This is done by inserting the extractor next to the cup until it passes the rim of the cup. This will release the vacuum that is created by the cup and enable the user to pull it out. The cup is grabbed by the loop, before it is completely out of the vagina canal, so it does not fall.
To come to these final solutions, an analysis, ideation, integration and evaluation were conducted. The analysis provides knowledge about the company Beppy, their customers, related products, inspirational products and designs and general knowledge about the menstrual cycle and anatomy. By conducting a questionnaire and gaining insights from client support, a user journey is made to visualize the troubles experienced during the use of a cup. The insights from the analysis are concluded in the requirements.
To come to concepts, explorative ideation started during the analysis, followed by a brainstorm ideation via a structured micro user step scenario. This was divided into three parts: folding, inserting and extracting. Some of the mirco steps were ideated on and were combined to create ideas to 3D print prototypes with TPU.
The concepts were integrated until they worked properly when tested on vagina dummies and were ready to create silicone prototypes with by the manufacturer of Beppy. The extractor was not as thoroughly integrated as the applicator, because the applicator was more important for the company to develop. The design of the extractor is not yet up to the standard of Beppy, so they would want to continue with developing the design before proceeding with the creation of samples to test. The sample production of the extractor was also more expensive and would take too long to produce for this graduation project.
To test the applicator with users, a 3D printed mold was made and used to create silicone prototypes by the manufacturer. Six participants tested the applicator and gave feedback via a feedback form, first impression video and interview. The feedback was analysed, so a redesign could be made with which Beppy can continue its development.
The final design of the extractor is functional yet not fully shaped in a coherent design. The final design of the applicator is a promising product, that improves the experience of menstrual cup users by making is less intimidating and more convenient.","Menstrual cup; Applicator; Extractor; User Experience Design; Sustainability","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:58eb5160-aad0-437a-a4e3-9927c95d42dd","http://resolver.tudelft.nl/uuid:58eb5160-aad0-437a-a4e3-9927c95d42dd","Exploring the Role of Networks in CPR Governance","Isaenko, Matvei (TU Delft Technology, Policy and Management)","Ghorbani, Amineh (mentor); van der Voort, H.G. (mentor); Delft University of Technology (degree granting institution)","2023","In my thesis I was studying the interrelation between network topology and dynamics and the emergence and evolution of institutions for the governance of CPR. This research was intended to shed some light on what is the role of networks in formation of endogenous institutions and the possible insights for policy makers and users of CPR. To answer my research question, I utilized the agent-based institutional model and enhanced it by adding three dimensions of social networks and connecting them through various mechanisms to other parts of the model trying to capture the complexity of SESs. Therefore, through the combination of ABM and approaches from SNA I conducted a series of simulations that were imitating co-evolution of endogenous institutions and network topology. Through variation of input and output parameters the patterns were derived from the model and thoroughly analyzed. Notably, they suggest a positive relationship between the degree of network fragmentation and the stability of institutional structures within these communities. This implies that more fragmented social networks tend to exhibit greater stability in their institutional arrangements. Furthermore, the research reveals the presence of negative feedback mechanisms influencing network-dependent rewiring probabilities and positive feedback mechanisms governing homophily-based rewiring probabilities. These findings underscore the complexity of CPR governance, where network structures, institutional dynamics, and personal strategies interact in intricate ways. The results of this analysis were utilized to provide policy recommendations for regulation of CPRs. Mainly these recommendations evolve around: (i) identification and connection of fragments within social networks; (ii) analysis of the details of agents resource consumption, (iiI) identification of potential strategy options and (iv) enhancement of the processes that drive creation of gear- (or other attribute-) based connections. Overall, the research has the potential to inform the development of more effective and sustainable approaches to the governance of CPRs.","Common Pool Resource; Agent based model; social network analysis; Socio-Ecological System; Fisheries","en","master thesis","","","","","","https://github.com/magnwiz/CPR Link to a Github repository with a Python code of the model","","","","","","Engineering and Policy Analysis","",""
"uuid:1213fff7-ae52-4f47-9b07-2225040c27f4","http://resolver.tudelft.nl/uuid:1213fff7-ae52-4f47-9b07-2225040c27f4","Reverse Flow Dynamic Stall Modelling for HAWTs","Sanchez Diaz, Belén (TU Delft Aerospace Engineering)","Ragni, D. (mentor); Kotsonis, M. (graduation committee); De Tavernier, D. (graduation committee); Motta, Valentina (mentor); Hartung, Marianne (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","2025-09-22","","","","Aerospace Engineering","",""
"uuid:906dcc98-2e67-447d-bdb4-cf061a90920a","http://resolver.tudelft.nl/uuid:906dcc98-2e67-447d-bdb4-cf061a90920a","Neural Ordinary Differential Equations for Frequency Security Assessment","Krishnakumar, Nila (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cremer, Jochen (mentor); Rueda, José L. (graduation committee); Shekhar, A. (graduation committee); Janssen, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","To keep pace with increasing renewable energy penetration and consequent increase in inverter-based resources in the power grid, it is pertinent for present-day research to address the resulting drop in system inertia levels and its impact on frequency stability. With decreasing levels of inherent rotational inertia present in the system, any sudden disturbance causing an energy imbalance in the grid could lead to more drastic excursions of system frequency than those experienced hitherto. To ensure the resilience of the grid in such scenarios, advanced and competent frequency stability assessment and control methods are required. This thesis presents Neural Ordinary Differential Equations (NODE), a recently introduced family of neural networks, as an effective tool to achieve fast, real time estimates of the expected frequency response trajectory during an energy imbalance event.
Since high-impact frequency instability events are sparse in reality, both real-world grid data and synthetically generated data corresponding to different inertial conditions are used to train predictive NODE models. Firstly, NODE is adapted to frequency prediction applications through relevant data processing steps, and modification of network parameters and algorithmic aspects pertaining to the predictive model definition. Secondly, patterns corresponding to specific sections of the frequency response curve are used to selectively train NODE models. Pattern-specific training methods exhibit better prediction performance when the NODE model encounters frequency behaviour similar to the one it initially trained on. Thirdly, a pre-training approach to cut short on the real-time training time required by NODE models to achieve desired levels of prediction performance is presented. Fast estimates of critical frequency stability parameters like nadir could act as potential triggers for early stability control actions to achieve a more controlled frequency response.
Application of predictive NODE models for different frequency scenarios are presented using three test-cases: normal operating scenario, restoration post-system split scenario and synthetically generated high-impact frequency disturbance scenarios. Model tuning and training methods specific to each test-case are described, and prediction results are evaluated with relevant performance metrics. Finally, a comparison is made between the implementation of NODE among different test-cases and real-world implications of the frequency prediction outcomes from the test-cases are further discussed.","Neural Ordinary Differential Equations; Frequency prediction; Neural networks","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:1977b413-b4f6-47e6-b158-4290cd152501","http://resolver.tudelft.nl/uuid:1977b413-b4f6-47e6-b158-4290cd152501","Failure Recovery with Ontologically Generated Behaviour Trees","Jaber, Wissam (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Cognitive Robotics)","Hernández, Carlos (mentor); Peternel, L. (graduation committee); Pek, Christian (graduation committee); Delft University of Technology (degree granting institution)","2023","Behaviour trees (BTs) serve as a powerful hierarchical structure for task execution, simplifying complex tasks but posing challenges in their manual design. The automatic generation of BTs addresses this concern, yet often lacks robust failure recovery options. This study presents Failure Recovery with Ontologically Generated Behaviour Trees (FROGBT), a novel approach bridging this gap by integrating ontological reasoning into the process of automatically generating BTs. This integration establishes a profound link between an agent’s knowledge and its capabilities, offering contextual insights into the agent’s skills. FROGBT enhances skill representation for planning and recovery. The approach’s effectiveness is indicated by its efficiency compared to the state-of-the-art framework for skill-based control, SkiROS, in a similar task. It showcases generality, uniting diverse skills, developed by various engineers, for recurring tasks, and introduces innovative failure recovery strategies. FROGBT highlights ontological reasoning’s potential to enhance BT generation with context-awareness and reasoning abilities, paving the way for future research on failure recovery concepts in generated BTs.","Behaviour Trees; Ontological reasoning; Failure recovery; Behaviour generation","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:6089476f-41f2-47af-bb4b-a1b5dc6cc012","http://resolver.tudelft.nl/uuid:6089476f-41f2-47af-bb4b-a1b5dc6cc012","Symbolic Guitar Music Style Transfer with Playable Guitar Tablatures","ZHUANG, XUANYU (TU Delft Electrical Engineering, Mathematics and Computer Science)","Liem, C.C.S. (mentor); Martinez, Jorge (mentor); Raman, C.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the task of music style transfer, the symbolic music representation based on Musical Instrument Digital Interface (MIDI) files has always been a popular research medium. By using such representation, some mature models for image style transfer can also be applied to this scenario, such as Cycle-consistent Generative Adversarial Networks (CycleGAN). However, this MIDI-based data representation is not suitable for guitar music because it does not support unique expressive information of guitar playing, such as bending, sliding, or other playing techniques. DadaGP, a dataset made up of guitar-specific format files (tablatures) and their rendered text-like tokens, enables us to perform symbolic guitar music style transfer leveraging expressive guitar playing information, and to produce playable guitar tablatures. We first adopt K-hot encoding to transform the task from sequence generation to binary classification of multiple variables, and use top-$k$ sampling to reproduce sequences from output K-hot vectors. We then propose a novel model we call CycleGMT, a CycleGAN-based model for symbolic guitar music style transfer. Finally, to mitigate the severe sparsity in the data and its resulting content loss, we adopt a skip connection between the input and output of the model, successfully achieving style-transferred music whose quality being competitive with human-composed remixes, while the musical complexity of the style-transferred music can be controlled by adjusting the value of $k$ in top-$k$ sampling.","Music Information Retrieval; CycleGAN; Wasserstein GANs; Symbolic music style transfer; K-hot encoding","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:eafb8590-3c2c-420d-906d-a7c884b82636","http://resolver.tudelft.nl/uuid:eafb8590-3c2c-420d-906d-a7c884b82636","Optical quantification of multiphase flow near a hydrogen-evolving electrode","Haverlag, Hein (TU Delft Electrical Engineering, Mathematics and Computer Science)","Haverkort, J.W. (mentor); Elsinga, G.E. (graduation committee); Laskari, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In this research project, multiphase behaviour in zero gap alkaline water electrolyzers is investigated, focusing on the influence of various parameters including current density, electrode-wall distance and electrode height. This involves a cell containing a vertical electrode pair separated by a membrane submerged in an electrolyte that has a liquid-air interface near the top of the electrodes. The study aims to identify and understand turbulent phenomena through different analysis methods. Additionally, the outcomes derived from the conducted experiments serve as validation data for concurrent modeling research.
The analysis begins by examining vortex formation on the cathodic side, where hydrogen bubbles originate, using Particle Image Velocimetry (PIV). The results indicate the presence of bubble vortices, especially near the top of the channel. At electrode-wall distances of 1.0 cm or more, the bubbles that fail to reach the liquid-air interface tend to circulate extensively within the channel without exhibiting any noticeable discrepancies, such as small vortices. It should be noted that some low-velocity vortices, typically on the order of millimeters per second, can be observed within the bulk of the channel. As a general rule, shorter electrode-wall distances lead to increased vortex formation throughout the entire channel. Additionally, higher current densities induce a greater amount of these vortices or chaotic and irregular motion of the bubbles in the bulk region. In modern configurations, elastic elements, such as materials of thin wires with high porosity and low solid volume fraction, are strategically incorporated into the electrolyzer design to enhance mechanical resilience and accommodate variations in pressure and temperature. These elements serve to ensure the stability and reliable performance of the electrolyzer system under diverse operational conditions. Much of the chaotic behaviour of the bubbles seems to be counteracted when the electrode-wall distance is large (1.5 cm) while an elastic element is placed in between the cathode and opposing wall. When the whole channel is filled by the elastic element (0.6 cm electrode-wall distance), this results in more small-velocity vortices than without the element.
Another examined phenomenon is the presence of a bubble mist. This mist arises when the bubbles that originate at the electrode do not escape the channel at the liquid-air interface, but recirculate towards the opposing wall and downwards from there. Light intensity coming from the bubble clouds is used to gain and calibrate results. Increasing the current density and decreasing the electrode-wall distance lead to deeper bubble mist plumes. Surprisingly, elevated electrolyte height directly influences mist depth, with larger electrolyte heights showing the highest mist depths, even when dividing these by the exposed electrode height. For these measurements, diamond shaped apertures on the electrodes are used. For another series of experiments where higher current densities are examined, slotted apertures are used and the effect of lowering the electrode-wall distance on the bubble mist depth is diminished. Whether this is due to the type of electrodes or the different measurement circumstances (for example lighting) can not be concluded from the results. This emphasizes the need for consistent experimental conditions.
Thirdly, the continuous release and upward movement of gas bubbles originating at the cathode, i.e. the bubble plumes, are investigated. The effect of changing the current density is investigated along different heights of the electrodes. The analysis performed employs three different strategies to assess turbulent behaviour. This includes the PIV method used initially to depict vortices, the light intensity coming from the bubble clouds and visual analysis to find discrepancies like bubble bursts and big bubble trajectories. The PIV method shows capabilities in tracking the plume width and depicting turbulent behaviour. The light intensity analysis however proves challenging due to disparities in lighting. Improved lighting and higher-resolution cameras could yield more reliable results, enabling further investigation into correlations between parameters and turbulence, such as bubble bursts.","Multiphase Flow; Particle Image Velocimetry (PIV); Vortex Formation; Bubbly flow; Alkaline Water Electrolysis; Turbulence; Hydrogen","en","master thesis","","","","","","","","2025-10-15","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:348b5280-0447-4f58-a543-779e6ea85a76","http://resolver.tudelft.nl/uuid:348b5280-0447-4f58-a543-779e6ea85a76","Impact of Propeller Gyroscopic Effect on the Handling Qualities of Multi-Engine Light Aircraft","Hu, Willy (TU Delft Aerospace Engineering)","Varriale, Carmine (mentor); Veldhuis, L.L.M. (graduation committee); Roling, P.C. (graduation committee); in 't Veld, A.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Flying characteristics of a multi-engined light general aviation (GA) aircraft during and after an engine failure is often a major safety consideration when both designing and operating the aircraft. In the meantime, the propellers, being large rotating masses, can exert considerable gyroscopic effect on the aircraft during flight, itself contributing to a coupling between the pitch and yaw axis, thus affecting flight dynamics. This study presents an investigation on the impact of propeller gyroscopic effects on the flying motion of a representative twin-engine GA aircraft. This is done using a modular flight mechanics toolbox that performs analyses in both frequency domain and time domain. A steady-state windtunnel aerodynamic and control surface model with empirically estimated unsteady aerodynamic coefficients, along with a propeller governor engine system simulation, complements the gyroscopic inertia model in the simulation setup.
Firstly, a modal analysis showed that all modes aside the spiral mode does not get discernibly affected by the rotating inertia typical to the reference aircraft’s propellers. Then, time-domain simulations of various rapid maneuvers show that gyroscopic effect does cause significant change in the angular response of the coupled axis, e.g. sideslip angle response during a pitch input only maneuver, whilst its impact on long term phugoid motion remained inconclusive due to undesired and uncontrolled roll motion. To compensate for this, maneuvers were performed again with a manually tuned simple wing leveler and results showed that pitch input maneuvers does not show much deviation in phugoid motion, whereas yaw input maneuvers such as sudden left engine failure shows discernible difference in airspeed and altitude responses, though the difference in magnitude is still small. Next, comparisons made with different powertrain responsiveness showed that in a power reducing case such as sudden one engine failure, the effect of the powertrain time delay is independent from the influence of gyroscopic effects, whereas for a power increase case, such as going around, the impact of the two is
simultaneous and intertwined. Finally, a sensitivity study on unsteady aerodynamic coefficients showed that their effects on flying motion are generally independent from the gyroscopic effect.","flight; Flight simulation; gyroscopic effect; propeller; Propeller performance; Handling Qualities; phalanx; Flight characteristics; General Aviation","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Flight Performance and Propulsion","",""
"uuid:00e7d23e-40d1-4948-ac38-ff9ba80729de","http://resolver.tudelft.nl/uuid:00e7d23e-40d1-4948-ac38-ff9ba80729de","Berth location and pathway optimisation of port basins: Using generative design","van der Poel, Fabian (TU Delft Civil Engineering & Geosciences)","van Koningsveld, M. (mentor); Roubos, A.A. (graduation committee); Taneja, P. (graduation committee); Nourian, P. (graduation committee); Slump, Elmo (graduation committee); Omar, Mo (graduation committee); Delft University of Technology (degree granting institution)","2023","In the early project phase, decisions are made when considering a port basin berth layout that affects the outcome and the success of the project. In order to make a decision regarding the berth layout, port planners create a set of variants for comparison and assessment in order to pick the basin berth layout that suits the project goals the best. The selected basin berth layout is the preferred variant that will be used to eventually be realised. However, since the decision in the early project phase has a great influence on the entire project, port planners want to improve the decision-making for selecting the best berth layout. This research aims to improve the decision-making process for selecting the berth layout of preference by developing a design generation method for berth layouts and its respective pathway. This method might give insights into optimal berth layout configurations and its pathway in order for decision-makers to assess their preferred variant resulting from the set of variants developed by experts and the generated layout. After the method is developed, the method is tested on conceptual benchmark cases and a real case in order to assess whether such method can add value, or improve, design solutions of port basin layouts during the early project phase. Although limited studies and methods exist that have resemblance or commonalities with berth layout optimisation, the idea is in its infancy. Methods for berth layout optimization have been developed to generate berth layouts and its re spective pathway through the basin in this report. The optimization is separated into two steps: firstly, berth location optimization using a pre-defined central basin pathway, and secondly, optimization of the pathway belonging to the respective berth layout. The separation of the berth location and pathway optimisation reduces computational time. The heuristic berth location optimization optimizes the locations of the berths to minimize the central pathway dredging, while satisfying constraints prescribing a minimal distance between the berths. After the berth locations are optimized, the pathways can either be optimized by means of a heuristic pathway approach, or by means of an exact mathematical pro gramming approach, both of which are developed in this research. The heuristic pathway optimization approach models required dredging by translating the dredging for a berth into a graph with weighted edges and then sequentially defining the route that requires the least dredging per berth, eventually forming the complete basin pathway. The exact pathway approach uses a mathematical programming setup. The methods are applied to conceptual benchmark cases a real-life case. The benchmarks differ in basin dimensions, basin bottom profile, and fleet characteristics. The real-life case concerned the Scheurhaven, which is a small port basin for mainly tugboats. The layout of the Scheurhaven had to be adapted to fit more tugboats in the basin. The Scheurhaven case was optimised with the heuristic approach, due to the potentially large computational time of the exact approach. As the berth locations were limited and the method lacked features to make the design comparable, such as structures and berth geometry, only heuristic pathway optimisation was done.","Generative Design; port planning; Berth layout; Basin pathway; Spatial optimization","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:eb5a414a-e07b-4176-920f-caa4872e20e4","http://resolver.tudelft.nl/uuid:eb5a414a-e07b-4176-920f-caa4872e20e4","Development and Application of bundle-valued forms in hybrid mimetic spectral element method: Application to Linear elasticity","Sharma, Revanth (TU Delft Aerospace Engineering)","Gerritsma, M.I. (mentor); Palha, A. (graduation committee); Chen, B. Y. (graduation committee); van Zuijlen, A.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","One of the novel methodologies in computational physics research is to use mimetic discretisation techniques. Among these, the mimetic spectral element method holds special promise as it not only has the benefits of mimetic methods but also the additional benefit of higher-order discretisations using higher polynomial degrees. These methods are aided by the development of algebraic dual polynomials, resulting in a sparser system for better computational efficiency. This combination was used to develop a formulation that would result in topological relations for equilibrium of forces as well as the symmetry of the stress tensor for linear elasticity as well as the first steps for Stokes flows in an orthogonal domain. As a result, this study was extended to look at how a modified formulation would behave for an unsteady linear elastic solid, with the intention to extend this method to Fluid-Structure Interaction cases. However, the choice of both primal and nodal basis functions makes it impossible to undertake this challenge, demanding a rethink in strategy towards looking at linear elastic solids when the physical domain is not orthogonal. With the use of bundle-valued forms to represent physical quantities, a new hybrid formulation is developed where the equivalent of the physical problem is computed on a reference domain, which is orthogonal and thus can utilise the spectral bases defined before. The physical problem is defined on a skewed domain, where partial transformation of components results in a formulation that can conserve linear momentum point-wise, but not conservation of angular momentum, although angular momentum does converge on refinement of polynomial degree and mesh parameters. A change in bases with partial transformation aiming to make angular momentum conservation topological is not fruitful, although the value of the error decreases in the process. The final attempt is through full transformation, which results in a formulation with an inherent error in the formulation, owing to erroneous assumptions.","Mimetic Spectral Element Methods; Bundle-valued forms; Linear elasticity","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:4a5d6338-458b-440d-b9c6-4a0bca5ca161","http://resolver.tudelft.nl/uuid:4a5d6338-458b-440d-b9c6-4a0bca5ca161","A Multidisciplinary Typology Framework for Technologies to Mitigate Urban Heat Island Effects: Framework development for knowledge integration and dissemination","Snijders, Kevin (TU Delft Civil Engineering & Geosciences)","Rutten, M.M. (mentor); Goncalves, J. E. (graduation committee); Stache, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Urban areas often experience temperature imbalances, resulting in higher temperatures than their rural surroundings, known as the Urban Heat Island (UHI) effect. This effect has adverse consequences such as heightened heat-related morbidity and mortality, amplified energy demands, aggravated water scarcity, and diminished urban living comfort. These effects are expected to intensify due to urbanization, making cities more susceptible to heat-related problems and increasing the number of inhabitants subjected to them, and climate change, increasing the frequency and Intensity of heatwaves occurring. As more than half of the world population lives in these urban areas, the imperative for effective mitigation strategies becomes paramount.
Addressing the complexity of the urban environment necessitates the collaboration of various disciplines to create effective UHI mitigation strategies. However, the integration and dissemination of multidisciplinary knowledge in this context are currently inadequate. This study bridges this gap by introducing a multidisciplinary typology-based framework employing a concurrent mixed-method approach, encompassing systematic literature reviews, semi-structured expert interviews, and multidisciplinary workshops in four distinct phases. These phases are specifically designed to identify, collect, integrate, and disseminate knowledge in this field, with applicability across diverse disciplines and contexts.
While the framework was first designed for the technologies at ""Heat Square"" of ""The Green Village"" in Delft, Netherlands, it is highly adaptable and can accommodate the inclusion of different technologies to enhance its impact. Given the increasing importance of addressing UHI challenges, this framework contributes to integrating and disseminating knowledge, supporting the creation of mitigation strategies and, therefore, contributing to creating livable and resilient urban environments in response to a changing climate.
Therefore, a new liver reservoir including liver movement was developed and subsequently used to perform porcine liver NMP experiments. Slaughterhouse procured porcine livers (n = 4), were perfused via the hepatic artery (HA) and portal vein (PV) under the established movement condition for 360 minutes. After 120 and 300 minutes of perfusion, indocyanine green (ICG), a fluorescent dye, was dosed, and samples were taken from the arterial circulation and bile to study the clearance capacity of the liver. Hourly samples of the perfusate and bile were taken for blood gas analysis and measurement of injury markers, to assess the general viability and functionality of the liver. And tissue samples were taken at the end of perfusion to study tissue integrity.
Liver movement was established by alternatively inflating and deflating two balloons underneath the liver, with both inflation and deflation lasting 8 seconds. The 6-hour porcine liver NMP experiments under the established movement condition showed liver viability and functionality in terms of glucose metabolism, lactate and bilirubin clearance, stable levels of injury markers, and continuous bile production. The ICG clearance capacity of the liver showed to be improved, although not significantly, under the movement condition, with a mean perfusate disappearance rate (PDR$_\text{ICG}$) of 30.6 $\pm$ 11.7 \% per minute, compared to the static condition (11.0 $\pm$ 3.3 (Zeist) and 21.8 $\pm$ 13.8 (Leiden) \% per minute), after 300 minutes of NMP. The macroscopic appearance and histological analysis of the liver revealed some non-perfused areas on the bottom of the liver, but overall, the liver tissue was intact, and no major hepatocellular damage occurred after 5$-$7 hours of NMP under the movement condition.
A novel liver reservoir including liver movement was established to study the sole effect of movement during NMP. During the 6-hour porcine liver NMP experiments under the established movement condition, the livers showed proper clearance capacity and tissue integrity. Although inclusion of movement did not result in a significant improvement with respect to liver function, it is hypothesized that movement will prolong the viability and functionality of livers when performing NMP for more than 24 hours.
The central problem identified in the Nepalese context is a misalignment between the workflow of BMETs and the CMMS interface. An overwhelming amount of information and functionalities within the system, making it challenging for the BMETs to identify tasks. Reinforced by, that the Nepalese context places less value on data creation and tend to operate more in accordance with tasks that can be observed physically.
To identify and address this problem, a comparative study was conducted between a Dutch hospital and two Nepalese hospitals. Data was gathered using the context-mapping method (Vissers et al., 2005), and a comprehensive understanding of the system’s interface was obtained through the streamlined cognitive walkthrough method (Spencer, 2000). The design goal was to create an inclusive interface for BMETs in Nepal through the visualization of medical device data. Norman and Draper’s design steps (1986) were used to generate an iterative approach for designing an CMMS interface. A mixed-method approach, including the System Usability Scale (Brooke, 1996), was used to evaluate the iterative prototypes and the final interface design.
The results of this study showed, by visualizing medical device data, a transition from a data-centric system to a task-oriented system. BMETs in Nepal confirmed through evaluation that the final design represents an improvement on the systems towards a task-oriented interface that aligns more with their daily workflow.
The project serves an inspiration for future designers to illustrate the importance of a human-centered approach when adapting software from one context to another. By highlighting the importance of considering the needs and workflows of a setting. Furthermore, this project can be a resource for companies and NGOs seeking to develop CMMS solutions from a human-centered perspective. In the Nepalese context, it provides building blocks for designing CMMS systems that better suit the work environment. In essence, this research represents a step towards a more inclusive CMMS system and the broader conversation on human-centered design in healthcare technology.
The main goal of this project is to help organizations in the Dutch consumer electronics industry set up an aligned value chain based on Circular Economy principles.A literature review first explored the current state of circular economy adoption, alignment dimensions, and barriers/drivers in the industry. The literature revealed that alignment dimensions are linked to specific barrier/driver categories, that at the same time are linked to the organizational boundaries. Then, semi-structured interviews with representatives from the context were conducted. The relevance of organizational roles within value chains, circular economy and environmental impact awareness, and context-specific drivers and barriers, emerged from them. Collaboration emerged as crucial, necessitating shared responsibility, the use of success stories to attract partners, and clear partner selection criteria.
These insights informed the development of the Circular Value Chain (CVC) method, designed to assist Original Equipment Manufacturers (OEM) in establishing circular value chains for consumer electronic products. CVC is a seven-step iterative process that considers design organizations and OEMs as initiators of the value chain. It begins with a self-evaluation, followed by drafting an initial Product-Service System proposition, to then envision an ideal circular value chain. It is then followed by assessing and selecting suitable partners, and aligning them for an effective collaboration. Various tools were designed and incorporated in the method in the form of circular value chain archetypes, an assessment card and spider diagram, and a table of selection guidelines.Overall, CVC adopts an organizational approach, involving different teams guided by an expert strategic designer.
This project holds practical and academic significance by providing insights into aligning partners within circular collaborations using a value chain perspective and offering a theoretical framework for future research. The designed method and tools bridge existing research implementing a value chain perspective, enhancing their industry applicability and the relevance from a circularity perspective. The included tools focus on assessing partners based on organizational roles and facilitates informed decision-making. Collaboration within value chains is vital for advancing the circular economy, and the CVC method supports this through a value chain perspective, role definition, and selection of the ‘right people’. While testing shows high potential, further refinement is needed for practicality and information inclusion. In sum, the design outcome offers an initial version of a method that should be further explored and iterated on.","Circular Value Chain; consumer electronics; Alignment; Collaboration; Circular Economy","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:09699ed6-7136-48ba-b840-145714779516","http://resolver.tudelft.nl/uuid:09699ed6-7136-48ba-b840-145714779516","FLAx REinforced Aluminium (FLARE): A bio-based Fibre Metal Laminate alternative combining impact resistance and vibration damping","Alcaraz, Mathilde (TU Delft Aerospace Engineering; TU Delft Aerospace Structures & Materials)","Alderliesten, R.C. (mentor); Mosleh, Yasmine (mentor); Sodja, J. (graduation committee); Bergsma, O.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Fibre metal laminates (FML) were initially conceived as a hybrid material, aiming to create synergy between the impact resistance of metals and excellent fatigue resistance of fibre reinforced polymers. The purpose of this approach was to overcome the limitations of single-material structures. However, despite its considerable promise, the use of the FML concept has primarily been confined to aerospace applications and heavily relies on synthetic fibres that carry significant environmental implications. Hence, given the growing concerns about climate change and the challenges posed by recycling glass fibre composites, a new generation of FMLs with a reduced carbon footprint should be envisaged.
Research on flax fibre composites reveals convincing mechanical properties and remarkable damping capacities. However, the broader adoption of these composites remains restricted primarily due to issues related to low impact resistance, moisture absorption and flammability concerns. The FML concept presents a viable solution to surmount these constraints, consequently facilitating the integration of these materials into primary structures. Hence, the research endeavour aimed to attain comprehensive insights into FLAx REinforced aluminium (FLARE), particularly focusing on its impact resistance and vibration damping capabilities, which are believed to be the principal benefits of this hybrid material.
The research goal was divided into three distinct research tasks: conducting experimental analyses to characterise the damping behaviour of FLARE, evaluating the impact resistance through experimental means, and validating predictive tools to offer initial insights into the design principles governing such a FML. FLARE, along with flax fibre reinforced epoxy (FFRE) and GLARE specimens, were manufactured using wet layup combined with vacuum bagging techniques.
First, tensile tests were conducted to validate the applicability of the metal volume fraction (MVF) approach in predicting the mechanical properties of FLARE. Intriguingly, the well-known non-linear behaviour exhibited by flax was not observed in the case of FLARE. The results revealed that while the MVF method provided a satisfactory approximation, it was the ""inelastic"" modulus of FFRE that predominantly contributed to the stiffness of FLARE.
Dynamic mechanical analysis and vibration beam tests were carried out to assess the influence of incorporating metallic layers on the vibration damping characteristics of flax fibre composites. The investigation revealed that the metallic layer predominantly governs the damping behaviour of the FML. Notably, an inverse rule of mixture emerged as the most effective means of approximating its loss factor.
Low-velocity impact tests were conducted to gain insights into the impact response of FLARE in comparison to conventional FMLs. The analysis indicated that the aluminium layers play a significant role in energy absorption, whereas the composite strength emerges as the critical factor influencing impact resistance. A quasi-static analytical model was also assessed, offering an initial estimation of the impact response, yet it warrants further refinement.
In conclusion, the FML concept holds promise for FLARE, but its application requires a novel approach compared to previous methods, to render FLARE viable for practical real-world applications.","Fibre Metal Laminates; Flax; Low-velocity impact; Vibration damping; predictive tool; bio-based material","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:5610429a-67b7-4b0a-912b-5d186f9df79c","http://resolver.tudelft.nl/uuid:5610429a-67b7-4b0a-912b-5d186f9df79c","Fatigue Life Time Estimates of Welded Joints in Maritime Structures: a total life concept","Slange, Ruben (TU Delft Mechanical, Maritime and Materials Engineering)","den Besten, J.H. (mentor); Delft University of Technology (degree granting institution)","2023","Ships at sea encounter wave-induced cyclic loading, meaning fatigue is a governing limit state. The structural response introduces stress concentrations, hotspots, at notch geometry like welded joints locations. At the same time, the welding process typically introduces defects, meaning welded joints are typically the governing fatigue-sensitive details. Accurate predictions of the fatigue lifetime are paramount for ensuring safety and economic viability in the maritime sector.
Because of the welding-induced defects, the fatigue lifetime of welded joints is growth rather than initiation-dominated; adopting a cracked geometry-based fatigue damage criterion like the stress intensity factor seems straightforward. Weld notch characteristic crack growth behaviour, however, becomes crucial. Since both short and long crack growth are considered important, a typical one-stage Paris relation-based long crack model must be extended, particularly since most of the welded joint fatigue lifetime is spent in the notch-affected short crack growth region. The short crack growth behaviour can be both non-monotonic as well as monotonically increasing, depending on the elastoplastic response conditions. A two-stage crack growth model, incorporating both short crack growth behaviour and the long crack growth characteristic, has already been proposed in a different project based on compact tension (CT) specimen crack growth testing results obtained using potential drop measurements. However, the corresponding strain/stress field possibly explaining the crack growth behaviour in terms of elastoplasticity is not part of the output. Images have been captured, which can be analyzed with Digital Image Correlation (DIC) to provide the field measurements.
\noindent The first aim of the research has been to explore dedicated displacement field formulations to capture the crack tip location and corresponding stress intensity factor simultaneously by developing a one-step DIC approach. The expected benefits of this approach over other DIC methods consist of an expected increase in accuracy and the elimination of a post-processing step. Current DIC methods generally exist out of a two-step approach. First, a DIC procedure is employed with a generalized kinematic basis. Secondly, a post-processing step is employed to determine the crack tip location and stress intensity factor (SIF). Specifically for the crack tip, the post-processing step generally assumes a dedicated kinematic basis function describing the field around the crack. Directly incorporating the dedicated function into the DIC procedure allows for the intermediate generalized kinematic assumptions to be eliminated.
The resulting crack size and SIF from this approach can be used to determine the crack growth relationship. This relationship can be used for the second aim of this thesis, which has been to determine the material parameters of the proposed two-stage crack growth model and validate its ability to capture the short crack growth behaviour.
However, the SIF values obtained with the developed one-step DIC do not align with the analytically obtained values. Regarding the crack growth relationship, this difference in loading introduces a mean shift. Nevertheless, the observed crack growth behaviour aligns with the trends established in prior investigations, which combined potential drop crack size results with analytically determined SIF values.
A three-step approach has been adopted to investigate the accuracy of the SIF determination:
Step 1: A direct approach using a finite element formulation based on global rather than local DIC, enforcing displacement field continuity as required for a crack path-independent SIF calculation. \par
Step 2: An indirect approach using the global DIC-based displacement field (step 1) and Williams' crack tip displacement field formulation to obtain the crack tip location and SIF at the same time.
Step 3: A direct approach using Williams' crack tip displacement field formulation to obtain the crack tip location and the SIF simultaneously.
Analyses showed that involving Williams' asymptotic solution (steps 2 and 3) for long cracks in a simple far-field stress condition is beneficial. Accurate crack tip location and SIF estimates have been obtained. However, for complex stress fields at notched geometries additionally containing geometry boundaries in the same region, higher order terms are required to obtain a converged displacement field. An accuracy improvement has been observed when adopting a direct approach (step 3) rather than an indirect one (step 2) approach. A global FEM-based direct approach (step 1) allows for describing complex displacement fields and obtaining SIF estimates independent of the Williams' formulation. The resulting estimates from this approach agree with the Williams-based estimations (steps 2 and 3). From this, it can be concluded that the obtained difference in the SIF follows from a fundamental difference in the load obtained by analytical determination and the DIC approaches. This conclusion is supported by the difference in the structural stress obtained from the DIC approaches compared to the analytical estimate.
The second aim of this thesis requires further investigation into the loading discrepancy before the total life model can undoubtedly be validated. Nevertheless, preliminary usage remains possible with the usage of consistent SIF determination. Resulting in the establishment of model parameters using likelihood regression for the CT and as-welded joint specimens. \par
For the final aim of this thesis, the total life fatigue strength criterion is obtained by integrating the two-stage crack growth model. This criterion is applied in a case study to estimate the total fatigue lifetime of a critical welded joint in a general cargo carrier. In order to determine the estimated lifetime of the critical joint, the fatigue loading is required. While knowledge of the cargo load is available, the impact of wave-induced loading requires investigation. Two distinct approaches have been used to determine the wave loading. Initially, Four representative wave loading scenarios established by Bureau Veritas regulations are considered. The cumulative fatigue damage resulting from these scenarios is then extrapolated to encompass the entirety of loading conditions experienced by the ship.
Secondly, a wave spectrum approach is undertaken to address uncertainties in loading analysis. A hydro-structural solver is utilized to calculate loading across various sea states. The total fatigue damage is based on a weighted average of a representative sea spectrum. The fatigue lifetimes derived from these methodologies are compared to the hot spot structural stress concept as typically adopted in industry for reference purposes. A comparison of the results shows that the hot spot structural stress concept underestimates the fatigue lifetime due to the increased conservatism required in this model.","","en","master thesis","","","","","","","","","","","","Marine Technology | Ship and Offshore Structures","",""
"uuid:abf70d73-71b0-43ca-805f-b894481f2fa8","http://resolver.tudelft.nl/uuid:abf70d73-71b0-43ca-805f-b894481f2fa8","Exploring the Correlation Between Functional Gradients in Material Properties and Solute Diffusion Across the Osteochondral Interface","Cazier, Jordi (TU Delft Mechanical, Maritime and Materials Engineering)","van Osch, G.J.V.M. (mentor); Mirzaali, Mohammad J. (mentor); Delft University of Technology (degree granting institution)","2023","The solute transport across the osteochondral (OC) interface is of crucial metabolic importance for a normal function of articular cartilage, and, therefore, for the OC interface as a whole. A better understanding how the mechanical- and physiological properties of the OC interface affect the solute transport across the OC interface could lead to new insights in repair strategies. The aim of this master’s thesis was to investigate how graded mechanical- and/or physiological properties of the OC interface affect the solute transport across the OC interface.
A combination of computational modelling and experimental diffusion tests on GelMA-based hydrogel plugs was used to approach the goal. Regarding the computational model, first, a multi-zone biphasic-solute finite element model that accurately replicates axial solute transport across the OC interface was designed and validated. Second, a power law function was used to apply several gradients on the initial values of the solid volume fraction (SVF), diffusion coefficient, and elastic modulus across the OC interface to study the effect of each parameter on the solute diffusion across the OC interface. On the experimental front, attempts were made to 3D-print GelMA-based hydrogel plugs but all failed. Alternatively, five groups (n = 3) of hydrogel plugs were created, each of which underwent different UV curing time, by casting GelMA into cylindrical plugs. Axial diffusion of an alizarin red solution through the hydrogel samples was recorded using a digital camera.
The results of the computational model show that only the SVF plays a small role in the height of the equilibrium concentration reached in the subchondral bone layer. However, the influence of both the SVF and diffusion coefficient on the time when the equilibrium concentration is reached in the subchondral bone is considerably large. It is shown that the elastic modulus has a negligible influence on the solute transport. Regarding the experimental diffusion tests, air bubbles and/or sincere light reflections made all but six hydrogel plugs unusable for further analysis. A relationship between sample thickness and diffusion is observed in the remaining hydrogel samples. The results of the SVF computational model and experimental diffusion tests were compared, but sufficient experimental data was lacking to draw any solid conclusions from this comparison.
This master’s thesis provides a new computational model of the OC interface which allows the implementation of graded parameters across the OC interface. It is concluded that the current experimental set-up is not suitable for obtaining consistent data on solute transport across hydrogel plugs. Suggestions to improve the experimental set-up are made.
Resiliency is fundamentally defined as the ability of a system to respond to high-impact disturbances with a low-probability of occurrence. Evaluating resiliency in power systems is usually done in three stages. The first phase is the disturbance progress. During the first phase, the resilience level deviates from its pre-disturbance level. This can be observed by analyzing different metrics in the network. Secondly, in the case of effective primary control actions, a new steady state operating condition is reached, which differs from the pre-disturbance operating condition. Finally, the system reaches the restorative stage. The recovery starts and the system returns to normal operation.
The assessment of resiliency is a combination of assessing all three previously mentioned stages (during-disturbance, post-disturbance, and restorative). Depending on the focus of the study, different technical aspects of a system are assessed. This thesis focuses on the assessment of the during-disturbance phase because, in future grids with lesser reserves available and limited control capabilities, the initial response of the system following a disturbance becomes more critical.
This thesis presents a basic qualitative study of the dynamic performance due to an active power imbalance during a disturbance on the AC and DC sides of hybrid power systems with an emphasis on an MTDC interconnected offshore-onshore system. The widely used RoCoF (Rate of Change of Frequency) is adopted as a performance metric to assess the active power-frequency response from the perspective of the AC side. In addition, a modified quantification of the Rate of Change of Voltage (RoCoV), which is usually applied in the design of protection schemes, is suggested as an attempt to better capture the response of the DC voltage.
The suitability of these metrics to properly reflect the resulting dynamics is analyzed by considering different disturbances, such as generator outages, line outages, converter outages, and faults like line-to-line and line-to-ground short-circuits, at either the AC or the DC sides. The different disturbances are executed using real-time digital simulations on the EMT model of the CIGRE BM1 DC-AC test system in RSCAD\textsuperscript{\textregistered} FX. The performance metrics are well able to capture the impact of different disturbances on the response of the system. However, the performance metrics are not able to capture oscillating responses.
A study on the parametric sensitivity of the control parameters in the outer control loop of the converters is executed to see the influence of these parameters on the dynamic response and whether the performance metrics are able to capture the influence. The parameters of the outer control loop determine the reference currents for the converter, and thus directly influence the output of the converters. The results show that, for a DC line-to-line short-circuit, the adjustment of the control parameters in the outer control loop has no influence on the response of the DC voltage. For each control setting, the DC voltage still immediately drops to 0. This is also reflected in the performance metrics.
Whereas, adjusting the control parameters in the outer control loop influences the DC voltage response when subjected to an AC 3-lines-to-ground short-circuit. The proportional gains of the controllers mainly influence the overshoot of the DC voltage and have a small influence on the settling time. This corresponds to the role of the proportional gain in PI control, to respond quickly to faults. On the other hand, the integral gain responds slower and integrates the error over time to eliminate the residual error. Therefore, the results show that the integral gain mainly has an impact on the settling time of the response, and almost none on the overshoot. This is not captured by the calculated performance metrics. The performance metrics capture the response after the initial overshoot and this results in an unfair comparison between performance metrics.
The research employs a quantitative approach, using a structured questionnaire to collect data from employees currently working under contracts in the Netherlands. The sample includes 88 usable responses from diverse industries in the Netherlands. Measures used encompass the three dimensions of sustainable HRM (respect-oriented, openness-oriented, and continuity-oriented), innovative work behavior facets (idea exploration, idea generation, idea championing, and idea implementation), and work engagement dimensions (vigor, dedication, and absorption).
The analysis of the gathered data reveals no significant direct relationship between sustainable HRM dimensions and innovative work behavior. However, there is a statistical significance proving a link between sustainable HRM dimensions and work engagement. However, work engagement does not mediate the link between sustainable HRM and innovative work behavior, as there is no relationship found between work engagement and innovative work behavior.
The results advocate for continued integration of sustainable HRM practices by HR departments, despite the lack of direct relationship with innovative work behavior. The reason for this, is that this study proves that sustainable HRM practices demonstrate a positive link with work engagement, which contributes to employee well-being and organizational success.","HRM; HRM practices; innovative work behaviour; Employee Engagement","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:f678a507-eb01-4184-a461-d4bb76211d2f","http://resolver.tudelft.nl/uuid:f678a507-eb01-4184-a461-d4bb76211d2f","Navigating Flood Vulnerability in the Global North and South: Exploring the Differences Between Social, Physical and Perceived Vulnerability in Jakarta and Houston","Ghailan, Salma (TU Delft Technology, Policy and Management)","Filatova, T. (mentor); Verma, T. (mentor); Aydin, N.Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","Flooding, one of the costlier climate change disasters, has emerged as a pressing global challenge, growing in frequency and severity. The traditional reliance on government intervention alone to protect households from flooding is not enough. An essential shift in perspective underscores the need for households themselves to become proactive participants in multi-level protection strategies. To achieve this, drivers of vulnerability shaping household adaptation to flooding are explored, focusing on social, physical and perceived vulnerabilities. Furthermore, examining these vulnerabilities on a local scale is crucial and a knowledge gap, as it is at this level that household adaptation predominantly occurs.
Recognising the varying manifestations of these vulnerabilities depending on the flood-prone location, this study prioritises the examination of disparities in vulnerability profiles between two representative cities: Jakarta, representing the Global South, and Houston, representing the Global North. By doing so, this research contributes significantly to the ongoing scientific discourse on climate change adaptation, as well as assist in extrapolating gained insights to data-scarce regions. This research aims to assists in aligning flood management efforts more closely with the needs and concerns of the residents in each city, acknowledging the distinct perceptions of flood hazards and socio-economic disparities. This, in turn, lays the groundwork for the development of targeted support and interventions, fostering more inclusive and resilient communities capable of mitigating the impacts of flooding and adapting to future challenges. The primary research question driving this study is as follows: 'How are social, physical and perceived vulnerabilities that influence flood adaptation different among households in an urban space?' To answer this question comprehensively, the research employs a multi-faceted approach, examining each vulnerability dimension separately before exploring their interactions in the urban context.
Comparing the social, physical and perceived vulnerability maps for Jakarta in the context of flooding uncovered many discrepancies and complexities that contribute to the scientific debate surrounding flood adaptation. The disparities between these dimensions highlight the need for a comprehensive approach to vulnerability assessment and flood mitigation. By recognising that vulnerability is not solely determined by physical factors, but also influenced by social dynamics and individual perceptions, authorities can develop strategies that foster community resilience and enhance disaster preparedness. Therefore, this research recommends addressing mismatches in risk perception, understanding the nuanced distribution of vulnerabilities and implementing context-specific interventions in order to build a safer and more resilient world in the face of flooding and other environmental challenges.","","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:bd303382-0557-487b-83a4-3e3a816c0501","http://resolver.tudelft.nl/uuid:bd303382-0557-487b-83a4-3e3a816c0501","The Influence of Digitalization on Industrial Startups in the Netherlands","van Dijk, Robin (TU Delft Technology, Policy and Management)","Scholten, V.E. (mentor); Gartner, J. (mentor); Smit, A.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","90% out of all startups fail, which is the cause of several reasons like lack of funds, lack of market need and bad management among other things. Digitalization can help startups to solve these problems, by performing processes more efficient than when they are performed manually.
The objective of this research is to build a model to estimate the average influence of digitalization on the success of a startup in all phases during their lifecycle among other established factors, according to their own input. Moreover, this research will investigate the current use of digitalization at industrial startups and how digitalization can help industrial startups to accelerate their innovations. Additionally, this will result in a few examples of how digitalization is used today at startups and a number of recommendations for further research.
This research focuses on industrial startups that are located in the Netherlands, because the Netherlands is a leading high tech country with a world class technical university and science hub and for the reason that similar research has been done in several other countries, only no research has been found on the impact of digitalization (on industrial startups) in the Netherlands. This research will answer the following main research question and sub-questions:
Main RQ: How can digitalization help industrial startups to accelerate their innovations?
SQ1. What are the obstacles that industrial startups in the Netherlands run into during the startup and transition phase?
SQ2. How do startups evaluate their digitalization strategy?
Employees from eight startups have been interviewed during qualitative exploratory expert interviews. These eight startups are divided in two groups. The first group will entail five startups that are currently in the early stage startup phase and the second group will entail three startups that are currently in the scale up phase. During the analysis of the data, the startups (and their data) in the first group are compared with each other. After this, the startups (and their data) in the second group are compared with each other and at last, (the startups in) both groups are compared with each other.
The different obstacles from startups resulted from different research methods. The obstacles that were found during a literature review are: a lack of funds, lack of market need, lack of experience, bad management, premature scaling and a strong competition. From the interview with the investment director of YES!Delft the following obstacles resulted: lack of long term vision, producing everything in-house, going to the market too late, not separating main and side issues & not clearing obstacles in the near future before they run into them. The startups came up with some similar obstacles, but also different ones, like finding (new) people, sales and/or customer acquisition, cybersecurity, lack of funds, big geographical distances, strict/heavy legislation, finding suitable (scalable) software programs, maintaining high quality standards, long negotiation times with customers and decisions of widening/narrowing the product portfolio.
All startups stated that digitalization is very important (one even called it a key success factor), however only three startups could give some kind of definition of what it is exactly and only two startups have a digital roadmap. Even though several startups stated that they would recommend to other startups to start as early as possible with digitalization, they all stated that digitalization is the least important in the first two phases of a startup compared to the last two phases.
The examples of applications that startups mentioned, range from the more simple examples like online meetings and 3D modelling software, to the more advance examples like an ERP system, MES system, machine learning models and newly created API’s. With the help of these applications of digitalization, startups can save time and money in the long run.
During this research it became clear that digitalization can accelerate the innovations of industrial startups, but it is not the most important factor and cannot carry a startup on its own. Digitalization is a tool to get somewhere and not a goal on itself.
The contribution of this research to the literature is a conceptual model that has been used during this research to measure the influence of digitalization (among other variables) on the success of industrial startups in the Netherlands. The practical contribution of this research for startups is to create awareness among startups about the influence of digitalization, the fact that startups can read about the obstacles that they could encounter and some possible solutions for these obstacles as well. Companies that offer applications of digitalization can use this research as orientation for the creation of tailormade digitalization solutions for startups.
Recommendations for further research are: to dive deeper into the phenomenon digitalization, to investigate why some startups say that digitalization needs to be used early, but then contradict themselves with filling in the conceptual model, the influence of digitalization at startups in other sectors and the influence of digitalization among larger corporations.
This project aims to achieve that by introducing a new addition to the current solution portfolio. The objective of this thesis is to develop a modular extraction tool designed to efficiently remove contained waste from rivers directly to the shore. This innovation will expand The Ocean Cleanup's deployment options and empower other organizations to amplify their impact. By creating a cost-effective and adaptable proof-of-principle prototype, a foundation will be established for further development of extraction tools tailored to selected use cases.
The final design is a mobile and modular extraction tool, equipped to handle waste extraction from a diverse range of sites that is currently challenging to access. A full-scale prototype has been made to accurately assess its feasibility, with a potential deployment in Jamaica. It features well-thought-out features like adjustable and extendable legs for diverse riverbanks, a robust overall design, and an intuitive user interaction.
The government of the Netherlands has taken several measures to achieve climate neutrality, one crucial task being the replacement of natural gas in its heating network. The heating sector so far has been reliant on shale gas for the past few decades, and the government now wants to implement new alternatives that are more sustainable. To anticipate the most favorable options, governmental bodies and local authorities are utilizing tools to assist them in their decision-making processes, especially energy system models.
Energy system modelling is a process of developing and analyzing models that represent the components and processes of energy systems. These models help to simulate the operation and behavior of energy systems under different scenarios and conditions, and to evaluate the impacts of policy decisions and technology choices. However, energy system models often neglect the social dimensions which are essential components of energy justice. This study aims to present a critical analysis of the representation of energy justice in energy system models through a case study and identifies the challenges of integrating energy justice considerations into energy system modelling. Thus the
following main research question was formulated:
To what extent have energy justice principles been represented in Energy modelling employed in the Dutch Heating Transition?
To set up the study, it was essential to establish the concept of energy justice and explore what kind of modelling approaches were being deployed. A literature review on this topic helped to understand the concepts, and select an energy justice framework that would serve as the base for this study (Sovacool & Dworkin, 2015). The framework provided a set of justice principles that were selected to assess the modelling use cases. This thesis explores the integration of energy justice principles within energy system modelling through an analysis of two distinct use case approaches in the municipality level, in the districts of Amersfoort and Drechtsteden in the Netherlands. These two use cases were chosen since they both had a large, diverse population, they had implemented a Heat Transition Vision and they made use of an energy model in their decision making process. The study aims to understand how energy justice considerations are manifested in the models and transition visions that were drafted, the challenges and opportunities they present, and their implications for equitable and sustainable energy transitions.
The study then included a document analysis to collect information, where a total of eleven reports were scrutinized to find how justice principles were defined in the models and heat visions. This was followed by semi structured interviews with eight participants from varied backgrounds, to gather their perspectives on how justice principles were interpreted and the challenges they faced. The data collected was categorically grouped in themes, focusing on affordability, transparency, resistance and sustainability. The results of this study reveal that both use cases incorporate elements of energy justice in an indirect manner. Affordability is addressed through mechanisms like tax credits and
investment allowances. Transparency is facilitated through engagement platforms and collaborative sessions, promoting stakeholder involvement. While resistance in the form of economic barriers is recognized, social aspects of resistance receive less attention. Sustainability is evident through considerations of energy-saving potential and monitoring carbon emissions.
While energy justice principles are not explicitly defined in the models, various attributes and mechanisms correspond to these principles. However, challenges and limitations include diverse interpretations of energy justice, tensions between prioritizing technical and social dimensions, and the quantification of ethical concepts. The difficulty in selecting the right modelling approach and the right source of information also leads to uncertainty and complexity.
This study contributes to the understanding of energy justice by providing empirical insights into its integration within energy system modeling. The findings highlight the complexities and possibilities of this integration, offering lessons for policymakers, researchers, and model developers. Recommendations for future research include exploring the impacts of energy justice measures in other sectors of the transition and also utilizing other frameworks to assess energy justice.","Energy Justice; Energy System Model; Energy Transition; Affordability; Transparency; Netherlands; Heat Transition Vision","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:0ec1f39b-9b0b-4187-94cf-8440868c916d","http://resolver.tudelft.nl/uuid:0ec1f39b-9b0b-4187-94cf-8440868c916d","Land Cover Change and Hydroclimatic Deviation: A Detailed Examination within the Budyko Framework","O'Hanrahan, Mike (TU Delft Civil Engineering & Geosciences)","Hrachowitz, M. (mentor); Vizcaino, M. (graduation committee); Sprokkereef, Eric (graduation committee); Stam, Jasper (graduation committee); Bouaziz, Laurène (graduation committee); Delft University of Technology (degree granting institution)","2023","Predicting streamflow in a changing climate poses significant challenges for traditional hydrological models. Static parameter sets result from model calibrations over historical data that increasingly encounter the non-stationary impacts on the hydrological system. Endeavouring toward non-stationary model parameters by incorporating time-adaptive ecosystem-scale root zone storage parameters shows promise for modelling systems under change. The ongoing CATAPUC project aims to further develop, refine, and implement this adaptive modelling approach. This paper continues to build upon this body of work by investigating the evidence for land cover change impacts on root zone storage capacity, focusing specifically on uncertainties in the evaporative balance. Combining long-term hydrometeorological data from the primary study area, the Meuse basin, with the large-sample CAMELS datasets (GB and US) analyses were performed across 283 catchments. Applying the vector operations from Velde et al. (2014) and Jaramillo et al. (2018) to decadal changes within the Budyko framework, we separate climate-related evaporative changes. We isolated the residual component of evaporative change, which is the unknown component affecting parameter estimations. Our findings indicate that this residual component is twice as prominent in evaporative change as the climate component. The aim is to test if land cover changes have contributed significantly to the residual component of evaporative change. A multi-scale approach to land cover change analysis is adopted to bridge the data gap from 1984 to 2019. Implementing ensemble machine-learning methods on Landsat imagery with Google Earth Engine, we develop annual timeseries of (30m) high-resolution multi-class data for this period with accuracies up to 86% for 117 catchments (Meuse and GB). Resulting land cover change estimates suggest that Meuse Basin urbanisation rates may have been significantly underestimated for this period. The Meuse Basin over the most recent 20-year period is found to deviate anomalously in actual evaporation compared with the large sample. A distinct spatial pattern reveals a concentration of deviations in the east of the basin. Calculated by Tempel (2023), the anomaly in the basin corresponds with a relative median error in root zone storage capacity change of −14%. Low flow analysis is performed to remove the possibility that deviations are affected by anomalous contribution to streamflow from additional subsurface flow. We observed that the increase in low flow variability over the same period exhibits a spatial pattern similar to the Meuse Basin anomaly. We found no meaningful causal relationships linking multi-scale interdecadal changes in forest, agriculture, and urban land classes to the observed deviations in the large sample datasets. The implication of this study is that land cover change is likely not a significant driver of evaporative changes, specifically, errors, throughout the record available.
The goal of this thesis is to apply surrogate modeling to predict cohesive damage in the fracture mechanics field. It focuses on one of the existing techniques using Physically Recurrent Neural Networks (PRNN). The core idea behind PRNNs is to implement the exact material models from the micromodel into the material layer of the network. The PRNN, which incorporates an elastoplastic model in what is referred to as bulk material points has resulted in exceptional performance when predicting elastoplastic behavior in composite materials. The primary objective of this thesis is to extend the existing PRNN to predict the effect of debonding at the fiber-matrix interface while capturing path-dependent behavior and minimizing the size of the training dataset with excellent extrapolation ability.
The fundamental capabilities of the existing PRNN with bulk material points only are evaluated in the microscale cohesive damage framework, particularly when interface elements are implemented at the fiber-matrix interface of the micromodel. This initial step reveals the limitations of the existing architecture and it becomes apparent that all types of nonlinearities present in the micromodel must also be implemented in the network.
This thesis extends the PRNN by incorporating a Cohesive Zone Model (CZM) within the existing material layer. This new architecture introduces cohesive integration points with the CZM along with the bulk integration points. Through model selection, various configurations of bulk and cohesive points are explored, along with different training dataset types and sizes, to maximize predictive accuracy and extrapolation capabilities. It is observed that training with non-monotonic data is required for the network to learn both types of nonlinearities. The limitations of the network's prediction are noted, which are due to the fact that its architecture does not represent the stress homogenization step of the multiscale method. This realization highlights the importance of the layout of the PRNN.
Further study investigates new PRNN architectures to improve the physical representation of the micromodel. The networks are trained on a single curve to select the optimal architecture. The most promising option is discussed in detail, in which the history parameter of the cohesive points is input to the bulk points. The network is proven to provide accurate prediction on a small training dataset when tested on the training dataset. Constraints of the PRNN are discussed and further improvements are recommended to extend the modified PRNN to a larger dataset.
This research contributes to the field of surrogate modeling for composite materials by investigating the predictive capabilities of the PRNNs and exploring new architectures. The results provide a promising outlook for accurately predicting the complex behavior of composite materials, specifically in the context of cohesive microscale damage considering debonding at the fiber-matrix interface. The proposed PRNN has the potential to increase computational efficiency of multiscale modeling in engineering applications.","","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:8db4539c-4bde-49a4-ac93-8b5ccf5ff8c5","http://resolver.tudelft.nl/uuid:8db4539c-4bde-49a4-ac93-8b5ccf5ff8c5","Battery-Free Operation in Existing Building Automation Networking Protocol","Bouman, Jeffrey (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pawełczak, Przemysław (graduation committee); de Winkel, J. (mentor); Delft University of Technology (degree granting institution)","2023","The averse reaction of lithium batteries inspired researchers to look for alternat-
ives for energy storage in electronics. Battery-free or intermittent devices intro-
duce a new paradigm with their own specific problems about power, state track-
ing, timing and communication. We implemented the battery-free paradigm in
the Thread protocol, utilizing the specific characteristics of End Devices in the
mesh network to reduce power consumption. The intermittent implementation
is fulfilled without changing the behaviour of the protocol, making the device
fully compatible without other devices being aware of the intermittent opera-
tion. During tests four implementations are tested, completely naive, semi naive
with simple power improvements, Sleepy End Device operating intermittently
and a Synchronised Sleepy End Device operating intermittently. The results of
the power measurements are used to determine the feasability of four use cases.
The intermittently operating Sleepy End Device is out performing the Naive
and Semi Naive implementation.","Thread protocol; Communication; Battery free","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:e879eefc-4b8e-4a4b-b70e-f95128993aca","http://resolver.tudelft.nl/uuid:e879eefc-4b8e-4a4b-b70e-f95128993aca","Zero-serialization, Zero-copy memory pooling in compute clusters: Disaggregated memory made accessible","Groet, Philip (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hofstee, H.P. (mentor); Al-Ars, Z. (mentor); Delft University of Technology (degree granting institution)","2023","With the rise of the new interconnect standards CXL and previously OpenCAPI, has come a great deal of possibilities to step away from the classical approach where CPUs are in charge of moving data between external devices and local memory. Specifically, OpenCAPI allows for attached devices to directly interface with the host memory bus in a near cache coherent way. IBM has developed the ThymesisFlow system which allows for other servers to access each others Random Access Memory through this OpenCAPI link. ThymesisFlow however is not fully coherent in some cases.
ThymesisFlow is designed for the situation where a borrower is able access a lender's memory, and the lender not accessing that borrowed memory. Coherency problems arise in the case where both a lender of memory, as well as a borrower of memory write to the lender's memory.
This thesis proposes the use of the Apache Arrow in-memory data format to not only access memory in a near coherent fashion, but in a fully coherent fashion. This will allow compute clusters to more efficiently use memory resources, allow for applications to dynamically hotplug memory, and allow for data sharing without copying over ethernet connection.
The protocols devised in this thesis are able to create disaggregated Arrow objects, which are readable by all nodes in a cluster in a coherent fashion. The creation of these coherent disaggregated objects is the only performance penalty in making them coherent, after initialization all nodes use their local CPU caches to cache remote objects.
A working proof-of-concept has been created which is able to share Apache Arrow objects stored in the memory of a single node. It is also possible to create Arrow objects which span the memory of multiple nodes, allowing for objects bigger than the memory of a single node. The proof-of-concept was able to be run thanks to the setup provided by the Hasso Plattner Institute.","Zero-copy memory pooling; Compute clusters; Disaggregated memory; Memory disaggregation; OpenCAPI; ThymesisFlow; IBM; Arrow; Apache Arrow; Cache coherency; CPU cache; Memory sharing; SMP; Memory lending; Memory pooling; Data format; Systematic solutions; Software coherency; CXL; HPI; Big Data; memory access optimization; memory space","en","master thesis","","","","","","","","2023-09-20","","","","Electrical Engineering | Embedded Systems","",""
"uuid:2e3460b5-787f-4734-b2c1-dd73369fca25","http://resolver.tudelft.nl/uuid:2e3460b5-787f-4734-b2c1-dd73369fca25","A Flow-to-Digital Converter based on a Thermal-Sigma Delta Modulator","Gupta, Avish (TU Delft Electrical Engineering, Mathematics and Computer Science)","Makinwa, K.A.A. (mentor); Snijders, Gert Jan (graduation committee); Delft University of Technology (degree granting institution)","2023","Flow sensing is essential in various industrial, commercial, and biomedical applications. Although many types of flow sensors exist, thermal flow sensors are widely used due to their simple sensing elements (heaters and temperature sensors) and low fabrication costs. A low-cost interface for thermal flow sensors can then be realized with a Thermal Sigma-Delta Modulator (TΣΔM), which regulates the temperature of the sensing element, while simultaneously digitizing the required power.
This thesis discusses the design of a pseudo-differential TΣΔM to read out a thermal flow sensor, which consists of two heating resistors in thermal contact with the flow. The modulator maintains both resistors at a constant temperature and digitizes the required differential power. The resistors have a Positive Temperature Coefficient (PTC) and can thus be used as heaters and temperature sensors in a time-multiplexed manner, resulting in a compact realization. A prototype was fabricated using discrete components on a PCB. With a sampling frequency of 5kHz, the modulator achieved a thermal noise-limited resolution of greater than 13 bits in a bandwidth of 1.5Hz for a full-scale input of 7mL/min. The entire read-out was realized with two integrators, two clocked comparators, and a few switches, resulting in a simple, low-cost interface with direct digital read-out.
In response to the escalating demand for accurate and swift access to relevant visual data within the vast spectrum of video resources, our research has been dedicated to the development of novel, efficient content-based image retrieval methods tailored for videos by integrating deep learning methodologies. Our comprehensive system contains two crucial components: keyframe extraction and content-based image retrieval. Keyframe extraction involves identifying significant frames within videos, while content-based image retrieval enables the retrieval of similar frames to a query image through feature extraction and ranking.
A unique aspect of our research lies in the exploration and analysis of a diverse range of feature extraction techniques derived from compact deep learning networks. We have compared our proposed method with state-of-the-art retrieval systems, evaluating performance metrics in terms of both accuracy and speed. Our method harnesses the power of compact deep learning network features in the initial ranking stage, effectively sublisting frames, and subsequently introduces re-ranking using a larger network. This innovative approach promises to deliver the best of both worlds: exceptional efficiency without compromising retrieval accuracy.","Content-Based Video Image Retrieval; Content-Based Image Retrieval; Efficient Feature Extraction; CBVIR; CBIR; Image search; Video search; Image retrieval from video; Re-ranking","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:b94a729c-2430-4752-ba10-70c34b3bbf99","http://resolver.tudelft.nl/uuid:b94a729c-2430-4752-ba10-70c34b3bbf99","Removal of ammonia peaks from effluent wastewater using nitrifying biolm on zeolite","Thiruvenkatachari, Priyadarshini (TU Delft Civil Engineering & Geosciences)","de Kreuk, M.K. (mentor); Heijman, Sebastiaan (graduation committee); Hendriks, Alexander (mentor); Delft University of Technology (degree granting institution)","2023","Elevated levels of ammonia in discharged water leads to eutrophication and potential toxicity in water bodies. To combat nitrogen pollution, strict ammonium discharge limits of 1 mgNH4-N/L standard is set by the water framework directory. In many cases, current wastewater treatment facilities struggle to consistently meet this standard, particularly during peak concentration periods. For years now, the influent's peak pattern has been mirrored in the biologically treated water and currently, there lacks an effective solution to address this issue. This study explores use of zeolite in a biological filter, a potential technology to remove ammonia peaks from effluent wastewater. The fundamental concept of this technology involves combining zeolite with a nitrifying biofilm. In this process, the zeolite dampens the ammonia peak by adsorption, while the nitrifying biofilm regenerates the zeolite. Clinoptilolite, a natural zeolite of 1mm particle size and synthetic wastewater were chosen in this study. The impact of competing cations was investigated due to clinoptilolite's affinity for cations. It was found that the presence of other cations decreases ammonium removal capacity by 6.2 times of which potassium is the main competitor. But, percentage of potassium removed is much less than ammonium though it's concentration is 5 times higher. 44.2% of the total potassium was removed in 60 minutes compared to 70.9% ammonium removal. In the experiments with the nitrifying biofilm, it was found, that it does
not affect rate of adsorption by zeolite, but enhances ammonium removal. Experimental results indicate that zeolite can be bio-regenerated effectively, and rate of conversion is faster than rate of desorption of adsorbed ammonium. The system of zeolite and biofilm has some buffer capacity, but cannot compensate for the bicarbonate anions needed for H+ released. A BioWin model
was designed to simulate the survival of biomass during extended periods of low concentration, and the results indicated that conversion capacity of the system reduces after 7 days of DWF concentrations. But the biomass can sustain longer periods of DWF concentrations, but it takes some time and exposure to higher substrate concentrations to revive it's capacity. In conclusion this study
confirms the potential in this technology and confirms effective bio-regeneration capabilities. The results from this research can built upon to answer questions regarding knowledge gaps with reactor operation and design. This paves the way for future studies to make it an industrially viable technology. ","Zeolite; Nitrifying biofilm; Wastewater treatment; Ammonia removal","en","master thesis","","","","","","","","2025-10-01","","","","Civil Engineering","",""
"uuid:4bbb857a-af16-44af-b3ea-98440ec0d170","http://resolver.tudelft.nl/uuid:4bbb857a-af16-44af-b3ea-98440ec0d170","Multi-period Robust Mean-Risk Portfolio Optimization: Minimizing Risk and Enhancing Returns in Uncertain Market Environments","Nakos, Vangelis (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yu, F. (mentor); Delft University of Technology (degree granting institution)","2023","Portfolio optimization, a fundamental area of study in financial engineering,
plays a crucial role in creating efficient portfolios. In this thesis, we consider
a robust multi-period Mean-Variance portfolio optimization framework and
apply it to real-world market data. The approach we look at incorporates a
time-consistent structure that considers the variance of each period, aiming
to minimize their sum, while ensuring that the expected return for each period
exceeds a predefined threshold. Additionally, we introduce proportional
transaction costs to simulate real-world market conditions. To account for uncertainties
and increase robustness, we employ a distribution uncertainty set
within a Wasserstein ball around the empirical distribution of historical data.
This enables us to select worst-case portfolio scenarios for deriving robust optimal
solutions. We aim to compare this method with other existing portfolio
optimization methods, which we describe in depth in our analysis, to assess
its effectiveness.
To achieve the aforementioned research objectives, we conducted an extensive
review of portfolio optimization literature, exploring both Mean-Variance
and Mean-CVaR portfolio optimization problems. Our research also included
robust approaches on portfolio optimization including different distribution
and parameter uncertainty sets. We proceeded to construct a comprehensive
set of numerical experiments, evaluating portfolio optimization methods performance
on real market data. Moreover, we included the S&P500 index to
compare them against market performance. In these experiments, we randomly
selected stock sets and evaluation period to work on, in order to ensure
an unbiased assessment of the methods.
To evaluate the performance of each method, we used the Sharpe ratio of
the realized portfolio returns. Our key findings indicate that, in most cases,
at least one of the portfolio optimization models outperformed S&P500, suggesting
that portfolio optimization problems perform relatively well in the
real world. Furthermore, single-period models demonstrated better performance
compared to multi-period having higher Sharpe ratio most of the times.
Notably, robust optimization models exhibited superior performance compared
to nominal models, underlying the significance of accounting for uncertainty.
The implications of our research are twofold. Firstly, portfolio optimization
problems, especially in the single-period context, demonstrated
promising performance and should be embraced by financial practitioners
seeking optimal risk-return investment strategies. Secondly, we recommend
the preference for robust approaches over traditional models, as they offer improved
flexibility to market uncertainties and potentially mitigate downside
risks.","Portfolio Optimization; Mean-Variance; Mean-CVaR; Robust Optimisation; Single-Period; Multi-Period; Transaction Costs; Time-Consistency","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:f385b537-20b8-447b-b91b-190fdedb8baf","http://resolver.tudelft.nl/uuid:f385b537-20b8-447b-b91b-190fdedb8baf","Fallpipe dispersion model for subsea stone installation","Hogenhuis, Tim (TU Delft Mechanical, Maritime and Materials Engineering)","van Rhee, C. (mentor); Keetels, G.H. (mentor); Alhaddad, S.M.S. (mentor); Delft University of Technology (degree granting institution)","2023","","Rock placement; Offshore wind","en","master thesis","","","","","","","","2025-09-20","","","","Offshore and Dredging Engineering","",""
"uuid:cdd1cfe6-6b6d-4fca-9f54-a64f37111b32","http://resolver.tudelft.nl/uuid:cdd1cfe6-6b6d-4fca-9f54-a64f37111b32","Stereo Pointclouds for Safety Monitoring of Port Environments","Middelhoek, Femke (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Cognitive Robotics)","ter Haar, Frank (mentor); Caesar, H.C. (mentor); Delft University of Technology (degree granting institution)","2023","Abstract—The MOSES project develops an autonomous vessel equipped with an autonomous crane to optimise the supply chain of shortsea shipping. This study focusses on monitoring the safety of the port environment based on stereo camera data generated by sensors attached to the crane at 15m altitude, oriented 45° downward. The objective is to detect individuals and estimate their motion. Semi Global Block Matching is implemented for stereo pointcloud generation (a pointcloud based on the disparity image and stereo camera calibration information). Voxel averaged stereo pointcloud downsampling is performed for improved data compliance with CenterPoint. Background subtraction is implemented with Gaussian Mixture Models (GMMs). The study proposes a novel implementation to fit a GMM on per-point 3D spatial (xyz) and color information for enhanced background-foreground segmentation of the stereo pointclouds. 3D object detection and velocity prediction are based on CenterPoint, customised to take color features into account. The result is a robust detection pipeline with a top performance of 81.5% mAP, 4% Average Orientation Error and 9.4% Average Velocity Error on a simulated dense port environment dataset. Background subtraction is implemented to improve cross-environment generalisation, an important feature for MOSES considering the mobile nature of the vessel and the likelihood that it would attend unseen environments. Voxelaveraged downsampling of the stereo pointcloud advances this by creating a uniform data structure, further facilitating the transfer of learnt features to previously unobserved scenes. Including color information of the current frame reduces the impact of spatial uncertainty of the stereo pointcloud. It improves detection performance, particularly when excluding the color information of the temporal reference frames included for velocity prediction. The transferability of the pipeline developed in simulation to reality is demonstrated on a basic real-world scenario.","Stereo Pointclouds; Gaussian Mixture Models; CenterPoint; 3D Object Detection; Cross-Environment Generalisation","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:db154ffb-ebbc-464f-8147-08c484c7c373","http://resolver.tudelft.nl/uuid:db154ffb-ebbc-464f-8147-08c484c7c373","Fatigue analysis and design of arc-welded and laser-welded lap joints: Toe vs. root induced failure","Giesen, Stefan (TU Delft Mechanical, Maritime and Materials Engineering)","den Besten, J.H. (mentor); Veljkovic, M. (graduation committee); Bufalari, G. (graduation committee); Hinrichsen, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Fatigue might be the governing limit state in marine structures mainly induced by the waves and wind. Therefore, using a lap joint for the shell plating to replicate the appearance of a riveted joint for a retro yacht is critical due to the limited fatigue resistance. For this joint type cracks initiated at the root, which should always be avoided due to hard detectability, might appear. Arc- and laser-welded lap joints are researched to estimate fatigue resistance and reduce the risk of root failure.
The hot spot peak stress of weld toe and weld root notches is insufficient to reflect the fatigue strength, explaining why an effective notch stress parameter is used, able to deal with both weld toe and weld root notch induced fatigue. Semi-analytical weld notch stress formulations are established in order to avoid solid finite element modelling to capture the effective notch stress. Particular attention is paid to the lap joint characteristic extreme weld notch load carrying level. A second-order weld load carrying stress formulation is introduced. Since the (laser) weld is typically small in comparison to the plate thickness, a dedicated way of weld modelling is proposed, assuming a shell finite element type of formulation, allowing to capture the far field stress for both the weld toe and weld root notch accurately.
Fatigue tests are performed to obtain the laser-welded lap joint mid-cycle fatigue resistance information, including the material characteristic length as effective notch stress coefficient. Particular attention is paid to mean (residual) stress effects in comparison to the arc-welded joint equivalent to explain the fatigue performance.
Comparing the lap joint fatigue resistance in arc-welded and laser-welded configurations to the resistance of other joint types, the results turned out to be aligned. Investigating different material characteristic parameters, either an effective point or an effective line is used. A line based parameter shows a better performance. The main reason is that the actual notch stress gradient is explicitly considered. The mean (residual) stress seems to be larger for arc-welded joints. Furthermore, the mean (residual) stress correction seems at the same time to be one reason for toe induced- rather than expected root induced failure. For arc-welded joints, the change in failure location induced by stress level dependent secondary bending moments is another reason. The fatigue resistance parameter confidence for laser-weld weld joints is relatively low in comparison to the confidence for arc-welded ones, as a result of data size: respectively ≈190 and ≈3000.
When not considering the change in secondary bending moments in the fit of a Se-N curve, the overall fit parameters and quality do not change significantly for arc-welded lap joints. However, the influence on the effective stress range is substantial and changed the value on average by 4.7 % and up to 14.7 %.
Considering only the mid-cycle fatigue resistance, laser welding is superior to arc welding when exposed to low load and response ratios resulting from the lower residual stress level. The FAT class design curve of arc-welded joints for the hot spot structural stress concept with a load and response ratio of 0.5 can be used with a mean stress correction to estimate the fatigue resistance of laser welds.","fatigue; laser-welded joints; lap joints; root induced failure; arc-welded joints; Effective notch stress","en","master thesis","","","","","","","","2025-09-20","","","","Materials Science and Engineering","",""
"uuid:f1b44695-b3e2-4d49-8cc1-5bd36b6b0ab6","http://resolver.tudelft.nl/uuid:f1b44695-b3e2-4d49-8cc1-5bd36b6b0ab6","Can automated vehicles make passengers happy?","XU, YIPING (TU Delft Civil Engineering & Geosciences)","Farah, H. (mentor); Nordhoff, S. (mentor); Hoogendoorn-Lanser, S. (mentor); Feng, Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research delves into the transformative potential of self-driving vehicles by investigating their impact on passengers’ happiness. As autonomous transportation technology rapidly evolves, understanding the user experience within these vehicles becomes essential. To investigate the happiness of the self-driving vehicles’ passengers, which is defined as positive emotions and cognitive well-being during self-driving rides, real-traffic test rides were conducted among 31 participants, companied with two before-ride and after-ride surveys. The study employs a comprehensive approach, combining self-reported survey data and biometric measurements, which includes the participants’ heart rate and the eye movement, to investigate passengers' happiness. The results present an overall positive emotions and positive attitudes towards the self-driving vehicle. Moreover, the findings present a notable shift in passengers' attitudes, with originally neutral sentiments transitioning to positive perceptions following the test ride. Participants exhibited various activities during the ride, enhanced comfort with the concept, and an improved satisfaction with self-driving technology. Remarkably, statistical trends suggest that self-driving vehicles hold the potential to alleviate stress and optimize time management, positively impacting passengers' overall well-being. Furthermore, biometric data of the participants presented participants’ different physical reaction on different traffic scenarios and indicated the happiness and well-being of the participant. The research emphasizes the broad implications of self-driving technology on individual happiness, concerning both emotions and attitudes, extending beyond functional enhancements to encompass passenger happiness and societal integration.","Self-driving cars; User Experience; Passengers' happiness","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:a57a473c-67f2-4777-b8bb-af35b7a9206f","http://resolver.tudelft.nl/uuid:a57a473c-67f2-4777-b8bb-af35b7a9206f","Face image synthesis for robust facial analysis","Marinos, Marios (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gemert, J.C. (mentor); Verwer, S.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Emotion recognition is a challenging problem in the field of computer vision. The automatic classification of emotions using facial expressions is a promising approach to understand human behavior in various applications such as marketing, health, and education. How- ever, recognizing some emotions, such as anger, jealousy, contempt, and disgust, is more challenging than others due to their subtlety and rarity in the training data. In this paper, we try to investigate if using (self)pseudo-labelled data to train an Expression Manipulator [? ] generator to generate a training set for training a classifier is a better alternative to directly using an equal amount of (self)pseudo-labelled data for training the classifier [? ]. Specifically, we focus on augmenting the Action Units (AUs) of facial expressions, which are the basic units of facial movement that correspond to specific emotions","Action Units; Computer Vision; Classifier","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:37b73a1b-34c2-4039-90fb-4017f6f6fb64","http://resolver.tudelft.nl/uuid:37b73a1b-34c2-4039-90fb-4017f6f6fb64","Multi-resolution space-time topology optimization","Chakraborty, Budhaditya (TU Delft Mechanical, Maritime and Materials Engineering)","Wu, J. (mentor); van Keulen, A. (graduation committee); Wu, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the realm of traditional additive manufacturing, design and fabrication sequence planning have historically followed separate tracks. However, recent strides in the field, particularly in the utilization of robotic arms with multiple degrees of freedom, have brought forth a revolutionary approach known as Space-Time Topology Optimization (STTO). This groundbreaking algorithm breaks down the barriers between design and fabrication by simultaneously optimizing the structure and fabrication sequence. It achieves this feat by employing density and time fields as design variables, allowing for a holistic and integrated approach to the manufacturing process.
However, within the framework of STTO, multiple iterations of finite element computations become necessary. This results in a substantial computational burden throughout the overall process.
My contribution within STTO lies in its adoption of a multi-resolution strategy. This strategy enables the use of different resolutions for the design fields, enhancing computational efficiency. Coarsening, a critical component of this strategy, is implemented through a sophisticated weighted average scheme. This coarsening process facilitates the construction of stiffness matrices with significantly reduced finite element calculations, resulting in substantial time savings during the optimization process.
The impact of coarsening in STTO has been rigorously studied across various levels, yielding remarkable results and advantages. In 2D scenarios, this approach has achieved an impressive 5-fold reduction in computation time, while in the more complex 3D domain, it has led to an astounding 30-fold decrease. Moreover, it's worth noting that compliance, a crucial performance metric, maintains its integrity even with coarsening, with compliance drop remaining below 5% for levels deemed acceptable. This study illuminates the profound implications of coarsening within the STTO framework, emphasizing the significant strides made in computational efficiency while ensuring structural integrity and performance.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Precision and Microsystems Engineering","",""
"uuid:d7d67ac3-0681-4a33-9131-3386746650df","http://resolver.tudelft.nl/uuid:d7d67ac3-0681-4a33-9131-3386746650df","Soil-Monopile Interaction: from Elastic to Elastoplastic soil reaction modelling under Quasi-Static Monotonic Loading","MAKRYKOSTA, ANNA (TU Delft Civil Engineering & Geosciences; TU Delft Geo-engineering; TU Delft Offshore Engineering)","Pisano, F. (mentor); Tsouvalas, A. (graduation committee); Kementzetzidis, E. (graduation committee); Panagoulias, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Offshore wind energy's rapid expansion underscores the need for accurate and efficient methods to analyze the behavior of monopile foundations supporting wind turbines. While three-dimensional (3D) analyses provide comprehensive insights, their computational demands are significant. As an alternative, one-dimensional (1D) models with spring elements to simulate the interaction between the structure and the surrounding soil, offer efficiency and simplicity. Realistic soil behavior, characterized by elastoplasticity, necessitates proper calibration of the spring models in 1D analysis.
This thesis addresses the challenge of soil-monopile interaction analysis, specifically focusing on the monopile response under lateral static monotonic loading. The research commences by highlighting the development imperatives in monopile-founded offshore wind turbines. The first phase involves calibrating elastic springs through a comprehensive review of existing literature. This calibration accounts for variations in spring stiffness along the monopile's length. Subsequently, the study progresses to elastoplastic soil modelling, adopting a linear elastic perfectly plastic approach and employing only lateral shaft springs. Acknowledging the limitations of linear elastic perfectly plastic p-y response, new material models, namely a bilinear and an exponential model, are examined. A parametric analysis encompassing various monopile geometries and lateral load eccentricities is conducted. An optimization routine refines the bilinear and exponential model parameters to closely match 3D responses. The results demonstrate satisfactory agreement for the analyzed high L/D monopiles, yielding valuable insights and conclusions. However, the low L/D monopiles exhibit a less successful match, primarily attributed to the absence of rotational shaft springs in the analysis.
Furthermore, empirical design processes for applying the bilinear and exponential models are outlined. These processes are founded on the relationships between the model parameters and the length-to-diameter (L/D) ratio as well as the eccentricity-to-diameter (e/D) ratio. The study highlights the applicability of the bilinear model across various soil conditions, monopile geometries and lateral load eccentricities. In contrast, the exponential model's efficacy is constrained by the examined L/D ratios, warranting further analyses for expanded application.
In conclusion, this thesis presents a systematic transition from elastic to elastoplastic modelling for soil-monopile interaction analysis under static monotonic loading. The proposed bilinear and exponential models enhance the accuracy of 1D simulations, facilitating efficient design and analysis of monopile-founded offshore wind turbines. These methodologies contribute to the advancement of sustainable offshore wind energy, catering to diverse soil conditions and design scenarios.","Monopile foundation; 1D Model; Static loading; Plastic analysis; Optimisation Algorithms","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:eedffba6-6905-421d-a016-e1c2f680cd04","http://resolver.tudelft.nl/uuid:eedffba6-6905-421d-a016-e1c2f680cd04","A Mechanical Magnetic Connection between Muscles and Prosthesis for Enhanced Proprioception: Determine the Snapping Force","De Boeck, Jan (TU Delft Mechanical, Maritime and Materials Engineering)","Abelmann, L. (mentor); Smit, G. (mentor); Delft University of Technology (degree granting institution)","2023","In the context of prosthetic devices, the absence of natural sensory feedback poses a significant challenge, leading to limited proprioceptive sensation and reduced control over artificial limbs. This study explores the potential of establishing a magnetic connection between prosthetic devices and muscles to enhance proprioceptive feedback and facilitate more intuitive control of prosthetic limbs. The primary objective is to ascertain the safe range of forces that can be transmitted magnetically from a prosthesis to a muscle, with ethical considerations guiding the allocation of muscle resources.
Preliminary experiments conducted using Silicone Ecoflex 0030, chosen for its stress behaviour similarity to natural muscle tissue, serve as the cornerstone for this research. A novel methodology is introduced to predict the forces generated when magnets snap, particularly when one magnet is embedded within an elastic medium like silicone, replicating the force distribution characteristics of muscle tissue. The theoretical model guiding these predictions is based on empirical data collected from experiments that investigate the magnetic force interactions between magnets and the distinct properties of silicone materials. Validation of the theoretical model for predicting the snapping point is achieved through a comprehensive series of final experiments.
This study demonstrates that the monopole model, tailored for magnets with parallel-aligned poles, yields highly accurate predictions when compared to empirically measured data concerning magnet force modelling. Additionally, within silicone, the force-displacement relationship exhibits linearity, with stiffness primarily contingent on the length of the implanted object. Force transmission experiments involving a magnet embedded in silicone reveal that magnets snap at forces of less than 3 Newtons.
A significant outcome of this research is the development of a validated methodology for predicting the force when a pair of magnet become unstable and snap. This methodology is applicable to scenarios involving magnets embedded in elastic media like silicone and holds the potential for extending predictions to snap forces in muscle tissue. The implications of this study are promising, suggesting the feasibility of employing magnets as sensors to detect muscle intent and as activators to provide feedback by mobilizing implanted magnets, thereby stimulating muscle spindles. These findings open new avenues for enhancing proprioception and control in prosthetic devices.
A mixed-integer linear-programming problem is formulated to model an onsite electrolytic hydrogen production facility for a larger industrial downstream process. The downstream flexibility and temporal correlation constraints in this model are generalized to study their potential antagonistic effects abstractly. The downstream flexibility constraints considered are the minimum partial-load and the period over which production has to match the desired output, mimicking further downstream supply chain constraints. The model employs integrated design and operations optimization, considering the cost-optimal production facility will vary depending on the legislature and downstream process.
The results indicate that temporal correlation requirements affect the production costs of hydrogen as a consequence of limiting the operational flexibility. Additionally, strict temporal correlation requirements exacerbate the escalation of these costs. The availability of a geological storage site reduces the effects of temporal correlation requirements and DSP inflexibility on production costs. Regarding emissions, at current allowance prices, the ETS is not sufficient for emissions abatement of onsite electrolytic hydrogen production. On the other hand, temporal correlation requirements are an effective tool for reducing the attributable emissions intensity. However, a focus on emissions abatement for onsite electrolytic hydrogen production, without adjustments to the ETS, risks cost inefficient sectoral emissions reduction without reducing system emissions, due to leakage to other sectors.","Hydrogen; Optimization; Industry; Temporal-correlation; Techno-economic analysis","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:f6a69112-1107-4ea7-8775-a60c33d56dc0","http://resolver.tudelft.nl/uuid:f6a69112-1107-4ea7-8775-a60c33d56dc0","São Paulo - Repair and Consolidate: Re-thinking Social Housing through Assemblages: A relational approach of living together","Kostelijk, Beatrijs (TU Delft Architecture and the Built Environment)","Mota, Nelson (graduation committee); Mooij, H.A.F. (mentor); Delft University of Technology (degree granting institution)","2023","This graduation project explores the circulation of materials, people and knowledge, against the threat of poor and toxic living environments, within the design of Social Housing in the Global South. The project's approach to affordable housing development, which is rooted in the concept of assemblage thinking, revolves around the idea of creating spaces relationally, acknowledging that architecture is always already entangled with histories, stories, desires, places, materials, and lives. It challenges the prevailing trend of segregation and homogeneity by embracing a diverse mix of typologies, fostering inclusivity, and mitigating the rigid binary oppositions that characterize the unsustainable and segregated ways of life in São Paulo. The project is an anti-monoculture manifest that follows the flow of matter and ideas while emphasizing the rejection of homogeneous living environments and encouraging the co-existence of difference, dismantling oppressive dichotomies.","Global Housing Studio; Assemblage Thinking","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Global Housing","",""
"uuid:00af3c0e-3ea0-4230-b4dd-2481a5b25708","http://resolver.tudelft.nl/uuid:00af3c0e-3ea0-4230-b4dd-2481a5b25708","Data assimilation of observed cloud fields in LES model: Applying a three-dimensional nudging tendency to thermodynamic properties during LES model spin-up for increased agreement with observations","Steerneman, Mats (TU Delft Applied Sciences)","de Roode, S.R. (mentor); Jonker, H.J.J. (graduation committee); Rohde, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis investigates the implementation of three-dimensional nudging into large-eddy simulation (LES) to assimilate observed atmospheric data into an LES model. 3D-nudging 'pushes' the thermodynamic fields in a simulation towards the desired observed fields. The aim is to test if such a method is useful in improving solar forecasts of stratocumulus-topped boundary layers. For this purpose 3D-nudging LES solar forecasts are compared to persistence forecasts and conventional LES-based forecasts. As a proxy for observations, exact thermodynamic fields from LES were used in this research. Using LES fields is advantageous as it provides full 3D thermodynamic fields but also dynamic fields for checking the turbulence in the different methods. Results show that 3D-nudging is quite capable of replicating the desired thermodynamic fields. Unfortunately, nudging comes with a penalty as it causes the turbulence built up in a simulation to be flawed. This effect is mitigated by the design of variations on the nudging technique, the most promising of which is multiple time fields nudging, which nudges the thermodynamic fields in a simulation to subsequent desired fields every 10 minutes during the nudging period. Solar forecasts found by this method are found to be more accurate than the persistence and regular LES methods on forecast horizons of 30 minutes and larger. Approaches proposed in this study to approximate thermodynamic fields from observational data estimate thermodynamic fields to a reasonable accuracy but are far from perfect, and thus it should be noted that solar forecast accuracy of the discussed methods will be less accurate when applied to real observations. Further research is recommended to focus on the use of the 3D-nudging methods in more LES case studies, and on devising better methods for the estimation of thermodynamic fields from observations.","LES; Stratocumulus; Cloud; Nudging","en","master thesis","","","","","","","","","","","","Applied Sciences","",""
"uuid:7b7098a5-9f2d-45c0-8303-483103ba44ee","http://resolver.tudelft.nl/uuid:7b7098a5-9f2d-45c0-8303-483103ba44ee","Investigating the dependencies of individual overtopping volumes on vertical wall breakwaters under non-breaking waves","Pouliasis, George (TU Delft Civil Engineering & Geosciences)","Antonini, A. (mentor); Hofland, B. (graduation committee); Morales Napoles, O. (graduation committee); van Gent, M.R.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Wave overtopping of coastal structures is generally expressed in terms of average discharge and maximum overtopping volume. While substantial research can be found on the relationship of such variables with incident spectral characteristics and other geometrical dimensions, limited research has been done to identify the conditions for which severe individual overtopping events occur. The objective of the present work is to further investigate the statistical dependencies between individual overtopping volumes and incident wave characteristics and to identify the conditions which result in maximum overtopping events. To this aim, we statistically analyse timeseries of waves and overtopping events for the case of a vertical wall breakwater on a horizontal bed under non-breaking wave conditions. The timeseries are generated using a validated numerical model for 6 sets of boundary conditions. The analysis of the data shows that, among the examined variables, the incident wave crest level at the toe of the structure has the highest rank correlation with the individual overtopping volumes. Conversely, the incident wave heights show a lower rank correlation while the wave periods show no correlation at all. Additionally, by leveraging the tool of copulas we find that the incident wave crest levels have strong upper tail dependence with the individual overtopping volumes while the wave heights show no tail dependence. Subsequently, we examine possible dependencies with the wave group periods and wave group energy. We find that a moderate correlation exists between wave group periods and overtopping volumes but no upper tail dependence. Moreover, our results show that the fraction between the wave group energy and the group period has a high correlation and upper tail dependence with the overtopping volumes. Finally, we discuss possible practical applications of our findings as well as points for future research.","Overtopping; OpenFOAM; Copulas; Tail dependence; Wave groups","en","master thesis","","","","","","","","2025-09-19","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:6a8134a2-a40b-47ed-9427-49ac9f793797","http://resolver.tudelft.nl/uuid:6a8134a2-a40b-47ed-9427-49ac9f793797","Mindful Matching: Enhancing Wellbeing through Positive AI on Dating Platforms","Wang, Gigi (TU Delft Industrial Design Engineering)","Hekkert, P.P.M. (mentor); van der Maden, W.L.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The growth of dating apps has changed the way relationships are formed. These applications have enabled users to widen their dating pool of potential partners through profile recommendations based on proximity and shared interests. However, dating app usage was also found to impact well-being negatively, with the AI systems of these platforms, contributing to it. In this project it was therefore explored how well-being can be enhanced, by mitigating the detrimental impacts of dating apps.
Contextualising well-being
Literature research was conducted to explore the features within a dating app along with its effect on well-being. By linking the various experiences with dating apps to well-being theories, a theoretical model could be developed. This contextual model of well-being was validated in a participatory workshop with dating app users. Findings from this workshop indicated that autonomy and relatedness were mostly affected, especially during the profile setup, which also influenced the overall app experience such as during swiping.
Operationalising well-being
As relevant constructs were identified for further study, it was necessary to determine how these facets of well-being could be measured, in particular for the context of dating apps. In-depth research on the relationship between autonomy and relatedness indicated that in order for people to become more autonomous (showing one’s true self to others), the need for relatedness (being understood or feeling a sense of belonging) had to be fulfilled first. For dating apps, a sense of relatedness could be achieved through sharing similar interests (or by experiencing a sense of belonging) with others, while autonomy relied on choosing qualities that are not necessarily desirable, but valuable to the user themselves (when it concerned self-expression).
Optimising well-being
Based on these insights, a design direction was formulated. This concerned, enhancing social connection by highlighting individuality (uniqueness of a person) within similarity (through a shared connection). This direction was used as a base for ideation. AI Ideation cards, which showcased the capabilities of the technology, were utilised. The possible interactions enabled by the technology were linked to desirable well-being outcomes defined previously.
Implementing well-being
This resulted in the design of a new dating app, MiHue. The app highlights a person’s unique attribute and tries to find a common ground with others either through an uncommon trait or through mutual passions. The experience of autonomy and relatedness upon this design was measured and the outcomes were used for recommending future cycles.
Initiating a new cycle
For the next cycle, it was recommended that other well-being related aspects which were not considered in the design, but present in the theoretical model (such as self-acceptance, positive emotions and physical health) to be considered for future research. Furthermore, it was advised that the involvement of minorities should also be included and that gender differences should be taken into account for the next cycle.","Well-being; Artificial Intelligence; Dating apps; App design; Relationships; Positive AI; Platforms; Social Connection","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:3a034319-915c-41fc-8475-534f77b24127","http://resolver.tudelft.nl/uuid:3a034319-915c-41fc-8475-534f77b24127","Unraveling Digital Automation in Emerging Economies: A Problem Demarcation for Social and Economic Policymakers","Kampert, Pascal (TU Delft Technology, Policy and Management)","Schröder, E. (mentor); Slinger, J (graduation committee); Samaan, Daniel (graduation committee); Delft University of Technology (degree granting institution)","2023","Digisation and the continuous advancement of Artificial Intelligence (AI) incites projections about automation altering occupations over many sectors and countries. With increasing availability of digital infrastructure, many parts of emerging economies will open their doors and become subject to digitisation, robots and AI simultaneously (Messina 2016, Das and Hilgenstock 2018), which for advanced economies have appeared slower, with less established power structures and at different times. With high uncertainty around and little literature on the subject, the societal implications of automation in emerging economies are ambiguous, with potentials of automation boosting economies and leveling the playing field of development one one hand, but also leading to exploitative dynamics, in which companies with intellectual property rights and know-how on automation technologies inflict harm on labour markets, economies and thus many societal layers of emerging economies. While a multitude of indicators on job transformation through digitisation, robots or AI and their respective implications on employment emerged and were discussed within the literature for advanced economies, lack of abundant data causes ongoing uncertainty for emerging economies.
This thesis addresses the scientific gap of who is at risk of automation in emerging economies. The literature review entails an overview on the field of labour automation, the social effects of automation, and the (projected) case for emerging economies. The quantitative analysis entails the calculation of two automation indicators, Routine Task Intensity for automation through digitisation and robots, and Suitability for Machine Learning (SML) for automation through AI for emerging economies. SML and RTI are compared among occupations and socio-demographic groups as well as their relationship towards each other. To identify patterns to susceptible socio-demographic groups within the labour markets of emerging economies, a cluster analysis is performed on the most pertinent and yet orthogonal demographic parameters. The attained insights on socio-demographic clusters and their susceptibility towards automation are discussed to develop are broader picture on how automation affects emerging economies in order to educate policymakers and aid in rethinking policy approaches in response to changes in skill demands.
This thesis finds ground for concern that emerging economies will be subject to a not just broader but also likely more sudden wave of digital automation than advanced economies, potentially magnified through historic power imbalances and global market dynamics. Our results demonstrate that also for the task compositions of emerging economies, it can be expected that with the advent of AI a substantively larger share of tasks, occupations and socio-demographic groups is susceptible to automation as compared to automation through digitisation and robots. Workers with low and high education show higher automation susceptibilities than mid-educated workers, although through different technologies. The finding that also high-educated workers are susceptible motivates the conclusion that education systems might see their traditional societal role of enablers of social mobility endangered. Finally, this thesis finds that women are systematically more susceptible to AI-enabled automation and the observation that men are more susceptible to automation through digitisation and robots in advanced economies does not hold true for emerging economies. This thesis concludes by calling for particular consideration of emerging economies in the field of automation in labour markets, the merging of more granular data for emerging economies and an institutional model of automation.","","en","master thesis","","","","","","","","2025-09-18","","","","Engineering and Policy Analysis","",""
"uuid:a4308b8e-0df4-4414-b4ab-eaa650954b4b","http://resolver.tudelft.nl/uuid:a4308b8e-0df4-4414-b4ab-eaa650954b4b","Including Imperfections within the Displacement-based Koiter Methodology","Pietersma, Rolijne (TU Delft Aerospace Engineering; TU Delft Aerospace Structures & Computational Mechanics)","Castro, Saullo G.P. (mentor); Jansen, E.L. (mentor); Giovanardi, Bianca (graduation committee); Bergsma, O.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Koiter methodology is a reduced-order model that can predict the initial post-buckling characteristics of structures. Incorporating the Koiter approach within finite element simulations resulted in challenges. These included mesh sensitive initial post-buckling coefficients, computationally expensive high-order derivatives, and phenomena such as locking, which led to unrealistic behaviour of structures. The displacement-based Koiter methodology is introduced to overcome these issues due to the clear connection between the theory and the implementation. The objective of this thesis is to enhance this approach by introducing imperfections, which enables the analysis of initial post-buckling characteristics of imperfect structures. The preliminary results show that the inclusion of imperfections was successful for a plate with linear pre-buckling, but more verification is required. If the inclusion is verified to be successful the current displacement-based approach can be extended to cylinders and used in sensitivity studies to establish guidelines for an imperfection-insensitive cylinder.","Koiter; Post-buckling analysis; Displacement-based","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:55d5ed46-64c5-4965-9311-49b6050ef2ca","http://resolver.tudelft.nl/uuid:55d5ed46-64c5-4965-9311-49b6050ef2ca","Telerehabilitation of a Exoskeleton-haptic device System: Design and Evaluation on Stability and Transparency","Korzilius, Nikki (TU Delft Mechanical, Maritime and Materials Engineering)","Marchal Crespo, L. (mentor); van den Berg, A. (mentor); Kober, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","While the presence and demand of robotic rehabilitation devices are rising, not many studies have been performed on haptic communication/ telerehabilitation with these devices. Despite therapists' desire to have the possibility to remain in the loop when their patients are performing exercises. This study aims to provide a telerehabilitation system that accommodates therapist-patient interaction during robotic rehabilitation and evaluate the system empirically on stability and transparency.
The system provides a platform for further research on telerehabilitation and haptic communication in robotic rehabilitation. Working towards a system that allows the therapist, when desired, to intuitively interact with the patient while they are performing rehabilitation exercises using a robotic device. A modular telerehabilitation system is designed using the ARMin V (ETH Zurich) upper extremity exoskeleton as the patient side, and the haptic end-effector device Sigma.7 (Force Dimensions) as the therapist's side. A visualization is provided to the therapist side using Unity and additional features are added to improve usability. The telerehabilitation system is bilateral impedance controlled through a proportional-derivative controller.
An experiment is performed in which the observing participant is asked to resist motion (analyze stability) or to be compliant with the motion (analyze transparency).
The empirical analysis showed promising first results on position tracking, effective communication of haptic cues, stability, and transparency.
However, UDP communication rate could be raised, and the scaling of force and workspace between Sigma.7 and ARMin V could be better matched to improve transparency.","Bilateral; Telerehabilitation; Impedance Control; Proof of concept; Upper-extremity","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:c97101bc-de1c-4eea-b6f0-1cc35a30192c","http://resolver.tudelft.nl/uuid:c97101bc-de1c-4eea-b6f0-1cc35a30192c","Foot Progression Angle Estimation: An Algorithm for Foot-based Single-Sensor Application","Heijs, Floor (TU Delft Mechanical, Maritime and Materials Engineering)","Harlaar, J. (mentor); Schepers, Martin (graduation committee); Wouda, Frank J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Background: Knee Osteoarthritis (KOA) is the predominant form of osteoarthritis, and its incidence is anticipated to rise due to an ageing and increasingly overweight population. Altering the Foot Progression Angle (FPA) has demonstrated potential in mitigating the progression of KOA. Wearable feedback methods, especially those utilising single IMU sensors, have gained prominence for their cost-effectiveness, time efficiency, and potential for real-world application in FPA alteration training. This study collaboratively advances the ""Knee Wear project"" with the objective of designing and validating an FPA estimating algorithm during straight-line walking using the Xsens DOT sensor.
Methods: This study proposed a calibration method for sensor-to-foot orientation by developing a sensor-to-shoe fixture for consistent sensor alignment with foot direction. While static calibration remained necessary, it eliminated the need for complete sensor fixation to the shoe or dynamic calibration before each use. The fixture's concept was verified by measuring sensor-to-shoe orientation post-fixture attachment to the shoe together with the sensor's fixating capability on the shoe. Additionally, the difference in FPA estimation utilising the dynamic and the fixture calibration method was examined. The FPA algorithm that estimated the FPA during the mid-stance phase, utilised the orientation estimation of the Xsens DOT sensor, in combination with a heading reset to compensate for magnetic disturbances. Two FPA estimation approaches were tested: one utilising the trajectory estimation of the stride and another the peak deceleration point during the late swing phase. Experiments on 13 participants (5 males, 8 females) aimed to validate the algorithm against an optical motion capture system under three walking conditions (natural, toe-in and toe-out walking) and the efficacy of the Xsens DOT in mitigating the effects of magnetic disturbances over prolonged use.
Results: The sensor's alignment to the foot after attachment of the fixture to the shoe provided consistency but had pronounced outliers ranging from -10° to 6,5°, yielding inaccurate FPA estimates utilising this calibration method. No change in the orientation of the fixture was noted during natural walking. However, fixture rotations of 1.1° externally and 0.6° internally were observed in toe-in and toe-out walking respectively. The dynamic calibration method and Peak Deceleration point algorithm yielded the most accurate FPA estimates, with mean absolute error (MAE) of less than 3.5° for all walking conditions. Notably, the FPA algorithm seemed to produce an overshooting FPA estimation, and its consistency decreased for higher FPA values. The FPA estimation over a period of 10 minutes suggested a potential minimal decline in accuracy over time, with an increase in 2,28° RMSE after 10 minutes.
Conclusion: This thesis contributes to the ongoing development of wearable technologies aimed at managing KOA. By the development of an FPA estimation algorithm, evaluating multiple calibration methods, and validating its accuracy and feasibility, this study is a step towards the “Knee Wear project’s” smart-wearable feedback device, simplifying gait retraining interventions for people with KOA. While the proposed fixture calibration method needs refining for foot direction alignment, the proposed FPA algorithm, especially when combined with the dynamic calibration method, provided accurate FPA estimations. While the accuracy of the method is not absolute, the MAE magnitude of less than 3.5° is accurate enough to provide feedback on the FPA. Additional research should be done on the use of the proposed FPA estimating method by the demographic intended for the FPA feedback device.","Foot Progression Angle Estimation; Sensor Calibration; Minimal Sensing; Knee osteoarthritis; Heading Reset; Wearable Feedback","en","master thesis","","","","","","","","","","","","Biomedical Engineering | Neuromusculoskeletal Biomechanics","",""
"uuid:b02fedd5-86ff-4b24-8421-c94d7795fa7b","http://resolver.tudelft.nl/uuid:b02fedd5-86ff-4b24-8421-c94d7795fa7b","Feasibility Study & Embodiment Design of a More Efficient Frying Pan for Commercial Kitchens","de Vries, Wytze (TU Delft Industrial Design Engineering)","Minnoye, A.L.M. (mentor); van de Geer, S.G. (graduation committee); Tempelman, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","This design report discusses the embodiment design of a more efficient frying pan for gas powered stoves in commercial kitchens, to see if a potential market entry is feasible, viable and desirable. Conventional pans have a thermal efficiency of roughly 25%. This means that only 25% of the heat coming from the combusted gas is used for cooking. The rest - 75% - is not being absorbed by the pan and is wasted as heat to the kitchen environment.
In the beginning of 2022, a start-up called ‘NeoStove’ developed a proof-of-concept for a more efficient pan. It has proven that it is possible to double the thermal efficiency from 25% to 50%, at the cost of a higher product complexity. This increased complexity poses challenges in the domain of manufacturing, such as thin-walled fin structures to enlarge the effective surface area, as seen in heat sink configurations. A production process called high pressure die casting (HPDC) is used to achieve the required complexity and detailing that enable such a thermal efficient pan. The material used is an aluminium alloy, which is exceptionally suitable for the HPDC process. This process in combination with the alloy offers a set of benefits over a steel counterpart. First, aluminium is a metal with a high thermal conductivity that allows for excellent propagation of heat through the pan resulting in a beter heat distribution. Secondly, it is lightweight so it reduces physical strain on joints and ligaments of chefs, and results in a faster heat-up and cool-down time (Newton’s Law of Cooling). Thirdly, aluminium is relatively affordable and castable, which helps limiting production costs and puting a competitive product in the market.
From a user perspective, it is important to note that both the increased thermal efficiency and the added geometric complexity of the pan result in a slightly altered way of cooking. The design of a pan has remained more or less the same for thousands of years, so it might be difficult for a chef to adapt. The aim of the new design is to not hamper the workflow of the chef, but have it seamlessly integrated with their existing way of working. It is clear that a product manifesting itself in the harsh commercial kitchen environment requires a simple and robust setup, since physical abuse of kitchen equipment occurs on a regular basis. To illustrate, a typical frying pan with a synthetic non-stick coating has an average lifespan of 4 months among the restaurants interviewed in this study (n=27). The top three reasons for failure of a frying pan (end-of-life) are: 1) wearing-off non-stick coating, 2) warped base and 3) broken handle (-atachment). These challenges - amongst others - are tackled in this project with a set of design choices. First of all, the pan is hard anodized which results in an improved surface hardness and scratch resistance. Secondly, the fin geometry is designed in such a way that it improves thermal behaviour and structural integrity of the pan, preventing it from warping during thermal shock events and reduce the chance of damage from impact forces during drops. Lastly, the handle is issued with a three-point rivet atachment to the pan. This is the strongest analysed atachment technique that is seen on pans on the market, and it passes a 10 kg bending test.
The prototypes developed during this project have been pilot tested at 3 different restaurants and did not show any signs of wear during these multi-week trail periods. User inputs from the pilot tests are used to improve and iterate upon the design.","Design; Engineering; Sustainability; Thermodynamics; Manufacturing; Product Experience; Cooking; Efficiency; Energy","en","master thesis","","","","","","","","2024-09-11","","","","Integrated Product Design","",""
"uuid:237114f7-5afa-4c9c-a7b3-2176e5a62f6a","http://resolver.tudelft.nl/uuid:237114f7-5afa-4c9c-a7b3-2176e5a62f6a","Analysing the Usage of Corporate Environmental, Societal and Governance Data in the European Union Banking Sector","GANAPATHY SUBRAMANIAN SANKARAN, RISHAB (TU Delft Technology, Policy and Management)","van Beers, Cees (mentor); Gartner, J. (graduation committee); Scholten, V.E. (graduation committee); Ralcheva, Aleksandrina (graduation committee); Delft University of Technology (degree granting institution)","2023","In the current environmental conditions, with the rise of sustainable finance concepts like Environmental, Societal and Governance (ESG), firms in the financial sector have found new ways to drive sustainable change. Banks in particular play a key role in promoting sustainable practices both within their organization and also have a significant effect on the global economy. However, banks have had difficulties integrating ESG practices in their sustainable credit distribution products to corporate clients.
Due to the qualitative nature of ESG data, even with the involvement of regulatory bodies, there are no established standardized processes for ESG integration. Literature exhibits a clear knowledge gap on the utilization of ESG data in the credit risk assessment process. Furthermore, the primary barriers faced during this process have been attributed to comparability, materiality, accuracy, and reliability of ESG data quality with the lack of comparability having the most significant impact. Hence, based on the knowledge gap identified, the following main research questions were formed:
“How can banks in the EU effectively utilize corporate ESG data during the sustainable corporate financing process?”
By fusing a thorough literature review and 11 interviews of professionals from the banking industry, consultancies, and asset management companies, a process flow diagram was mapped highlighting the different phases of the sustainable corporate financing process. The main phases were comprised of: Opportunity, Due diligence, Determining need for ESG data, Sourcing ESG data, Corporate Sustainability Assessment, Setting KPI’s and Decision. Furthermore, during this process the primary barriers were classified as Data Quality Barriers (Lack of Materiality, Lack of Accuracy, Lack of Comparability and Lack of Reliability) and Integration Challenges (Lack of Data, Sourcing Data, Quantification of Data). Given the relevance of the comparability barrier, its effects were studied in more detail and was followed by proposing a conceptual framework bolstered by stakeholder theory, legitimacy theory and institutional theory to solve the barrier of Lack of Comparability. The relevant dependent variables in this framework were identified as: Data Harmonization Initiatives, Standardization Efforts, Industry Collaboration, Regulatory Interventions/Compliance and Client Engagements. As directed by the conceptual framework, banks were recommended to adopt the dependent variables Data Harmonization Initiatives, Standardization Efforts and Industry Collaboration and were further advised to help clients adhere to compliance. Furthermore, stakeholders' motivation and regulatory incentives were highlighted as key determiners in addressing the barrier. A processual validity approach was adopted to ensure validity throughout the research process which additionally consisted of validating the generated process flow and conceptual framework by relevant experts.
The outcomes of the explorative research showcased the intricacies in the sustainability credit risk assessment and the stakeholders involved while highlighting the interrelation between the barriers identified. Though the lack of a comparability conceptual framework was proposed to be a barrier with significant effects, during the interview analysis it was revealed that the advent of the Corporate Sustainability Reporting Directive (CSRD) would have a significant effect on this barrier and that challenges like sourcing ESG data and lack of ESG data would be more relevant in a few years. Although the conceptual framework accounted for this, some of the limitations in this research study were the inability to recruit more interviewees and also the inability to gather interview data from an external data provider to retrieve a true holistic approach. For future research, studies are recommended to research the effects of the implementation of CSRD and to study the state of other pressing barriers.","ESG data; Sustainable Finance; Banks","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:d2002277-1479-473d-8586-d7db234cf7e9","http://resolver.tudelft.nl/uuid:d2002277-1479-473d-8586-d7db234cf7e9","Assessing the technical and economic viability of implementing a fuel cell-battery system in a cable-laying vessel: The impact of adding a methanol-fuelled SOFC and batteries to the power plant of the NEXUS on the emissions, design and performance of the ship","Verbaan, Floris (TU Delft Mechanical, Maritime and Materials Engineering)","Gelling, J.L. (mentor); van Biert, L. (graduation committee); de Vos, P. (graduation committee); Burg, M (mentor); Delft University of Technology (degree granting institution)","2023","This master's thesis addresses the urgent need to reduce the marine industry's environmental impact. Van Oord, a marine player, aims to achieve carbon neutrality by 2050. The study investigates integrating methanol-fueled Solid Oxide Fuel Cells and batteries into the cable laying vessel NEXUS's power plant.
The research shows that integrating these technologies can offer acceptable operational capabilities and substantial greenhouse gas emissions reductions in the medium term. However, short-term implementation faces challenges due to current SOFC limitations.
Economically, long-term fuel cost savings make this integration viable, despite high initial capital expenditure.
The study includes a literature review favoring SOFCs and methanol for cable laying vessels. The case study proposes a 1926 kW SOFC and a 1195 kW battery pack integration, resulting in significant fuel consumption and emissions reductions while complying with regulations.
In conclusion, integrating methanol-fueled SOFCs and batteries in cable laying operations presents an opportunity for efficiency improvement and GHG reduction, with long-term economic benefits. This supports Van Oord's commitment to carbon neutrality and addresses the marine industry's environmental challenges","Emissions reduction; Van Oord; Carbon neutrality; Fuel cells; SOFC; methanol; Power plant","en","master thesis","","","","","","","","","","","","Marine Technology | Marine Engineering","","51.905356141441644, 4.537903916503745"
"uuid:a29a09e1-500e-4553-b252-ebe9b5824f4b","http://resolver.tudelft.nl/uuid:a29a09e1-500e-4553-b252-ebe9b5824f4b","Design of a patient-tailored 3D-printed HDR brachytherapy applicator for the treatment of cervical cancer","Pool, Lotte (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Medical Instruments & Bio-Inspired Technology)","Straathof, R. (mentor); van de Berg, N.J. (graduation committee); Dankelman, J. (graduation committee); Sakes, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Brachytherapy is a type of internal radiation therapy that is used to treat cervical cancer. It involves the application of a radioactive source in close proximity to the tumour. This can be done either by directly inserting the source into (or close to) the tumour using interstitial hollow needles, or by loading the source into an intracavitary applicator that is placed in the vaginal cavity. Standard applicator types may lead to suboptimal radioactive source placement, possibly resulting in underexposure of target volumes and overexposure of organs at risk, especially in advanced cancers. Customised applicators and optimised needle channels based on the patient's MRI/CT data could enhance conformity between target volumes and prescribed isodose. Hence, the goal of this project was to develop and validate a design for a 3D-printed brachytherapy applicator which geometry is based on the patient's vaginal cavity and which features optimised interstitial needle channels based on the patient's anatomy and tumour location.
Various analyses have been conducted which have led to the establishment of a list of requirements for the applicator design. Based on this list of requirements, two conceptual designs have been presented: one fully 3D-printed design and one design that is clicked on the Geneva ovoid tubes. Through the creation of prototypes, these conceptual designs have been refined into two final designs which were manufactured in PA12 using selective laser sintering. The dose attenuation properties of PA12 were evaluated and compared to that of water. Furthermore, the potential needle positions within the proximal end of both designs have been analysed. For both designs, a final prototype based on a phantom's vaginal cavity geometry has been created. The usability of these prototypes has been tested by three radiotherapist-oncologists, who also provided feedback on the designs. Upon analysing their feedback and the outcomes of the other evaluations, recommendations for future designs have been formulated.
The conducted dosimetry experiment yielded a maximum difference of 0.8% between the average percent dose depth curves of water and PA12, which can be considered a water-equivalent response. This allows PA12 to be used as the material for the applicator. The result of the potential needle position analysis suggest that the first design provides more space for personalised needle channels in the top of the applicator compared to the second design. The three radiotherapist-oncologists validated the usability of both final prototypes.
Two designs of a patient-tailored 3D-printed brachytherapy applicator containing optimised interstitial needle channels based on the patient's anatomy and tumour location have been presented, produced and validated. Based on the outcomes of the conducted evaluations, there can be concluded that the first concept shows the most promise to be used as a design for a patient-tailored 3D printed brachytherapy applicator. However, to ensure the proper functioning of the working principles, further development is required. If the recommended improvements are implemented, the design has the potential to be used as applicator in the treatment of cervical cancer.","brachytherapy; 3D printed; design","en","master thesis","","","","","","","","2025-09-19","","","","Biomedical Engineering","",""
"uuid:be78152d-df6c-47d8-868d-60dc93c23397","http://resolver.tudelft.nl/uuid:be78152d-df6c-47d8-868d-60dc93c23397","Automated detection of failures based on service records","Boroni Grazioli, Andrea (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Statistics)","Chen, P. (mentor); Jongbloed, G. (graduation committee); Yu, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the ever-increasing need to reduce the use of fossil fuels, Tesla is accelerating the world's transition to sustainable energy. This means replacing all internal combustion vehicles with electric ones over time. The growing number of Tesla vehicles on the road poses interesting scaling challenges for all departments especially for the Service Engineering team. To help prioritize issues and reduce service costs, frequencies, and duration, a way to generate an overview of all the costs separated by types of repair is needed. This thesis aims to automatically generate such an overview by borrowing techniques used in Natural Language Processing. In particular, the LDA and GSDMM algorithms for topic generation are tested. Additionally, a novel method based on the cross frequencies of items is presented. Methods are also presented to compute the novelty of every topic and a new metric, here called the growing score, is introduced as a mean to monitor the frequencies of the topics over time. The methods are applied to service records data from Tesla and the results are analyzed in detail. The results are also enhanced by computing additional information regarding costs for all parts of the service visit and also the configurations of the cars. It is found that the novel approach for the topic generation and the novelty and growing scores produce useful information that can be used to optimize and avoid the need for service procedures to reducing total cost of ownership.","LDA; trend analysis; topic generation","en","master thesis","","","","","","","","2025-09-18","","","","Applied Mathematics","",""
"uuid:56715cd2-b163-4114-8b30-4af017422517","http://resolver.tudelft.nl/uuid:56715cd2-b163-4114-8b30-4af017422517","Hybrid Propelled Access to Space: Feasibility Study on a Hybrid Propulsion Concept for the Mk-III Spaceplane","Peeters, Michiel (TU Delft Aerospace Engineering; TU Delft Space Engineering)","Naeije, M.C. (mentor); Delft University of Technology (degree granting institution)","2023","In pursuit of cost-effective and sustainable space access, Dawn Aerospace is pioneering innovative launch systems. Their focus lies on a semi-reusable, two-stage-to-orbit launch vehicle, designed for horizontal take-off and landing. While current launch vehicles rely solely on rocket propulsion, the potential of airbreathing engines on the first stage is explored. Airbreathing engines offer increased fuel efficiency and utilize the incoming airflow as oxidiser.
This study delves into the feasibility of integrating airbreathing propulsion into Dawn Aerospace's Mk-III vehicle, with a goal to reduce gross take-off mass while meeting mission requirements. Airbreathing engine types are evaluated, with ramjets and turbine engines emerging as primary candidates. Detailed design processes, including vehicle modeling, trajectory analysis, and optimization, are employed.
The study reveals the potential of the ramjet concept which could have a lower gross take-off mass compared to fully rocket powered designs. Nevertheless, the application requires an improved vehicle design to obtain a feasible design.","Airbreathing; Propulsion; Launch Vehicle optimization; Reusable Launch Vehicle; Feasibility study","en","master thesis","","","","","","","","2025-09-18","","","","Aerospace Engineering","",""
"uuid:719f98c2-f18b-483b-921e-ab2733ffb9f0","http://resolver.tudelft.nl/uuid:719f98c2-f18b-483b-921e-ab2733ffb9f0","counterAKT: Design of a two-component passive dynamic sunshade combining shape memory alloys and knitted textile","Lanfranco, Valerio (TU Delft Civil Engineering & Geosciences)","Popescu, M.A. (mentor); Schipper, H.R. (graduation committee); Luna-Navarro, Alessandra (graduation committee); Peters, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","To lessen our influence on the environment, we are moving towards solutions that can meet our needs of shelter, nutrition, mobility, communication, and health with little to no energy or resources. In the construction industry, adaptive façades have gained attention for their capacity to adapt to changing conditions. These façades can modify their state in response to varying factors such as temperature and sunlight. This adaptability offers potential benefits in energy efficiency and occupant comfort, making them significant in architectural advancement. Despite being promising, these technologies are seldom used in buildings because they consist of numerous components and intricate mechanisms that can hinder their overall lifespan. To find more straightforward solutions for these systems, designers and researchers have focused on Smart Materials in combination with passive strategies. Shape Memory Alloys (SMAs), in particular, have found applications in diverse designs owing to their dual functionality as temperature sensors and actuators. SMAs have the remarkable ability to ‘remember’ their original shape and can revert to it when subjected to specific temperatures. This unique trait enables them to serve as both sensors, by detecting temperature changes, and actuators, by initiating shape changes in response to those shifts. However, current SMA-based sunshade designs do not provide visual contact with the exterior, as they continuously block the occupant’s field of view. This work aims at lowering the mechanical complexity of SMA-based sunshades while maintaining thermal comfort and visual contact with the exterior. The first part highlights the significance of precise control strategies for effective sunshade designs. The research emphasizes the importance of tailored control methods aligned with climate conditions. The conclusion underscores that an optimal sunshade design must balance cooling demand reduction, thermal comfort maintenance, and opening hours. Mechanical designs should minimize components while ensuring maximal stroke capabilities. The mechanical design of the counterAKT system exhibited promising stroke results despite not reaching the 200% benchmark. Further research avenues are suggested for textiles and Shape Memory Alloys (SMAs). Integration of findings from both materials is essential for realizing a complete working counterAKT system. Nodal thermal modeling provided insights into the major factors influencing SMA temperature in the counterAKT system. Solar radiation and convective heat transfer were identified as key contributors. The conclusion recommends future research to shift reliance from outdoor air temperature to solar radiation by enhancing emissivity, reducing convection losses, and concentrating solar radiation on the SMA. Finally, The system’s feasibility is demonstrated through a small-scale prototype, affirming its practical viability and potential applicability.","passive dynamic shading; adaptive façades; shape memory alloy sunshade; CNC knitting","en","master thesis","","","","","","","","","","","","Civil Engineering | Building Engineering | Building Physics and Technology","",""
"uuid:56650226-71c8-48cc-b807-860bb31b220c","http://resolver.tudelft.nl/uuid:56650226-71c8-48cc-b807-860bb31b220c","CCS: A Framework for Development: A Policy and Project-oriented Framework for the Evaluation of Integrated Carbon Capture and Storage (CCS) Projects; a Case Study Approach","Berck, Alexander (TU Delft Technology, Policy and Management)","Ramirez, Andrea (graduation committee); Ibarra Gonzalez, P. (mentor); Nikolic, I. (graduation committee); Delft University of Technology (degree granting institution)","2023","As the world grapples with the escalating challenges of climate change, Carbon Capture and Storage (CCS) emerges as a crucial solution, especially for decarbonizing dominant industries such as steel, cement, and petrochemicals. The Intergovernmental Panel of Climate Change (IPCC) and the International Energy Agency (IEA) have highlighted the potential of CCS in addressing the pressing need for large-scale decarbonization. However, the widespread adoption of CCS is hindered by various challenges, including financial, organizational, technical, and governance aspects. Within this context, the research delves into the central question: ""How can an evaluation framework combining both project- and policy-related factors provide a better understanding of the deployment of full-chain CCS projects, and how is it developed and implemented?""
To address this pivotal question, the study employs a multifaceted methodology. Literature research, semi-structured expert interviews, the Best-Worst Method, and a project maturity table are utilized to derive comprehensive insights. Factors influencing CCS projects are systematically categorized into six groups: political-legal, economic, social, technical, ecological, and organizational. Additionally, the research presents two in-depth case studies, ROAD and Longship, offering a practical lens into the challenges and triumphs of real-world CCS projects. The culmination of the research is a robust evaluation framework that provides a systematic approach to assess various facets of CCS projects. This framework emphasizes critical areas for resource allocation, stakeholder communication, legal clarity, and financial stability. In essence, this research not only addresses its foundational question but also crafts a multifaceted tool that stands to benefit stakeholders, guiding informed decision-making and enhancing the prospects of CCS projects' success and longevity.","Carbon capture and storage; Evaluation framework; Project development; Case studies","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:cc89f8e1-d1c6-4326-9290-6bd1bc1ddded","http://resolver.tudelft.nl/uuid:cc89f8e1-d1c6-4326-9290-6bd1bc1ddded","Improving the Workflow of Radiation Oncologists: Facilitating Data-Enabled Pre-treatment Process Optimization in Radiotherapy","Jayaram, Sampada (TU Delft Industrial Design Engineering)","Melles, M. (mentor); Houwen, J. (graduation committee); Galje-Bol, Ingrid (graduation committee); Delft University of Technology (degree granting institution)","2023","Every year in the Netherlands, approximately 100,000 patients are diagnosed with cancer (https://www.nki.nl/.) . With these numbers on the rise, and a shortage of staffing, there is an increased workload on the existing professionals to work fast, despite the complexity and meticulous nature of radiotherapy planning. There are multiple obstacles that may cause a buildup and inability for a smooth flow of a patient through the system, sometimes causing oncologists to lose track of the patients' situation in the care pathway. This further builds onto the pyschological stress owing to their sense of responsibility towards the patient in the process, and to their need to preserve their control over the patient's treatment process.
With increasing demand to work with complex systems and technology, there is also an increasing reliance on the smooth operations and uniform working methods of other professionals and colleagues for the radio oncologist's smooth workflow. But there are currently some unaddressed operational and working issues faced by the Erasmus radiotherapy department that attribute to inefficiencies, delays, and loss of patient status in the pre-treatment process. Hence there is a strong motivation to explore this space through the project, where there is currently a lack of solutions towards optimising and supporting the professionals in this stage of the process.
The design hence focuses on supporting oncologists in the treatment planning phase by providing real-time patient status, assistance, and prioritization of tasks and administrative work in order to minimize disruptions and gain control over their workflow, such that oncologists can uphold their sense of accountability towards their patients, themselves, and the organizational expectations and alleviate their fear of losing the patient to the system.
The end result is an omnichannel solution that works on two levels- through an iPad-based solution for the radiation oncologists to help manage their workflow, as well as a data collection strategy for the managers to capture valuable data throughout the pre-treatment process to identify delays and inefficiencies.
The project employs both UX as well as system-level approaches in order to cater to professional, process-related, and organizational needs to ultimately reduce patient waiting time and alleviate workload.
In this context, we introduce NEOX-V, a cutting-edge RISC-V based GPU Processor optimized for GPGPU and AI workloads. However, the current NEOX-V product lacks timing information in its simulator. This has prompted us to use it as a case study to bridge the gap between accuracy and execution time in timing simulators. This is achieved through the implementation of a new feature: a timing simulator that utilizes event-driven modeling.
To assess the proposed simulator's accuracy and effectiveness, we employ a comprehensive validation framework, using diverse workloads and configurations, from simple micro-benchmarks to intricate AI tests. The results demonstrate that the timing simulator achieves an accuracy error below 8\% when compared to the RTL equivalent for all applications, with a marginal increase in actual simulation time of only 0.7\%. It is worth noting that the timing simulator's utility extends beyond predicting execution time; it also plays a crucial role in verifying the existing design and uncovering its limitations.
Overall, this thesis makes a significant contribution to the field of computer architecture by providing a powerful tool for the design, development, and evaluation of an embedded hybrid GPU-AI accelerator called NEOX-V. It is our hope that this work will inspire further research and development in this exciting and rapidly evolving field.","AI accelerator; Timing simulator; RISC-V; Event-driven modeling; Accuracy-Efficiency trade-off; GPU","en","master thesis","","","","","","","","2025-09-15","","","","Computer Engineering","",""
"uuid:56acd186-75a8-4109-a56d-ba411433c297","http://resolver.tudelft.nl/uuid:56acd186-75a8-4109-a56d-ba411433c297","Failure Mechanisms of Balanced Armature Receivers under Drop and Shock Loading Conditions","Haayen, Bas (TU Delft Mechanical, Maritime and Materials Engineering)","Delft University of Technology (degree granting institution)","2023","Balanced armature receivers are electroacoustic transducers that are mostly integrated in hearing aids or pro audio products. The balanced armature receiver is a key component for hearing aids as it converts the processed electrical signal into sound waves. Failure of the balanced armature receiver are problematic for the end user. The receivers are tested under shock loading conditions. But in order to understand the failure mechanisms that arise, it is important to gain insight in the mechanical response of the structure. A combination of Finite Element Analysis, experiments and measurements will help understanding the failure mechanisms in more depth what will lead to creating more robustness which has value for the end used.
It is important to understand how mechanical shock loads affect the receiver. The performance is measured as sound pressure output and Total Harmonic Distortion (THD) before and after shocking the receiver at different acceleration levels. To properly study the impact analysis of the receiver and building virtual prototypes it is essential to have a controlled shock environment suitable for repeatability. A half sine pulse is derived from the measurement results of the impact test set-up. The explicit finite element software, Ansys LS-Dyna, is used to model the shock wave during impact. In parallel, different experiments and measurements are performed to gain confidence in the FE models. One of the major challenges in measuring impact responses in this study is the size and the closed casing of the receiver. It is possible to test difference components separately to gain confidence. The armature is tested separately for its eigenfrequencies and mode shapes. The deformation of the membrane is measured before and after shock via an optical profiler.
The results of the FE models indicate that two components, the armature and membrane, are
the most prone to damage. To highlight the critical regions, a high input acceleration of 19000 [g] is applied in the FE model. The von Mises stress in the hinges of the membrane exceed the yield criteria, which leads to plastic strain which results in a permanent deformation of approximately 25 𝜇m in the hinge. The armature experience high stresses beyond the yield criteria in the hinge region and around the drive pin. The result of these plastic deformations lead to an increase in the total harmonic distortion.
The virtual prototype with a 50 𝜇m thicker membrane resulted in a more robust response. The internal
energy, energy stored due to deformation, and plastic strain in the hinges has decreased. The improved robustness does decrease the sound pressure output. Adding shock plates between the permanent magnets and the armature resulted in a decrease of internal energy. The maximum contact force between the armature against the magnets went down with almost 20%. Changing the material of the shock plates to Rubber Nitrile provided more improvement of the robustness of the balanced armature receiver.
In addition to the harsher environment they are facing, these wind turbines are mounted on floaters and therefore experience motions in the six additional degrees of freedom. As a result, this greatly alters their aerodynamic behaviour. The flow surrounding the rotor gains in complexity, becoming highly unsteady and three-dimensional. Thus, its resolution by numerical means calls for high-fidelity methods such as Large-Eddy Simulations (LES).
The objective of this study is to numerically impose single and coupled motions in the pitch and surge directions on a scale rotor of the DTU 10MW. This scale model was used for an experimental campaign within TU Delft, and particular interest is given to the comparison of the loads obtained.
Additionally, numerical simulations permit access to further information, such as the radial distribution of the loads or the wake development.
For this purpose, the LES code YALES 2 is used, implemented with an actuator line approach capable of dealing with imposed motions. Both 1-DOF and 2-DOF harmonic motions are imposed in the pitch and surge directions. Different reduced velocities and frequencies are considered.
On single-imposed surging motion, the loads are found to be well in accordance with the quasisteady theory (QST), even at high frequency, where much larger fluctuations were encountered during the experiment. Particular phenomena are also captured in the wake, such as the formation of vortex rings when the frequency is sufficiently high. Similar comments are made for 1-DOF pitching. Finally, for combined pitch/surge motions, the loads are also in accordance with the QST predictions made from the 1-DOF results. The influence of each motion on the wake’s development is also discussed.
Keywords: Floating offshore wind turbine (FOWT), Large-eddy simulation (LES), Actuator line method (ALM), Coupled imposed motions, pitch, surge","Floating offshore wind turbine (FOWT); Large-eddy simulation (LES); Actuator line method (ALM); Coupled imposed motions; Pitch; Surge","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Aerodynamics","",""
"uuid:9e3f453f-fbfd-46d3-9694-1337daf1b5b5","http://resolver.tudelft.nl/uuid:9e3f453f-fbfd-46d3-9694-1337daf1b5b5","Cohesive Sediment Erosion Induced By Coandă-Effect-Based Polymetallic-Nodule Collector: Small-scale experiments","Suleman, Mohammed (TU Delft Civil Engineering & Geosciences)","Alhaddad, S.M.S. (mentor); Kirichek, Alex (graduation committee); van Rhee, C. (graduation committee); Chassagne, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","The increasing demand for rare minerals, such as lithium, cobalt, and copper, driven by the growth of the world population and the transition towards sustainable energy technologies, has become a pressing concern. These minerals are crucial for electrifying the transportation sector through electric vehicle production and are in high demand for the thriving high technology industry. However, their scarcity and high prices due to supply shortages necessitate alternative sources to meet these demands. In recent years, deep-sea mining has emerged as a promising solution to address the growing need for rare minerals. The vast potential reserves in the ocean floor offer an enticing opportunity for exploration and extraction. However, deep-sea mining comes with its engineering and environmental complexities that require thorough investigation and understanding. This research delves into the experimental study of a Coandă-Effect-Based Collector, aiming to understand its behaviour regarding water entrainment and cohesive sediment erosion. The focus is on understanding the collection mechanism to minimize clay pickup and maintain low clay concentration in the discharged mixture. This is vital in mitigating the impact of deep-sea mining activities on the marine environment. This research provides valuable insights into water entrainment and cohesive sediment erosion in the context of the Coandă-Effect-Based Collector. The findings emphasize the significance of operating parameters and shed light on the complexities of the collection mechanism. Future studies should explore additional data collection to understand the influence of the secondary jet duct better and employ reliable methods for measuring clay concentrations in the discharged water. Overall, these findings have important implications for optimizing collector design and mitigating the environmental impact of nodules mining activities.","Cohesive; Erosion; Coandă; Collector; Nodule; Turbidity; Entrainment; Jet; Impingement","en","master thesis","","","","","","","","2025-09-08","","","","Civil Engineering | Structural Engineering","",""
"uuid:2b7c1469-cc35-4068-841d-2a133e944a61","http://resolver.tudelft.nl/uuid:2b7c1469-cc35-4068-841d-2a133e944a61","A future vision and concept for NS train stations","van Bussel, Ghislaine (TU Delft Industrial Design Engineering)","van Dijk, M.B. (graduation committee); Sypesteyn, M. (mentor); Delft University of Technology (degree granting institution)","2023","This report presents the process of defining a future vision and concept for NS train stations in 2030. This graduation project, in partnership with NS, seeks to explore the future context of NS train stations, taking into account various factors, including societal themes. The ViP method (Hekkert and Van Dijk, 2011) guided the process of exploring the future of NS train stations and shaping a vision on it.
The basis of this exploration phase where 133 context factors: stable and changing building blocks of the future context. They formed a set of clusters, from which a framework was created. This framework has resulted in 12 vision statements, all design challenges for the NS train stations in 2030.
From these 12 vision statements, strategic decision-making in collaboration with NS has led to the following design direction: To let travellers at the station relate to each other more as part of a community, than as separate, individual travellers. This design direction answers to the foreseen rising difficulties people will encounter when interacting with digital assets in the station environment. A simultaneous trend of rising individualism and flattened social connections, will lead to (increasing) social barriers in the station environment. These social barriers will hinder interactions between travellers and, more specifically, hinder travellers finding each other for asking and offering support. Connecting
travellers by enhancing the community spirit on train stations, aims to counter rising individualism and the social barriers that rise with it.
A focus on unmanned train stations is decided upon, since the design direction is projected to be most needed here as people are more dependent on each other.
Ideation has led to 4 ideas, all based on the following social concept derived from a food cabin analogy: connecting people while maintaining (the possibility of) anonymity. An evaluation of the ideas by testing, has led to the focus on
one of the ideas: Beleef de streek (Experience the region). This idea facilitates people to share their best tips for the environment surrounding the station and others to use these tips to discover this area.
The chosen idea is developed into a concept called Ontdek ‘n plek (Discover a place). The concept is supported by a definition and theory of sense of community (McMillan and Chavis, 1986), as it addresses the four elements outlined in this definition.
Decisions regarding the concept’s implementation, design, and materials are made to align with the following qualities: low-threshold, inviting, and valuable. The concept is envisioned to be placed in the glass waiting areas on unmanned train station platforms.
A hypothesis is set for the enhancement of the different elements of sense of community. The accuracy of the hypothesis is verified through a 0 and 1 measurement at the train station located in Hoorn. The addition of the concept to the station has shown a positive impact on travellers’ experiences and their sense of community. Questions about its value persist, but the potential of a social initiative for enhancing a sense of community is evident and remains vital for NS train stations in 2030.","Vision in Product Design; Sense of community; NS train stations; Individualism; Social connections","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:417d6027-21ed-4ddd-abf8-8f94171a6816","http://resolver.tudelft.nl/uuid:417d6027-21ed-4ddd-abf8-8f94171a6816","Shape changing Interior Textiles: for physical and psychological wellbeing","Chrysikou, Maria (TU Delft Industrial Design Engineering)","McQuillan, H.L. (mentor); Parisi, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis project is a research and design work created for the master’s diploma in Integrated Product Design, TU Delft, aiming to explore the relationship between woven textiles and product design in the context of interior spaces, through the lens of autonomous activation and motion for better living environment. Textiles can acquire shape shifting properties thanks to electronic actuators and other times thanks to the inherent properties of the materials themselves. In this case, using the Material Driven Design methodology, woven textiles were designed to be able to integrate Shape Memory Alloy wires acting as actuators without the use of electric current. The input is the heat from the environment and the output is the shape change and consequently the user’s experience while interacting with the product. Contribution to physical and psychological wellbeing is the ultimate goal of this interaction. The main tool for the exploration of the woven forms was the digital Jacquard TC2 loom which is known in the textile practice as a tool for quick iterations and intricate structures and patterns. After analysing the basic features of the materials through the tinkering process, four concept forms with different textile structures were created. These were tested on technical level to discover the biggest potentials of the system on shape change and on experiential level with user tests to distinguish the material qualities of the textile that promote wellbeing. Considering the findings from both of the studies, new considerations emerged and one final concept was created and manufactured. It represented an autonomous sun shading system to regulate natural light for interiors and thus provide thermal comfort. The project concludes with the final insights about weaving and shape change and any existing limitations and suggestions for further exploration and testing of the product.","SMA; Weaving; Self-actuation; Material Driven Design; Textile; Wellbeing","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:d6d911f8-d82b-46ec-a67f-8a946753f8e9","http://resolver.tudelft.nl/uuid:d6d911f8-d82b-46ec-a67f-8a946753f8e9","Adapting Earned Value Management to Different Project Levels Based on Complexity","Risang Aludityo, Risang (TU Delft Civil Engineering & Geosciences)","Elmohr, S.E.M.A. (mentor); Bakker, H.L.M. (graduation committee); Koolwijk, J.S.J. (mentor); Jager, Teun (mentor); Delft University of Technology (degree granting institution)","2023","The Construction Industry holds an important role by contributing around 6% to the Gross Domestic Product (GDP) based on data from Market Prospects. Despite this substantial contribution, numerous projects within the industry struggle to attain their objectives effectively. Project uniqueness, coupled with the impact of project complexity, leads to difficulties in project identification and inaccurate selection of control methods. Thus, appropriate project identification, control method selection, and monitoring techniques are vital to anticipating such issues. Earned Value Management (EVM) emerges as an advanced monitoring method to anticipate the issues that include scope, time, and budget as controlled variables within a project. However, there remains a research gap in how to effectively apply EVM to diverse project types to effectively monitor the project. Through this gap, therefore a research question emerges:
“How to adapt Earned Value Management to various levels of projects based on the project complexity?”
To answer this question, the study will first outline significant aspects within EVM and assess the maturity level of organizations implementing EVM. Van Oord is chosen as the study location to gather data on their EVM application. The study then delves into potential adaptations of EVM and its effective application across diverse project types.
The research yields several significant outcomes. Firstly, the core EVM aspects are grouped under Earned Value Analysis, encompassing Planned Value (scope, budget, schedule), Actual Cost, and Earned Value. Project variance analysis, forecast calculations, and corrective action analysis are also recognized as critical components of EVM. The next step is understanding the organization maturity level, where this study reveals that EVM partially implemented in the organization and only in selected projects, following the threshold of EVM Maturity Level standards.
The next step involves the formulation of frameworks. The ABCD EVM Framework is developed based on the modified EVM 10-step model, focusing on elements such as Earned Value Analysis and the ANSI/EIA-748 standard as modified EVM components. One impactful aspect, as per the ANSI/EIA-748 Standard, involves determining the Work Breakdown Structure level, influencing the level of detail in schedule planning, budgeting, and progress tracking. Additionally, other variations include frequency of variance calculation and project forecast estimation. Various other aspects such as changes, responsibilities, reporting, and organizational decisions outlined in the discussion chapter are also differ on each project levels.
Moreover, the EVM framework is generated through TOE complexity analysis. Out of the 47 TOE Elements, 29 elements are being identified as influencing elements for the implementation of Earned Value Management. By adhering to the scalability factor from the ANSI/EIA-748 Standard and considering interview outcomes, several suggestions are formulated for Earned Value Management activities. The objective is to anticipate the high-scoring TOE elements for each project. The EVM aspects influencing this framework mainly concern the Project Measurement Baseline Plan, Budget and Schedule Authorization, the level of detail in the Work Breakdown Structure, and the recording of allocation and management reports.
Conclusively, variation in the adaptability of Earned Value Management are shown in the resulted frameworks for variety of project levels, as well as becoming tools to improve the organization maturity level. For practical application, this study recommends organization to implement EVM using the ABCD project classification or similar project sizing measurement approach while integrating insights from the TOE EVM Framework. This holistic strategy can enhance project monitoring and control across various complexity levels, contributing to the successful and effective outcomes of projects in the construction industry.","Earned Value Management; Earned Value Analysis; Project Complexity; Project Classification; TOE Complexity","en","master thesis","","","","","","","","2023-09-15","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:4094260a-fef8-4df3-accd-fcde78cc9f12","http://resolver.tudelft.nl/uuid:4094260a-fef8-4df3-accd-fcde78cc9f12","Collective action within the pharmaceutical sector and lessons on taking effective climate action to reduce emissions: A case study on Johnson & Johnson","van Paassen, Jeroen (TU Delft Technology, Policy and Management)","Ghorbani, Amineh (mentor); Goyal, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","Situation
The constant increase in global temperatures is becoming more and more concerning for the future of our planet. The health of the planet is crucial for our existence and for the health of humanity, which is why we need to maintain and care for our environment. The pharmaceutical sector contributes to a lot of greenhouse gas emissions, and the total amount of emissions even exceeds that of the automotive industry. Pharmaceutical companies’ main goal is to improve human health, and therefore, contributing to a healthy environment is also crucial. Pharmaceutical companies have set ambitious climate goals that will need a lot of attention if they are to be fulfilled. More and more collective action initiatives are taking form, such as Energize and projects like Together for Sustainability (TfS). While a lot of progress is being made, collective efforts need to improve, and climate challenges need to be tackled collectively. Johnson & Johnson, as one of the largest pharmaceutical companies in the world, has committed to the SBTi targets and set ambitious goals for itself. They put a lot of emphasis on sustainability and aim to reach their targets across all its 250 subsidiary companies that are spread out over 60 countries.
Problem identification
As the climate impact of the pharmaceutical sector seems to be significantly large, it is important to understand how the climate targets of this sector can be fulfilled. Johnson & Johnson aims to source 100% of their electricity needs from renewable sources by 2025, achieve carbon neutrality for their operations, going beyond their Science-Based Target to reduce absolute Scope 1 and 2 emissions 60% from 2016 levels, and reduce absolute upstream value chain (Scope 3) emissions 20% from 2016 levels by 2030. They are currently on pace to meet their scope 1 and 2 targets. The scope 3 emissions, however, remain significantly larger than the scope 1 and 2 emissions combined. Scope 3 emissions come from other parties that a company works with, which makes influencing them more difficult. Collaboration is needed to stimulate companies across the value chain to contribute to sustainability. Collaboration could be in the form of various projects where companies engage in collective action to achieve certain common goals. Collective action means that multiple parties are engaged in a project that works towards a certain shared goal.
This research aims to explore the current challenges of the pharmaceutical sector with reaching emission targets and how collective action concepts impact the willingness of supplier companies to engage in collective initiatives that focus on reducing emissions. For this study, Johnson & Johnson will be analyzed to get a good understanding of the current state of the pharmaceutical sector...
These findings were translated into multiple design concepts, such as one with different modes of visualisation depending on anatomical landmarks. The most desirable concepts were combined into a navigation system, which in turn went through one expert feedback iteration. The final design comprises a system for surgeons to easily select anatomical landmarks through 2D medical imaging. This enables reaching and controlling desired points of view, which then can be orbited around. A high-fidelity prototype was implemented with Unity3D and evaluated. The mixed-method evaluation study with 3 expert HPB surgeons shows promise towards the overall usability of the navigation system and its clinical application. However, some aspects of the interaction, such as the selection of minor vessel branches and missing visual feedback for point-of-view changes, need refinement. These results illustrate a solid human-centred design direction for supporting surgeons in finding crucial landmarks and vascular interactions, as well as an approach to integrate 3D medical visualisation into established workflow with 2D imaging of HPB surgeons.
This thesis hopes to be a starting point for future iterations of the IIW. For example, using metaphors to help the surgeons establish a mental model of the 3D camera system could be tested, or scoping the main user focus to novice surgeons could be helpful to refine the design. Furthermore, this project could be relevant for other research and design endeavours that aim to inject 3D medical visualisation technology into existing workflows in a surgeon-friendly manner.
This study aimed to optimize the wing geometry of the Flying V aircraft to minimize induced drag under specific subsonic conditions (M = 0.6) and a given lift coefficient of 0.26. The approach combined a Vortex Lattice Method (VLM) with an optimization algorithm, specifically using the Athena Vortex Lattice (AVL) software for aerodynamics calculations. A low-fidelity method, such as a VLM, allows a faster and deeper exploration of the design space than a high-fidelity method like Computational Fluid Dynamics (CFD).
To improve the Flying V's design, a simpler parameterization was introduced to represent the complex model of the Outer Mold Line (OML) of the aircraft. It involved eight sections along half the wingspan. The inboard wing sections were parameterized in a wire-frame style, with the front part representing the location of the passengers' cabin, requiring fixed dimensions and inclination to accommodate a suitable cabin floor, and the aft part allowed to be rotated. The other sections' geometry was mainly described by the total inclination angle. The design vector included the aft angles of the first three sections, the total incidence angle of the last four sections, and the dihedral of the outboard wing, which is useful to ensure a straight hinge line for control power. A total of 8 design variables were utilized during the optimization process. Two aerodynamic constraints were implemented to ensure feasible optimized results. The first constraint was related to the resulting angle of attack computed by AVL based on the defined geometry and lift coefficient input. Such constraint was necessary to control the total inclination of the passengers' cabin during cruise. The second constraint was imposed as a measure to control the aircraft's stability margin. In addition, a simplified empirical viscous module was introduced to get a better estimation of the total lift-to-drag ratio and a sensitivity analysis was performed to assess the impact of each variable on the model’s output.
The final design showed a 4.38% increase in lift-to-drag ratio compared to the initial design and a 10.5% reduction in induced drag coefficient. Furthermore, the optimized lift distribution showed an averaged elliptical shape with respect to the initial design. This showcases the significant enhancements achieved in the aerodynamic performance of the optimized configuration.
Current literature on tape width deformation shows that the resulting tape width is influenced by several processing parameters such as temperature, pressure and placement speed. However, results from different studies do not agree with each other, indicating that the tape temperature distribution might be at play. Additionally, the conventionally considered tape width deformation mechanism i.e., transverse squeeze flow has been suggested to be incorrect for the AFP process as the experimental deformations do not agree with the results of the transverse squeeze flow model. Therefore, the research objective for this study was to experimentally investigate the width deformation mechanism and the influence of processing parameters for thermoplastic prepreg tapes using in-situ AFP manufacturing and humm3® (from Heraeus) as the heating device.
The specimens were manufactured according to a full-factorial Design of Experiments (DoE) with two settings (high and low) for the following processing parameters: heated length, nip-point temperature and compaction force. The tape width was measured for all the specimens to investigate the influence of the different processing parameters and some post-processing analyses were carried out to understand the tape width deformation mechanism. This included width measurement in the heating phase of the process, surface roughness analysis, tape cross-section profile inspection and fiber-resin content analysis.
From the post-processing analyses and investigations, it was found that the tape width deforms in the heating as well as the consolidation phase of the process. Additionally, the cross-section images show that the conformable roller led the tape edge profile to have a gradual decrease in thickness with a clear slope and the tape edges show a clear indication of spreading of the fiber-resin mixture due to the presence of both fibers and resin. Moreover, the surface roughness data show an indication of the role of temperature distribution because the as-received tape surface roughness was achieved for the higher temperature and longer heated length settings which are assumed to promote better heat distribution in the material.
The influence of the processing parameters on the tape width deformation did not show clear trends for all specimen configurations. However, the exceptions pointed towards the role of temperature distribution in the tape that led to the overshadowing of the effect of other processing parameters. Considering this, it was observed that the change in heated length did not have a significant effect on the tape width except for one configuration i.e., 300 N, 370 °C, wherein a clear increase with no overlap in data was seen. For the effect of temperature and compaction force, it was found that they have an influence on the tape width for temperatures lower than the melting temperature of the polymer resin (Tm). Additionally, the fiber straining effect on the tape width deformation with compaction force was suggested for the higher temperature specimens.","In-situ Automated Fiber Placement (AFP); Gaps and Overlaps; Humm3 Xenon Flashlamp; Width deformation; Thermoplastic","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:3fca1fca-b7d6-4abc-ad2f-960395ce451d","http://resolver.tudelft.nl/uuid:3fca1fca-b7d6-4abc-ad2f-960395ce451d","Classes of Semi-binary Phylogenetic Networks encoded by μ-representations","Reichling, C Z (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Discrete Mathematics and Optimization)","van Iersel, L.J.J. (graduation committee); Murakami, Yukihiro (mentor); Heinlein, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis is on the subject of phylogenetic networks. These are schematic
visualisations used mainly to investigate the evolutionary history of species,
but which can be used for any set of distinguishable elements which have diverged from a common ancestor through some evolutionary process. The research specifically focuses on a way to encode these phylogenetic networks, called μ-representation, which enables researchers to efficiently compare networks in polynomial time. The main contribution of this thesis lies in demonstrating that there are certain classes of phylogenetic networks for which the μ-representation or a modified version thereof serves as a unique encoding and can therefore be used to generate a metric for comparison. Additionally, it is shown that these results do not extend to some other classes of networks. Furthermore, this research shows that certain other information can be gained from analysing the μ-representation of a network, such as which nodes are adjacent to so-called bridges or cut-edges, and what the in-degrees of the nodes in the network are.","Phylogenetic Networks; Encoding; Orchard; Semi-binary; mu-representation; Non-binary; Bridges; metric; cut-edge; reticulation-visible","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:935a38bc-09a6-47c8-9f1d-c2284da437a3","http://resolver.tudelft.nl/uuid:935a38bc-09a6-47c8-9f1d-c2284da437a3","Semi-centralised hydrogen production: A techno-economic analysis of offshore wind-to-hydrogen configurations","Blok, Jesse (TU Delft Electrical Engineering, Mathematics and Computer Science)","Zaaijer, M B (mentor); von Terzi, D.A. (graduation committee); van Wijk, A.J.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The escalating demand for green hydrogen as a sustainable energy carrier has sparked significant interest in offshore wind-to-hydrogen systems, which hold the promise of expediting the transition towards renewable energy sources. The objective of this research is to provide insight in the techno-economic feasibility of semi-centralised electrolysis in an offshore wind farm. The semi-centralised offshore wind-to-hydrogen configuration will be compared with centralised and decentralised offshore wind-to-hydrogen to potentially reduce the levelised cost of hydrogen (LCOH) in future wind-to-hydrogen production designs.
This research was conducted in collaboration with Vattenfall, a leading player in offshore wind energy within Europe, who recognizes the potential of green hydrogen as a key driver in the ongoing energy transition. Vattenfall provided access to an in-house wind farm layout optimisation model to create optimised wind farm layouts as well as site specific data for the case study. This model and data allowed a narrowed focus on the hydrogen aspects of the wind-to-hydrogen configurations.
The technical examination explores crucial elements such as the conversion of wind energy into hydrogen through electrolysis, hydrogen transmission and variances in offshore substations and hydrogen wind turbines, to understand the technical differences between the different offshore wind-to-hydrogen configurations. Additionally, by analysing the hydrogen production process and comparing the scale of hydrogen production in offshore substations or hydrogen wind turbines, the study exhibits the technical feasibility of a wind-to-hydrogen farm with numerous semi-centralised monopile hydrogen substations in comparison with wind-to-hydrogen farms consisting of a single centralised jacket hydrogen substation or decentralised hydrogen wind turbines.
To enable a quantitative comparison of the different offshore wind-to-hydrogen setups in the economic analysis, the LCOH for each configuration was modelled. This process involved creating wind farm layouts and calculating the associated cost for a variety of offshore substations using Vattenfall's optimisation model. Moreover, aspects such as hydrogen production, the dimensions and cost of hydrogen pipelines, and the weight and expense of offshore hydrogen facilities were modelled to estimate the costs associated with hydrogen production and transmission for each configuration.
In the economic analysis, a detailed case study is conducted. The research investigates cost drivers, including wind farm expenses, hydrogen substation investments, and energy transmission infrastructure costs. The results reveal the economic viability of the semi-centralised configuration. The findings highlight the importance of considering monopile load capacity and substructure costs in determining the optimal number of hydrogen substations for semi-centralised configurations. However, the decentralised configuration exhibits a 5\% lower LCOH compared to the centralised and semi-centralised configurations due to the lack of additional substructures and high voltage electrical equipment.
In conclusion, this research contributes comprehensive insights into the techno-economic feasibility of semi-centralised offshore wind-to-hydrogen configurations. The findings highlight the potential of semi-centralised configurations and call for further research and optimisations. Unlocking the potential of semi-centralised offshore wind-to-hydrogen configurations can drive the transition toward sustainable and renewable energy sources.","Hydrogen; Wind energy; Electrolysis; Configurations; offshore wind","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:7dc294dc-1b76-4052-93fd-3aad2dd2f9cb","http://resolver.tudelft.nl/uuid:7dc294dc-1b76-4052-93fd-3aad2dd2f9cb","Structural assessment of monopile-based support structures of offshore wind turbines for hydrogen production facilities","Verbist, Tom (TU Delft Mechanical, Maritime and Materials Engineering)","Delft University of Technology (degree granting institution)","2023","Ever since the commissioning of the first offshore wind farm in October 1991, the offshore wind market has experienced exponential growth all around the world. As more wind farms will be built offshore in the future, onshore grid connection and transmission capacity may not be sufficient to integrate this growing amount of offshore wind farms. On top of that, current wind energy is being dissipated due to a mismatch between offshore energy supply and onshore demand. This combined with the current search for renewable sourced hydrogen poses the question of directly producing hydrogen offshore. Historically, the monopile foundation has been an important factor in cost reduction due to its ease of fabrication, transportation, and installation and therefore accounts for 80 % of bottom-founded offshore wind foundations. The question arose if decentral hydrogen production on a monopile-based support structure of an offshore wind turbine would be structurally feasible. The goal of this research is to define the differences in support structure geometry and assess the changes in the design methodology of an offshore wind turbine support structure, including a decentralized hydrogen production platform. Looking into future developments, a 15 MW reference turbine is selected for a water depth of 45 m in the F3 sector of the North Sea. To obtain platform mass, dimensions, and rotational inertia, all required systems are selected, listed and an optimized platform layout and mass estimation are made. For the design of the platform support beams, gravitational loads and extreme wind gust loads were taken into account. The selection of the support structure concept is performed using a Multi-Criteria analysis. To obtain the monopile design of a support structure with and without the production platform, a static monopile geometry optimization tool is constructed in Excel. The tool optimizes support structure geometry by locating structures' first natural frequency to a set target frequency. For this, it uses maximum ULS loading of aligned wind and waves and checks 3 governing ULS criteria (Global- and local buckling, and Von Mises Yield). The validation of this model is checked by 3 checks, including the redesigning of a previously made support structure by Enersea and by an Euler-Bernoulli beam simulation model in Maple.
For fatigue assessment, an analytical fully dynamical model is constructed in Maple. The structure is simulated by the equations of motions, including airy wave force, rotor damping, topside and platform mass and rotational inertia, embedded length and homogeneous soil stiffness. The maple model is used to simulate dynamic behavior of both structures, determine first and second natural frequency, and present displacements and overturning moments in these two mode shapes. Finally, a fatigue damage calculation including 500 combinations of wave height and period is performed, for a 25-year lifetime. A SCF for weld misalignment and can thickness variation of 1.06 is taken into account. A new support structure design is made for both support structures, optimized to a fatigue damage of 85 %, accounting for 15 % driving fatigue. The model is verified and validated by re-evaluating a fatigue damage calculation of a previous Enersea project, of which results only differ by 8 %. Lastly, a sensitivity analysis is performed to assess the impact of soil capacity, water depth, and platform mass on the dynamic behavior and fatigue damage of a structure including a hydrogen production platform. Platform design of an off-grid 15MW hydrogen production platform results in a hollow platform to accommodate a turbine tower of 25x25x6 m weighing 900 t. A monopile support structure with a separate transition piece and platform is the option of choice, selected by its ease of fabrication, transportation, installation, and O&M. In conclusion, no technical showstoppers were found in the monopile-based support structure design for a 15 MW OWT in 45 m water depth, provided 12 % more steel can be added to the support structure, thus being more costly. The addition of the production facility functions as a dynamic response amplifier at frequencies below first natural frequency and reduces dynamic response of the structure above first natural frequency. The dynamic response amplification of the platform around low excitation frequencies makes critical limiting factors for a conventional offshore wind support structure like water depth and soil capacity increasingly crucial for the design of a support structure including a hydrogen production platform.","Monopile; Hydrogen Production; Offshore wind; wind turbine foundation","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:f84088f0-db36-4f7c-93e4-c24f3f2b23c4","http://resolver.tudelft.nl/uuid:f84088f0-db36-4f7c-93e4-c24f3f2b23c4","A novel flexible ultrasound neurostimulator featuring a pitch-matched 2D array","Woerdman, Hidde (TU Delft Mechanical, Maritime and Materials Engineering)","Lopes Marta da Costa, T.M. (mentor); Delft University of Technology (degree granting institution)","2023","","2D ultrasound phased-array; flexible substrate; PZT; piezoelectric ultrasound transducers; ultrasound neurostimulation; polyimide; microfabrication","en","master thesis","","","","","","","","2025-09-13","","","","Biomedical Engineering","",""
"uuid:8e0f9eda-6a94-4c33-94e6-65de25a4b8c9","http://resolver.tudelft.nl/uuid:8e0f9eda-6a94-4c33-94e6-65de25a4b8c9","Settlement Predictions of The Noordtunnel: A Numerical Simulation","Prabendra Ardhan Atmakusuma, Prabendra Ardhan (TU Delft Civil Engineering & Geosciences)","Broere, W. (mentor); Dekker, Harry (graduation committee); Voorendt, M.Z. (graduation committee); Zhang, X. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Noordtunnel is an immersed tunnel open to roadway service since 1990 in The Netherlands. Over the past thirty years of its operation time, a significant differential settlement behaviour has been observed, and this ongoing settlement potentially imposes safety concerns to the tunnel, such as joint leakage. However, the underlying factor triggering this differential settlement behavior remains unknown. To ensure the tunnel's serviceability, this thesis aims to investigate the underlying causes of the occurring excessive settlement, predict future settlements, and assess its impact on tunnel structural safety within its designed lifetime.
The analysis starts by reconstructing the settlement time history of the Noordtunnel. The process involves determining the most reliable reference point and performing back analysis to estimate the settlement magnitude during the unmeasured period. Further, the settlement history is reconstructed by combining all the settlement data of all the periods. It is found that the settlement history at all immersion joints show a logarithmic trendline, with a maximum estimated settlement of about 94.36 mm occurring at immersion joint 2. Subsequently, the soil profile and geotechnical parameters were determined for the simulation. The provided Cone Penetration Test (CPT)s data and borehole ensure the soil profile depicted in the given situation map. Additionally, in the absence of laboratory data, the Hardening Soil Model (HS Model) and Soft Soil Creep Model (SSC) parameters are estimated based on CPT - NEN Table 2b correlations.
Afterward, the Two Dimensions (2D) Finite Element Method (FEM) simulations were carried out in PLAXIS (a commercial simulation software), while considering the variations of load acting on top of the subsoil. Two types of soil constitutive law were chosen to simulate the settlement in the Noordtunnel: HS Model and SSC Model. The optimum model, which can simulate the settlement behaviour in the field, was selected by aligning the simulation outcomes to the reconstructed historical settlement. The simulation results show that only settlement at immersion joint 2 has the same tendency as the SSC Model, while the other immersion joints tend to have a similar tendency to the HS Model. The simulation outcomes also indicate that excessive settlement at immersion joint 2 occurs due to the soft soil underneath the tunnel and the natural sedimentation on top of it. The soft soil is responsible for at least 20 mm of settlement, while the sedimentation contributes to a minimum of 8 mm of settlement during 30 years of tunnel operation. Subsequently, the sensitivity analysis is conducted to examine how much the simulation outcomes may deviate when accounting for soil variability in the field. Due to the narrow distribution of the reference values, adjusting the most sensitive parameter will only deviate the results by a maximum of 5.48% at immersion joint 2 and 4% at the other immersion joints. These results indicate that the model is robust enough and expected to generate reasonable future settlement predictions. An additional settlement of 15.13 mm of settlement is predicted to occur at immersion joint 2, while 4 to 5 mm of additional settlement is anticipated at the other immersion joints over the tunnel's remaining lifespan.
The differential settlement at the tunnel longitudinal direction has triggered element tilting and further induced compression and decompression to the GINA gasket at immersion joints. It has been observed that while uneven settlement contributes to joint decompression, the external forces acting on the GINA gasket remain considerably lower in magnitude compared to the overall friction force. Therefore, the impact of uneven settlement on the water tightness is generally minimal. Additionally, considering the limitations of the current monitoring procedure, an optimized monitoring plan based on the Distributed Optical Fiber Sensor (DOFS) system is proposed. Finally, future recommendations to improve the current thesis are also put forward.","Immersed Tunnel; Settlement; FEM; Predictions; Immersion joints; Leakage","en","master thesis","","","","","","","","","","","","Geo-Engineering","","51.85759576993236, 4.654055303002794"
"uuid:585335a1-4ae7-44f2-b6d1-1dcd1aea7f1a","http://resolver.tudelft.nl/uuid:585335a1-4ae7-44f2-b6d1-1dcd1aea7f1a","Exploring CI combustion of ammonia and hydrogen in an ICE with a single-zone thermodynamic model incorporating reaction kinetics","Jacobs, Isabelle (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Marine and Transport Technology)","de Vos, P. (mentor); Seykens, Xander (mentor); Visser, K. (graduation committee); Eker, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","This graduation thesis studies the CI combustion of ammonia and hydrogen in an ICE. It contains a review of the literature and a modeling study of the ignition and cylinder performance of the AmmoniaDrive test engine. AmmoniaDrive is a NWO-funded research project that aims to decarbonize shipping by introducing an ammonia-fuelled SOFC-ICE power plant for ships and other heavy-duty applications.
Ammonia (NH3) has unfavorable properties for combustion, such as a high heat of vaporization, narrow flammability limits, a high flame quenching distance, low flame speed, and most important: a high resistance to autoignition. Those properties have to be overcome and hydrogen (H2) is known to be capable of playing a role. As the properties of hydrogen are extremely high combustion speed, very wide flammability limits and a very short flame quenching distance. Unfortunately, both ammonia and hydrogen have a high resistance to autoignition, while CI engines need a fuel with low resistance to auto-ignition. For this reason, a carbon-based fuel, like DME or HVO, is considered necessary to achieve ignition.
The state-of-the-art experimentally achieved combustion concepts are an homogeneous charge compression ignition (HCCI) combustion concept of Pochet et al. [2020a] and an reactivity controlled compression ignition (RCCI) combustion concept of Chiera et al. [2022]. The HCCI combustion concept is fueled by NH3 and H2, without a carbon-based fuel. However, it requires a compression ratio (CR) of 22, a high intake temperature, and is limited by the maximum pressure rise rate (MPRR). The RCCI combustion concept is fueled by NH3 and diesel. This concept can achieve up to 81%e NH3, but still requires 19%e diesel.
A modeling study is done to investigate how to improve the CI combustion strategies, taking into consideration the context of AmmoniaDrive. The modeling study consists of two closed volume single-zone thermodynamic reactor models: the ignition model and the engine cylinder model. The ignition model is a constant volume model, resembling top dead center (TDC) conditions. The engine cylinder model simulates a closed volume from bottom dead center (BDC) to 90 CAD after TDC and incorporates volume change and the heat loss. Bothmodels make use of the chemical kinetic mechanism of Shrestha et al. [2018] to incorporate the combustion reaction. Due to the limitation imposed by the available species in chemical kinetic mechanisms, the carbon-based fuel in the modeling study is DME. For the future, hydrotreated vegetable oil (HVO) seems a more favorable carbon-based fuel, based on experimental results in a constant volume combustion chamber (CVCC) of Hernandez et al. [2023].
The results of the two models indicate that an HCCI combustion concept of ammonia and hydrogen, without a carbon-based fuel, will not ignite within the engine limits of the AmmoniaDrive test engine. An HCCI combustion concept of ammonia, hydrogen, with DME will ignite, but has a limited power output due to the MPRR. An RCCI combustion concept with stratification of DME throughout the cylinder looks promising based on the engine cylinder model results. Stratifying DME concentration, and with that the fuel reactivity, is likely to reduce the MPRR. This would allow for a higher power output due to the possibility to injectmore fuel energy without exceeding the engine limits.
Combining the literature and modeling results, it is likely that an RCCI combustion concept with ammonia, hydrogen, and HVO will lead to a higher power output and a decreased required amount of carbon-based fuel, whilst staying within engine limits.","ammonia; ICE; CI; compression ignition; hydrogen; Internal Combustion Engine; HCCI; RCCI","en","master thesis","","","","","","","","","","","","Marine Technology | Marine Engineering","",""
"uuid:7b0eb6bb-323f-44e8-b994-28dd8c9c9467","http://resolver.tudelft.nl/uuid:7b0eb6bb-323f-44e8-b994-28dd8c9c9467","Indoor in-network asset localization using Crownstone network","MARATHE, VISHAKHA KIRAN (TU Delft Electrical Engineering, Mathematics and Computer Science)","Rajan, R.T. (mentor); van der Marel, M.P. (graduation committee); Stellingwerff, Ludo (graduation committee); Verhoeven, C.J.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis project has been done with Crownstone, a subsidiary company of Almende. One of their project goals was to develop indoor localization algorithms to determine room-level location of an asset within the Crownstone network for smart-building, home automation and healthcare applications. An asset is a wireless device transmitting Bluetooth messages that are heard by Crownstones(sensors) and measure the strength of the received signal(RSSI). The terms position and location are used to denote two different concepts in this thesis. Position refers to estimating the specific coordinates, whereas a location refers to a much wider space like a room. In this thesis, we are interested in getting a location estimate.
There are two most widely used and researched localization techniques to determine the location of the asset. First, a model based(MB) method (e.g. Trilateration algorithm) which uses a mathematical model based on distance and second is a data-driven(DD) method (e.g. Fingerprinting algorithm) that relies on existing data, like RSSI to directly get the location. Algorithms are tested on real data collected by the Crownstones at the Almende office(test environment) divided into a finite number of locations or rooms. Metrics are defined based on the requirements of Almende to compare the MB algorithms with the DD algorithms. In this thesis, firstly, a centralized multilateration(MB-C) algorithm is implemented taking into account distances from N Crownstones at the office. Since one of the requirements was to perform in-network localization, a simple averaging consensus based distributed(MB-D) algorithm was selected and compared against the MB-C algorithm. Results show that the MB-D algorithm is faster, scalable and robust against single-point of failure than the MB-C but is less accurate and does not converge to the centralized solution for a noise variance greater than 10dB.
The MB algorithms have limitations in terms of selecting a model, learning the
model parameters and an additional step of mapping the position output of the implemented MB algorithms to a location is also required. To deal with these challenges, a Machine-learning(ML) based data-driven algorithm is proposed. In this, training datasets were iteratively improved with different features. Then, an Ensemble based centralized ML algorithm (DD-C) is implemented, giving a classification accuracy of 65%. Algorithm is further improved by distributed data handling leading to a classification accuracy of 77%. There has been very little to no study on finding the room-level location of an asset in an indoor setting using a distributed ML based data-driven algorithm. A consensus based distributed ML algorithm (DD-D) is proposed that performs local predictions within the Crownstone network using the same globally trained model giving a classification accuracy of 73%.
The results show that the proposed DD algorithms perform better than the MB
algorithms in terms of accuracy and are comparable in terms of prediction time. Results also indicate the proposed DD algorithms are more scalable, robust against noise but are computationally expensive.","Indoor; Asset localization; Room-level; wireless sensor networks; RSSI; Machine Learning (ML)","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:6eab157f-ee89-4d0b-b1df-a849ae3099d0","http://resolver.tudelft.nl/uuid:6eab157f-ee89-4d0b-b1df-a849ae3099d0","Elastic gradient boosting decision trees under limited labels by sequential epistemic uncertainty quantification: Elastic CatBoost Uncertainty (eCBU)","Sennema, Erik (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lukina, A. (mentor); Zhauniarovich, Y. (mentor); Bárbaro, E. (mentor); Spaan, M.T.J. (graduation committee); Tax, D.M.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Intrusion detection systems (IDSs) are essential for protecting computer systems and networks from malicious attacks. However, IDSs face challenges in dealing with dynamic and imbalanced data, as well as limited label availability. In this thesis, we propose a novel elastic gradient boosting decision tree algorithm, namely Elastic CatBoost Uncertainty (eCBU), that adapts to concept drifts and copes with label scarcity by using a novel sequential uncertainty estimation method. We compare our method with state-of-the-art techniques on synthetic and real-world datasets and show that it achieves comparable accuracy and higher robustness to limited label availability in intrusion detection tasks.","concept drift; uncertainty quantification; Intrusion Detection","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:1980aa85-10de-4b0b-8b8c-9382f40692ac","http://resolver.tudelft.nl/uuid:1980aa85-10de-4b0b-8b8c-9382f40692ac","Water Level Monitoring in the Karnali River, Nepal: Evaluating Satellite SAR Altimetry Techniques through Field Observations","de Jong, Mo (TU Delft Civil Engineering & Geosciences)","Bogaard, T.A. (mentor); Slobbe, D.C. (mentor); Blom, A. (mentor); Delft University of Technology (degree granting institution)","2023","Rivers play a crucial role in shaping landscapes and supporting ecosystems. This is demonstrated by the tiger habitats in and around Bardia National Park in West-Nepal, which rely on the Karnali River. This study contributes to a larger effort aimed at sustainably managing these tiger habitats. Monitoring the rivers in this remote area is challenging, suggesting a role for remote sensing. An exploration is presented regarding the potential of satellite synthetic aperture radar altimetry (sat-SARA) for monitoring rivers situated in diverse topographic landscapes. Focusing on the Bheri, Karnali, and Geruwa Rivers, the applicability of sat-SARA techniques for water level monitoring, multiple channel identification, and channel activation detection was evaluated. For deriving water surface heights from sat-SARA data, an empirical Gaussian retracker was used. The findings are promising. While resulting water level variations align with field observations, complementary in-situ measurements are imperative for a comprehensive evaluation. Additionally, the study reveals the potential for identifying multiple channels from sat-SARA return signals, extending to channel classification and detecting channel activation. Leveraging the labour-intensive nature of sat-SARA data processing, the technique holds great promise for monitoring rivers in remote and difficult-to-access landscapes. Therewith, this study contributes to advancing the understanding of the hydrodynamics of the Lower Karnali River and opens doors for sat-SARA applications for river monitoring in challenging terrains.","Altimetry; Hydrology; satellite SAR altimetry; Hydrodynamics; Remote Sensing; Fieldwork; Water levels; Water surface heights","en","master thesis","","","","","","","","","","","","Water Management","Save the Tigers, Save the Grasslands, Save the Water!",""
"uuid:050d73f7-a2e4-4412-989b-b29a545383c7","http://resolver.tudelft.nl/uuid:050d73f7-a2e4-4412-989b-b29a545383c7","Identifying procedural automation opportunities in the process industry using pattern mining on logged process data","van der Hoorn, Chris (TU Delft Mechanical, Maritime and Materials Engineering)","Verhaegen, M.H.G. (mentor); Jacobs, Rutger (graduation committee); Delft University of Technology (degree granting institution)","2023","The process industry is increasingly oriented towards automation. Use is made of both automated systems and human operators to control processes. For this control, operators can use computer systems to execute actions and conduct procedures. Automation of procedures can improve performance and safety, while reducing workload. Identifying procedures suitable for automation currently relies heavily on manual inspection and knowledge of operational staff.
This thesis proposes a method for the analysis of stored process data to identify opportunities for procedural automation in processing plants. The goal of this analysis is the identification of action patterns in operator responses to alarms that are predictable. Action patterns which are predictable can present good opportunities for automation.
The method utilizes the physical layout of the process, combined with a statistical analysis of events occurring in the event log to identify the relevant events in response to each alarm. Sequential pattern mining is then applied to those events. This thesis introduces a novel pattern type called ’independent frequent patterns’ to quantify patterns in response to an alarm. The pattern mining results are condensed into a value for the predictability of the response of an alarm.
In order to ensure validity of the patterns resulting from the method, a training and test set were constructed, consisting of alarms and the response patterns known to be the correct responses to those alarms. The method was designed using the training set and validated using the test set, by applying the method to the alarms in those sets and observing if the correct response patterns were identified. Then, the method was applied to alarms in the event log of which the correct response was not yet known, to rank those alarms on their potential for automation.
The thesis is conducted in collaboration with Shell Energy and Chemicals Park Rotterdam, and the method is applied to data supplied by them. The most common alarm messages are evaluated for the response pattern required to resolve them, the predictability of that pattern and the benefit of automating the response. From this, a ranked list of automation opportunities was constructed, which was then further discussed with operational staff.","Automation; pattern recognition; Process Industry; Operator actions; Procedural automation","en","master thesis","","","","","","","","2025-09-13","","","","Mechanical Engineering | Systems and Control","","51.877939, 4.354332"
"uuid:ad771d8b-52e5-4132-aedb-59ef9022b19f","http://resolver.tudelft.nl/uuid:ad771d8b-52e5-4132-aedb-59ef9022b19f","‘Talking with your car’: A Design Exploration of Human-Centered Conversational AI in Autonomous Vehicles","Shenvi Rege, Akshay (TU Delft Industrial Design Engineering)","Lloyd, P.A. (mentor); Kim, E.Y. (mentor); Sirkin, David (mentor); Currano, Rebecca (mentor); Delft University of Technology (degree granting institution)","2023","The development of Fully Autonomous Vehicles would fundamentally change the nature of user interactions, behaviors, needs, and activities within vehicles. Passengers who are free from driving would expect to undertake diverse in-vehicle Non-Driving-Related Tasks (NDRTs) to keep themselves occupied and free from boredom. Conversational Artificial Intelligence with its ability to have free-flowing conversations could offer a solution to improve in-vehicle user experience. To explore design innovation opportunities that Conversational AI may offer within Fully Autonomous Vehicles, this work first researches what roles and relationships can Conversational AI play towards users of fully Autonomous Vehicles. These are investigated by conducting qualitative interviews of end-users and subject matter experts, and analyzing these interviews using the Thematic Analysis method. Second, this work examines how end-users qualitatively assess the embodied user experience provided by Conversational AI roles and relationships designed for Fully Autonomous Vehicles through the means of user-technology interaction experiments. These are conducted by employing a combination of participant role enactment method and a Wizard of Oz experimental setup with a mock Conversational AI operated within a prototyped Autonomous Vehicle under laboratory conditions. Ensuing these interaction experiments, the qualitative assessment of these in-vehicle Conversational AI roles and relationships was done by conducting guided brainstorming sessions using the parameters outlined in the Subjective Assessment of Speech System Interfaces (SASSI) questionnaire along with two free-from questions. Results from both phases are presented in the form of user-desired in-vehicle Conversational AI roles, aspects that would enable the forming of Human-Autonomous Vehicle relationships mediated by Conversational AI, and user experience evaluation of the embodied idea. Based on these results, design guidelines are articulated that are aimed to inform design professionals as well as internal design strategies of Autonomous Mobility and Artificial Intelligence companies looking to innovate.
Finally, we reflect on our methodological approach to indicate directions for future studies on similar lines and discuss our research’s implications for professional practice.","Design guidelines; Human-Autonomous Vehicle interaction,; Human-Artificial Intelligence interaction; Conversational AI roles and relationships; User Experience","en","master thesis","","","","","","","","","","","","Strategic Product Design","Academic collaboration between TU Delft & Stanford",""
"uuid:9ebe8494-33c2-475a-a7de-ece819468f42","http://resolver.tudelft.nl/uuid:9ebe8494-33c2-475a-a7de-ece819468f42","ERTMS/ETCS Hybrid Level 3: Analysis of the overall challenges/limitations of ETCS Hybrid Level 3","Dissel, Robin (TU Delft Civil Engineering & Geosciences)","Goverde, R.M.P. (mentor); Quaglietta, E. (graduation committee); Annema, J.A. (graduation committee); Raats, Jack (graduation committee); Delft University of Technology (degree granting institution)","2023","The Ministry of Infrastructure and Water Management set up the ERTMS Programme and commissioned ProRail to realize the rollout. In Dutch vernacular, ERTMS is often used, but the system that replaces the legacy dutch system is ETCS Level 2. This rollout of ETCS Level 2 in infrastructure is currently being done on 7 sections of track in collaboration with 5 engineering firms that form the knowledge alliance. Although the rollout of ETCS Level 2 in the Netherlands is still in progress, research into new ETCS variants is ongoing. One of these variants is ETCS Hybrid Level 3. In ETCS Hybrid Level 3, Virtual Sub-Section (VSS) are introduced, allowing smaller track sections without additional investment in Trackside Train Detection (TTD). The use of VSS requires a Train Integrity Monitoring (TIM) system in the train that monitors train integrity. Out of the analysis of this paper, it can be concluded that the implementation of ETCS Hybrid Level 3 will results in changes within the Radio Block Center (RBC), Interlocking (IXL), rolling stock and user processes. The scale of the challenges that will occur by the implementation of ETCS Hybrid Level 3 depends on the implementation strategy that will be applied. Within the rail sector, there is much uncertainty as to what benefits are desired and feasible, and what implementation steps are required to achieve them. Analysis have shown that an potential implementation of ETCS Hybrid Level 3 will arise a significant challenge on an organisational level within the Dutch rail sector.","ERTMS; ETCS Hybrid Level 3; Dutch rail sector; Stakeholder analysis; Delphi method","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:9c898ec1-2850-425b-8501-888f0535c5a8","http://resolver.tudelft.nl/uuid:9c898ec1-2850-425b-8501-888f0535c5a8","From Points to Faces: An automotive lidar-based face recognition system","Humblet Vertongen, Marie (TU Delft Mechanical, Maritime and Materials Engineering)","Caesar, H.C. (mentor); Peternel, L. (graduation committee); Zhang, X. (graduation committee); Delft University of Technology (degree granting institution)","2023","Face recognition using lidar presents challenges arising from high dimensionality and data sparsity, especially at longer distances. This paper proposes a novel approach for face recognition via automotive lidar. The approach leverages a combination of deep learning and point cloud processing techniques. After identification of the facial point clouds, an alpha-shaped convex hull is employed for regional linearization, resulting in the creation of a depth image. This depth image is then fed to a convolutional neural network architecture, BasicNet, specifically trained for face recognition. The approach is evaluated on a dataset comprising 52 individuals acquired using two lidar sensors with different point densities. The individuals walked at distances ranging from 5 to 18 meters from the sensors. The approach achieves interesting results on this challenging dataset, thereby challenging the notion that lidar sensors are privacy-preserving.","Face Recognition; Automotive lidar; Privacy","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","","52.00096, 4.37142"
"uuid:1beb1ec6-c59d-478b-a60b-f2e146ff3f0d","http://resolver.tudelft.nl/uuid:1beb1ec6-c59d-478b-a60b-f2e146ff3f0d","Hawkes Processes in Large-Scale Service Systems: Improving service management at ING","Göbbels, Joost (TU Delft Electrical Engineering, Mathematics and Computer Science)","Derumigny, Alexis (mentor); Cruz, Luis (graduation committee); Jongbloed, G. (graduation committee); Den Hengst, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","Through the expansion of large-scale service systems and the exponential growth of data generated by complex IT infrastructure components, gaining a comprehensive overview of the different levels of service within an IT system has become increasingly challenging. In particular, this brought to the fore the question from a large commercial bank of how IT monitoring data streams generated by their complex IT infrastructure can be associated with one another.
In more detail, the data from the monitoring stream consists (among other things) of a message and a time stamp. Moreover, the monitoring data stream of this bank consists of two natures of information. These natures are either automatically generated warnings in the form of events or unplanned outages, referred to as incidents. The events and incidents are referred to as arrivals. As a first requirement to obtain better granularity, both event and incident messages with similar semantics should be grouped together. To this extent, the message component from each arrival is transformed into a numerical vector, the dimension of the obtained vector is reduced, and the collection of vectors is clustered. Once the individual arrival from the IT monitoring data stream is attached to a cluster based on their message component, the arrival is assigned a mark. This mark consists of a combination of the assigned cluster, the nature, and three different levels of service from the IT architecture on which the arrival occurred.
From a mathematical point of view, we can now view the monitoring data stream from different levels of service as a marked point process. Our primary focus centers on a specific category of marked point processes, known as marked Hawkes processes. Given the marked Hawkes process, we assume that each arrival from the IT monitoring data stream results in an instantaneous increase in the probability of some other arrivals in the near future. From here, we estimate the excitation matrix, representing the instantaneous increases among all assigned marks. Once the estimated excitation matrix is obtained, we decompose it into the different levels of service as defined within the mark. In particular, the decomposition has been performed through means of hierarchical linear models. Finally, the decomposition resulted in a comprehensive overview of the excitation behavior in large-scale service systems. This overview can directly be incorporated into the field of Software Architecture in order to uncover associations within complex IT infrastructures.","Hawkes processes; IT systems; multilevel models; self-excitation; incident management","en","master thesis","","","","","","Double degree in: Applied Mathematics and Computer Science","","","","","","Applied Mathematics","",""
"uuid:05fc82c1-5182-494d-8560-7c9c67a8ed6a","http://resolver.tudelft.nl/uuid:05fc82c1-5182-494d-8560-7c9c67a8ed6a","Improving the capacity and performance assessment of railway nodes on the French network","Ethuin, Guillaume (TU Delft Civil Engineering & Geosciences)","Goverde, R.M.P. (mentor); Quaglietta, E. (graduation committee); Veeneman, Wijnand (graduation committee); Leboeuf, Cyril (graduation committee); Delft University of Technology (degree granting institution)","2023","Capacity and performance (evaluated through delay propagation) analysis methods have mostly focused on railway line track sections, but less attention has been given to nodes. Still, a few analytical methods for the capacity and performance assessment of the switch areas between station platform tracks and line tracks can be classified upon their reliance on a timetable (“timetable-based” methods) or not (“timetable-free” methods). The relation between capacity utilisation and performance has rarely been tested for railway nodes, and critical capacity utilisation thresholds remain to be investigated. The comparison of timetable-based and timetable-free methods also needs to be conducted. Filling these knowledge gaps will help the French infrastructure manager SNCF Réseau improve its analyses of nodes capacity utilisation and performance in the long-term planning stages. This paper investigates a small set of timetable-based and timetable-free methods either taken and adapted from the literature, such as the Potthoff and UIC 406 methods, or developed for the need of this research, such as adaptations of the UIC method and a method developed from SNCF Réseau’s previous works. The methods are applied on a case study on the French network, first evaluating their indicators’ magnitude and trends with artificial traffic data, and then comparing their outputs to real data. It is found that the Potthoff method and a timetable-free UIC-adapted method for capacity utilisation evaluation and an SNCF-adapted method for delay propagation provided results that are relevant in terms of magnitude and trends for long-term assessment. The timetable-based UIC 406 method for node capacity utilisation assessment can be used to study specific timetables. No satisfactory timetable-based delay propagation method was found in this paper. The timetable-free methods are further used to study the capacity utilisation – delay propagation relationship, which takes the form of an exponential function. Attempts to determine capacity utilisation thresholds are also conducted. It is recommended to perform further research with extended traffic data on different node layouts to consolidate these preliminary findings before applying them in real studies.","Railway nodes; Capacity utilisation; Delay propagation","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:fdf6b7e5-5e81-4a1b-b3bf-5bdbc828fa18","http://resolver.tudelft.nl/uuid:fdf6b7e5-5e81-4a1b-b3bf-5bdbc828fa18","Investigation into the Application of Straight-Fibre Variable Stiffness Laminates in Wind Turbine Blade Design","Caruso, Mateo (TU Delft Aerospace Engineering; Technical University of Denmark)","van Campen, J.M.J.F. (mentor); Zahle, Frederik (mentor); Delft University of Technology (degree granting institution); Technical University of Denmark (degree granting institution)","2023","Over the last decades, wind turbine blades have continuously grown in size to harvest further power from the wind. Longer blades have an increased structural mass and thus suffer from increased gravitational and inertial loads. Current developments in the field of wind turbine blade design strive for lightweight, high-bending stiffness, yet cost-effective structures. An approach to drive down mass is through the combined optimisation of aerodynamic and structural properties of a blade, which is commonly referred to as aeroelastic tailoring. Current structural optimisation strategies of wind turbine blades could benefit from existing aerospace structural tailoring approaches, such as straight-fibre variable stiffness design. Straight-fibre variable stiffness, also referred to as multi-patch laminate blending, consists in partitioning a structure into constant laminate regions that are locally optimised. Those regions are then blended to bring back continuity, thus ensuring structural integrity and manufacturability. Locally optimising regions allows the stiffness to be tailored to the specific needs of each region and allows the definition of load paths within the laminate. Laminate blending enables further structural tailoring through variable stiffness composite design while preferring the use of conventional laminate patches over more costly continuous fibre angle variation.
The present research aims to investigate the structural performance potential for straight-fibre variable stiffness laminates in wind turbine blade design. This research objective was tackled in two phases. First, a design methodology is proposed to couple a wind turbine aeroelastic optimisation framework with a laminate blending design algorithm. Second, the proposed design framework was applied to a blade section to evaluate the achievable structural performance when straight-fibre variable stiffness laminates are introduced in large-scale structures. The design framework for straight-fibre variable stiffness design of a blade section first optimises the lamination parameter distribution for each laminate region of the design. Then a conversion of the lamination parameters design to a stacking sequence is performed, followed by the enforcement of laminate blending constraints.
To quantify the performance of the blending approach, the DTU 10MW reference turbine blade was selected as the baseline structure. Specifically, a section of this blade was assessed, taken at the maximum chord location. At this site, the largest trailing-edge panels are present, and structural requirements such as buckling are critical. The objective of the laminate blending optimisation was extended to maximise the buckling performance of the considered section while matching a tip deflection requirement. When refining the number of laminate regions present on the trailing-edge panels, improvements in buckling performance up to 68% are achieved, with a limited increase in tip deflection of 4.8%. Overall, the methodology presented in this research highlights the potential improvements achieved with straight-fibre variable stiffness laminates when applied to a blade section. Further research is recommended in refining the modelling of a blade structure, namely to define sandwich materials and shear webs. Even though a methodology to evaluate the aeroelastic response of a full blade with variable stiffness structures is presented, this methodology was not assessed. The application of this approach to a full blade design could highlight the tailoring potential of stiffness variation and load redistribution enabled through laminate blending for aeroelastic applications.","","en","master thesis","","","","","","","","2025-09-12","","","","European Wind Energy Masters (EWEM)","",""
"uuid:5395beb9-1770-4e10-9290-21b03700182c","http://resolver.tudelft.nl/uuid:5395beb9-1770-4e10-9290-21b03700182c","Aircraft component health analysis for predictive maintenance: using a dilated convolutional autoencoder and KL divergence","de Ruijter, Pim (TU Delft Mechanical, Maritime and Materials Engineering)","Caesar, H.C. (mentor); van den Berg, Dennis (graduation committee); Oerlemans, Martijn (graduation committee); Delft University of Technology (degree granting institution)","2023","The detection of anomalous behaviour is fundamental to component health analysis techniques. However, detecting anomalies is a difficult and time consuming task if their form, location, and frequency are unknown. This research introduces an innovative unsupervised predictive maintenance pipeline that requires minimal domain knowledge and time to create competitive and insightful health monitoring models. First, a Dilated Convolutional Autoencoder learns to recreate healthy sensor data. Then, a Kullback-Leibler (KL) divergence based health analysis transforms discrepancies between the reconstruction and the sensor data into a single performance metric per sensor per flight. A novel evaluation method based on the KL divergence metric allows for quantitative evaluation and hyperparameter tuning of the autoencoder. Results provide new insights and show competitive performance on analysing the fuel level measuring system. Additionally, in a generalisability study on the braking system of a different aircraft type the proposed method outperforms the currently employed health monitoring model in precision and F1 score. The main advantages of the proposed method are; the ability to rapidly create unbiased health indicators on a sensor level, the capability to generalise to other components, and a framework to quantitatively evaluate the model’s performance when no truth labels are available.
We contribute an extension of dependent type-systems to fully automate AC reasoning. This alleviates developers from this task and allows them to concentrate on other proof components. Our approach works by modifying the conversion checker and doesn't compromise soundness or completeness. Furthermore, our approach reuses existing type-checking components, making it easier to implement. We also implemented our theory as an extension of the Agda type-checker. This allowed us to use this implementation to experiment with some example programs.
This thesis can help language designers decide if they want automatic AC reasoning in their language. For language users it can serve as inspiration on how to use such a type-system and finally for researchers we have ideas for future work.
In the Netherlands, there is a shortage of primary school teachers, due to this shortage, teachers often do not have a lot of one-on-one time with the students. A social robot could be the solution to creating more one-on-“one” time with the students. In addition to relieving some workload for the teachers, a social robot could assist in gaining insight into personal values. Gaining insight into personal values can contribute to the learning experience by increasing motivation. The value awareness of the children is the learning objective as value awareness is thought to increase the child’s competence and motivation. One of the options to gain insight into contextual values is Socratic Questioning. These questions are created to further the learning experience and are expected to increase value awareness. This thesis investigates how a social robot can assist children in attaining learning goals, reflecting on these goals, and exploring the decisions and values underlying their learning experiences related to neurodiversity. The effectiveness of the robot in achieving learning objectives, encouraging reflection on decisions and gaining insight into personal moral values is shown. To gain insight into personal values, two methods of Socratic Questioning have been compared; Clarification and Implications & Consequences. The participating children of this thesis play an educational game where moral dilemmas are presented. A social robot assists in the game and asks the Socratic Questions. The explanation to the Clarification has proven to be the most effective method out of the two to gain insight into moral values. Moreover, the interaction effect between question type and time shows that the impact of the question type on insight into values varies with the time a question is asked. Qualitative observations show the participants’ anticipation of the robot’s questions and show instances where responses are different from the self-reported value importance. Overall, this research sheds light on the potential of Socratic Questioning and its uses for understanding individual values, calling for further exploration in this area.","","en","master thesis","","","","","","","","2023-10-31","","","","Computer Science","",""
"uuid:d3e2e1e3-568e-4f3c-bd3c-5cf1d92a86ae","http://resolver.tudelft.nl/uuid:d3e2e1e3-568e-4f3c-bd3c-5cf1d92a86ae","Towards the Development of a Passive Adaptive Variable Stiffness Exosuit","Verburg, Tim (TU Delft Mechanical, Maritime and Materials Engineering)","Joshi, S. (mentor); Della Santina, C. (mentor); Delft University of Technology (degree granting institution)","2023","A substantial portion of workplace-related injuries stem from sprains, strains, and muscle tears, with a significant proportion occurring in the upper body, particularly the
shoulder. To address these issues, there is a growing interest in utilizing supportive devices like exoskeletons that often face challenges such as bulkiness, high costs, and parasitic forces, which have hindered their widespread adoption. Exosuits, an alternative to exoskeletons, offer potential solutions by primarily employing fabrics and leveraging the human body to transmit forces, eliminating the need for cumbersome and expensive external frames. A user study involving a passive-adaptive exosuit equipped with a controllable pretension spring showed a reduction in muscle effort while being limited to controlling only the system’s equilibrium position. It was theorized that the addition of a variable stiffness mechanism with controllable pretension and stiffness could eliminate observed issues and further increase muscle effort reduction, forming the need for
a variable stiffness mechanism. The vast majority of existing variable stiffness solutions are developed for use in joints as opposed to being designed for a linear motion and are thus not ideal for application in an exosuit. Additionally, the fusion of variable stiffness mechanisms and exosuits has not been studied extensively, with no studies using a variable stiffness mechanism capable of controlling both the stiffness and equilibrium position in an exosuit. This work presents the results of the user study, the development and testing of a variable linear stiffness mechanism for a linear motion capable of controlling the stiffness and equilibrium position, and the integration of said actuator in an
exosuit.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:6a86c67f-b509-45d5-8b8b-fe6f8802aca7","http://resolver.tudelft.nl/uuid:6a86c67f-b509-45d5-8b8b-fe6f8802aca7","Simulating the Refuelling Process for a Liquid Hydrogen-Powered Commercial Aircraft","ten Damme, Luuk (TU Delft Aerospace Engineering)","Gangoli Rao, A. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis focuses on simulating the refuelling process for a liquid hydrogen-powered commercial aircraft. The liquid hydrogen is intended to flow from the refuelling truck, through a transfer line, into the aircraft’s tank using pressure feed. The numerical simulation was built upon an existing tank model, however it did not consider the effects of flashing within the transfer line, nor did it account for the thermal mass or imperfect insulation of the transfer line. This tank model was nevertheless not yet been validated against experimental data. In addition, only a small fraction could be filled on top of the receiving tank, as the complex underlying physics of liquid hydrogen droplet evaporation were not included in the model. First, the tank model was modified and validated using recently published experimental data. A sensitivity analysis was performed to identify the process parameters for which the model results aligned most closely with the experimental data. The parameters that exhibited the most significant impact on the results included the loss factor, droplet diameter, heat ingress due to radiation, transmission line delay constant, and vent-closing pressure. A loss factor of 11, droplet diameter of 6mm, transmission line delay constant of 5, and vent-closing pressure of 3bar were found to provide the best match with the experimental data. The considered heat ingress due to radiation also yielded satisfactory results, whereas other parameters did not significantly affect the simulation. The experiment was lacking the last 18 seconds of transient data. When comparing the model with the experimental data at the end of the refuelling process, discrepancies were observed. The model exhibited namely a significantly higher pressure, vapour temperature and mass compared to the experiment. These discrepancies were expected to be caused by the receiving tank’s venting valve in the experiment, which displayed odd behaviour during the last stage of fill. Despite these disparities at the end of the filling process, the transient behaviour throughout the process was found to be well aligned with the model results. As a result, the modified tank model was deemed suitable for simulating the LH2 refuelling process. Then, a case study was conducted to simulate the refuelling process for a commercial aircraft similarto the Airbus ZEROe Turboprop concept. The objective was to calculate the refuelling time and the associated losses resulting from venting the aircraft’s tank during the refuelling process. The refuelling time has been determined to be around 19 minutes, accompanied by venting losses from the aircraft tank totalling 36.7kg, which accounts for approximately 2.2% of the total fuel transferred. This refuelling time is more than three times longer than the refuelling time of the kerosene-powered equivalent, the Bombardier Q400. Nevertheless, the total time taken by the LH2 refuelling process was determined tobe well within the turn-around time if LH2 refuelling is possible while in parallel performing other tasks of the turnaround. However, future research is required to determine whether this is possible. Additionally, the aim was to find what process parameters impact the refuelling time and losses due to venting from the aircraft tank. Therefore, a second sensitivity analysis was conducted to assess the impact of various parameters on the refuelling process of the case study aircraft. This analysis revealed that the venting pressure of the aircraft tank, operational pressure in the trailer tank, transfer line length, and transfer line diameter emerged as crucial factors influencing the refuelling time. A general observation was done were an increase of 25% in transfer line length resulted in a increased refuelling time of 6%. In addition, it was noticed that a decrease in venting pressure by 0.1bar resulted in a decrease in refuelling time of about 1.2%. The percentage of spraying in the aircraft tank was identified as the most significant factor affecting venting from the aircraft tank, for which 100% spraying reduced the amount of venting by 30%. Next, a one-dimensional, homogeneous fluid model was developed to consider the effects of flashing, thermal mass, and imperfect insulation of the transfer line. The transfer line was discretised inn elements with equal length. A linear pressure distribution was considered between the supply and receiving tank, but an option was presented to account for the entrance length. Using the conservation laws for mass and energy, the enthalpy in each node along the axial location of the transfer line was computed. Using REFPROP, the corresponding fluid temperature was determined. The transfer line model was coded in Python using Scipy’s solve_ivp solver. The model was validated using both liquid nitrogen and liquid hydrogen. Discrepancies existed between the model and experimental data, which were expected to be caused by incorrect heat transfer correlations. Finally, the developed transfer line model was integrated into the tank model with the the goal of simulating the effects of flashing, thermal mass and imperfect insulation of an initially warm transfer line to more accurately model the refuelling process for the case study aircraft. However, it was discovered that the liquid temperature in the aircraft tank increased to infeasibly high values, which resulted in a lower liquid density, leading to a decreased mass flow rate in the transfer line, thereby increasing the refuelling time. The dominant factor contributing to these elevated liquid temperatures originated from inaccurately modelling flashing. The incapability of the integrated model to simulate two-phase flow within the liquid phases of the tanks was expected to be the cause behind the lacking capability to capture the flashing phenomenon accurately. As a result, it was concluded that the integration of the tank and transfer line models failed to accurately capture the phenomenon of flashing and so did not provide a more accurate representation of the refuelling process. As a result, the case study results obtained solely from the modified and validated tank model were considered to hold greater credibility.It was nevertheless recommended to perform research on restructuring the integrated model so that the liquid phases are described by temperature and pressure, instead of the saturated states. In addition to this, describing the liquid state by temperature and pressure would allow for simulating subcooling. Since subcooling aids to achieve a vapour-free flow to guarantee a reliable liquid mass flow, implementing the possibility of simulating subcooling is of interest.","Liquid hydrogen; Sustainable Aviation; Refuelling; Two-phase flow; Experimental validation; Numerical Simulation","en","master thesis","","","","","","","","2025-09-11","","","","Aerospace Engineering","",""
"uuid:2c920af2-4a7a-474d-8e9d-6dc24652318f","http://resolver.tudelft.nl/uuid:2c920af2-4a7a-474d-8e9d-6dc24652318f","Enhancing Dutch Energy Safety: Unleashing Redundancy for Cyber-Resilient Industrial Operations at Gate terminal","Gaalswijk, Jonathan (TU Delft Technology, Policy and Management)","Warnier, Martijn (graduation committee); van Gelder, P.H.A.J.M. (mentor); Delft University of Technology (degree granting institution)","2023","Critical infrastructures, such as the energy sector, are vital for the proper functioning of society. It is crucial to protect these infrastructures against cyberattacks on their operational technology, ensuring their operational performance, and safeguarding energy safety. There is a lack of existing research on improving cybersecurity in operational technology for increasing operational performance in liquefied natural gas infrastructure in the Netherlands, specifically when it comes to increasing redundancy in the system. In an attempt to fill this knowledge gap, this thesis implements agent-based modelling to answer the following main research question:
“To what extent does the implementation of redundancy enhance the operational performance of operational technology in the industrial processes of Gate terminal in the face of cyber threats, in order to maintain the availability of business services?”
The thesis shows that the key determinants for the effectiveness of a redundancy strategy are the criticality of the redundantly implemented element, and the degree of diversity applied. Additionally, a trade-off between the advantages of redundancy the inevitably increased attack surface can be observed: when implementing an ineffective redundancy strategy, overall system performance may degrade in comparison to the initial system conditions. The importance of an effective incident response cycle must not be overlooked. A redundancy strategy is only as effective as the capability of the system operator to prevent and deal with incidents.
Future research can build on this thesis, exploring more explicit redundancy strategies, types of attacks, and strategic defense systems, as well as the optimization of the redundancy trade-off. These research directions can help in further analyzing and understanding the impact of redundancy on system operational performance.
Through this investigation, we have identified a practical need for a data model that encompasses the essential information researchers require to enhance explainability, fairness, and robustness in Computer Vision applications. We developed a data model that holds the potential to improve transparency and reproducibility within this field, speed up the research process, and facilitate comprehensive evaluations, whether quantitative or qualitative, of proposed methodologies. To refine and demonstrate the practicality of the data model, we have populated it with four existing datasets. Additionally, we have conducted two user studies to validate the model's usability. We found that participants were enthusiastic about using the data model. Some potential uses described by the participants were comparing models and datasets, accessing (niche) datasets and models, creating and exploring datasets, and having access to ground truth explanations. However, participants also had concerns about the data model, mainly with its usability being restricted to people with database knowledge and the richness of data in the database. Nonetheless, hope that this research constitutes the first step for data modelling for researchers in the field of Trustworthy AI.","Artificial intelligence (AI); Computer Vision; Explainable AI; Fairness; Robustness; Human in the loop; Mixed Methods Approach; Data modelling","en","master thesis","","","","","","https://github.com/delftcrowd/CV_datamodel Code on Github","","","","","","Computer Science | Data Science and Technology","",""
"uuid:2c95aa94-039e-4539-8f61-6ae95184e1f7","http://resolver.tudelft.nl/uuid:2c95aa94-039e-4539-8f61-6ae95184e1f7","Roadmap Towards a Unified European High-Speed Rail Infrastructure","Borgogno, Filippo (TU Delft Civil Engineering & Geosciences; TU Delft Transport and Planning)","Cats, O. (mentor); Warnier, Martijn (graduation committee); Massobrio, R.M. (graduation committee); Grolle, Jorik (graduation committee); Delft University of Technology (degree granting institution)","2023","High-speed rail (HSR) is gaining increasing attention due to its sustainability and transport capacity, aligning with ambitious European transport goals for 2030 and 2050. Despite its potential, the creation of a unified European HSR network faces challenges rooted in poor coordination and national interests. This study addresses the absence of a comprehensive, long-term strategy for establishing such a network, with a specific focus on critical infrastructure development. It introduces an iterative network growth model to determine where, when, and at what cost HSR infrastructure should be built under centralized decision-making processes given current budget allocations. The approach analyses the dynamic interaction between infrastructure expansion and the long-distance transport market demand distribution. Results emphasize the benefits of adopting centralized decision-making and appraisal processes, highlighting that achieving these goals requires a comprehensive, collaborative effort, as well as a proper European institutional investment management with more spending power.","High-speed rail; Long-distance travel; European rail; Iterative network growth model; Parametric infrastructure design","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:c21e45c8-9420-4260-aa6e-1c63bd1d0931","http://resolver.tudelft.nl/uuid:c21e45c8-9420-4260-aa6e-1c63bd1d0931","Underactuated Compliant Resonant Walking Robot with Steering Mechanism","Lemmens, Cas (TU Delft Mechanical, Maritime and Materials Engineering)","Radaelli, G. (mentor); Delft University of Technology (degree granting institution)","2023","br/>This thesis report presents research on small walking robots. The report begins with an introduction that explains the project’s origin, outlines the project’s goal, and provides an overview of the report’s contents. Following the introduction, a literature study is conducted to investigate the current state-of- the-art steering mechanisms for walking robots.
After the literature study, the research paper is presented, detailing the design process and the robot’s performance results. The paper demonstrates the successful design of a frequency-actuated resonance robot with both forward locomotion and steering capabilities, achieved by using only one actuator.
In conclusion, the literature study provides a novel classification and overview of state-of-the-art walking robots. Furthermore, the research paper showcases the successful design of a frequency-actuated resonance robot with a forward locomotion and steering mechanism, all accomplished with the use of a single actuator.","Resonant; Compliant; Underactuated; Robotics; Eigenfrequency","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:67428726-21d0-4ef7-83bf-fc26bde07ff7","http://resolver.tudelft.nl/uuid:67428726-21d0-4ef7-83bf-fc26bde07ff7","Addressing voltage sag contribution of an optimally sized Industrial Hybrid Power System: Using a multi-objective sizing framework considering cost and CO2 emission","Deutman, Max (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft DC systems, Energy conversion & Storage; Royal HaskoningDHV)","Bauer, P. (graduation committee); Ramirez Elizondo, L.M. (mentor); Alpizar Castillo, J.J. (graduation committee); Cvetkovic, M. (graduation committee); Koolman, G.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis titled ""Addressing voltage sag contribution of an optimally sized Industrial Hybrid Power System"" introduces a framework for sizing an industrial Hybrid Power System (HPS) to minimise Cost and CO2 emissions relative to connecting the industrial site directly to the grid with the help of a genetic algorithm, specifically NSGA-II. The framework utilises an Energy Management System (EMS) that is based on a rolling average principle which attempts to restrict the change in grid consumption from one time step to the next. The optimally sized configuration and its new grid consumption profile are analysed in the CIGRE MV Distribution Network to assess the effects of the new consumption profile on the bus voltages. The combination of a rolling average-based EMS and an optimal sizing with NSGA-II resulted in a $47\%$ reduction of the CO2 emissions while not worsening the voltage behaviour in the system (with a focus on voltage sag introduced by large loads).","Hybrid Power Systems - HPS; Genetic Algorithm - GA; Enegy Management System - EMS; Cost; CO2; NSGA II; PV; Diesel Generator; Battery Energy Storage System (BESS); Voltage Sag; Medium voltage networks; mosaik","en","master thesis","","","","","","","","","","","","Electrical Engineering | Electrical Power Engineering","",""
"uuid:089a7e6f-a21a-430b-8abd-cd34b8eb06aa","http://resolver.tudelft.nl/uuid:089a7e6f-a21a-430b-8abd-cd34b8eb06aa","Sharing Knowledge in an Emerging Field: The Case of a Knowledge Management System for Carbon Farming in the Netherlands","ATHANASAKIS, THEODOSIOS (TU Delft Technology, Policy and Management)","Lieu, J. (mentor); Doorn, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","","Knowledge Sharing; Knowledge Management; Knowledge Management System; Carbon Farming; Digitalization; Digitalization of Knowledge","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:d9857a6a-ec51-4cce-8c6e-e6a86a317de0","http://resolver.tudelft.nl/uuid:d9857a6a-ec51-4cce-8c6e-e6a86a317de0","Data-efficient resolution transfer with Continuous Kernel Convolutional Neural Networks","Haarman, Luuk (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Pattern Recognition and Bioinformatics)","van Gemert, J.C. (mentor); Bruintjes, R. (graduation committee); Weinmann, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Convolutional Neural Networks (CNNs) benefit from fine-grained details in high-resolution images, but these images are not always easily available as data collection can be expensive or time-consuming. Transfer learning pre-trains models on data from a related domain before fine-tuning on the main domain, and is a common strategy to deal with limited data. However, transfer learning requires a similar domain with enough available data to exist, and transferability varies from task to task. To deal with limited high-resolution data we propose resolution transfer: using low-resolution data to improve high-resolution accuracy. For resolution transfer, we use Continuous kernel CNNs (CKCNNs) that can adapt their kernel size to changes in resolution and perform well on unseen resolutions. Training CKCNNs on high-resolution images is currently significantly slower than CNNs. We lower the inference costs of CKCNNs to enable training on high-resolution data. We introduce a CKCNN parameterization that constrains the frequencies of kernels to avoid distortions when the kernel size is changed, improving resolution transfer accuracy. We improve fine-tuning with a High-Frequency Adaptation module that complements our constrained kernels. We demonstrate that CKCNNs with kernel resolution adaptation outperform CNNs for resolution transfer tasks with no fine-tuning or with limited fine-tuning data. We compare to transfer learning, and achieve competitive classification accuracy with an ImageNet pre-trained ResNet-18. Our method provides an alternative to transfer learning that uses low-resolution data to improve classification accuracy when high-resolution data is limited.","Convolutional Neural Networks; Computer Vision; Transfer Learning; Domain Adaptation; Image Classification; Deep Learning; Satellite Imagery","en","master thesis","","","","","","","","","","","","Computer Science | Data Science and Technology","",""
"uuid:7cc26e4d-7479-4f61-abdc-4ddee8f87a30","http://resolver.tudelft.nl/uuid:7cc26e4d-7479-4f61-abdc-4ddee8f87a30","Sodium Borohydride As a Circular Hydrogen Carrier: An Energy Efficient Link Between Hydrogen Release and Sodium Borohydride Synthesis","Wesselingh, Tim (TU Delft Electrical Engineering, Mathematics and Computer Science)","Padding, J.T. (mentor); Slootweg, J.C. (graduation committee); Buß, Florenz (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis presents a novel method for using sodium borohydride (NaBH₄) as a circular hydrogen carrier, addressing the current lack of commercially viable approaches for hydrogen storage in NaBH₄. The traditional approach of using NaBH₄ for circular hydrogen storage through hydrolysis was reevaluated, leading to the development of a novel reaction system consisting of solely a one-pot reaction for the hydrogen release process. Alcoholysis reactions were performed using different alcohols resulting in the selective formation of borate esters. Subsequently, successful regeneration of the gained boric ester to NaBH₄ was achieved by adopting reaction conditions from the Brown-Schlesinger process, thus realizing a complete circular hydrogen storage process for the proposed system. Attempts were made to minimize the energy intensity of NaBH₄ synthesis by exploring alternate reaction pathways that could potentially lower reaction temperatures. This involved the use of radical sources such as sodium naphthalenide and sodium biphenyl, leading to the formation of boron radical anions that facilitated homolytic hydrogen cleavage which initiated borohydride formation.
To address the challenge of heat transfer during hydrogen release, a heat transfer limitation model was developed. Real-time data was collected to extrapolate temperature profiles for different NaBH4 quantities and their respective proportions of reactants and reactor volumes for predicting temperature trajectories. This data was functionalized and used for the prediction of cooling water temperature profiles and minimum cooling water flow rates upon up scaling. It was seen that heat transfer in this system has a linear correlation to the amount of reacting NaBH₄ upon scaling. Therefore, the envisioned hydrogen release process is not assumed to be forming a problem for the reactor design upon up scaling with regards to heat transfer limitations.
Furthermore, an economic assessment was conducted to evaluate the viability of the envisioned system. A process flow diagram of the alcoholysis reaction pathway was initially constructed. Subsequently, this diagram served as the foundation for establishing an energy balance for both the hydrogen release process and the NaBH₄ regeneration process, which enabled a comparative examination between the hydrolysis pathway and the proposed alcoholysis pathway.","Sodium borohydride; hydrogen; Storage; circular; solid","en","master thesis","","","","","","","","2025-09-01","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:18aabfa6-0daf-4c4f-8d29-de1b71398bea","http://resolver.tudelft.nl/uuid:18aabfa6-0daf-4c4f-8d29-de1b71398bea","Addressing Supply-Side Disruptions: Optimizing Supply Chain Performance through Supplier Selection and Risk Mitigation","Aydin, Mustafa (TU Delft Technology, Policy and Management)","Maknoon, M.Y. (mentor); Yang, M. (mentor); Rezaei, J. (graduation committee); Fransen, S. (graduation committee); Schep, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the contemporary global environment, supply chains are increasingly vulnerable to disruptions, with environmental and supplier disturbances posing frequent challenges. This research aimed to understand the influence of a systematic supplier selection approach, combined with an effective risk mitigation strategy, on supply chain performance amidst supply-side disruption risks. We identified a significant gap in current supply chain management: the lack of a structured supplier selection methodology, which can lead to inefficiencies and performance declines. To address this, our study employed the best worst method (BWM) for systematic supplier assessment and ranking. Simultaneously, a discrete-event simulation model on the Simio platform was utilized to emulate supply chain dynamics, factoring in various suppliers and potential disruptions.
Our findings, contextualized within the polymer industry, highlighted Material Quality, Supply Reliability, Price, and Lead Time as the most critical criteria for supplier selection. The BWM rankings correlated strongly with actual supply chain outcomes, emphasizing its effectiveness. In terms of risk mitigation, flexibility-oriented sourcing strategies were superior to redundancy-oriented ones, demonstrating better management of supply-side disruptions and reduced safety stock levels.
These results have both societal and scientific implications. Societally, a methodical supplier selection process ensures resilient supply chains, essential for sectors like healthcare and food, and promotes global collaboration and innovation through diversified supplier sourcing. Scientifically, our research validates the BWM's utility for supplier selection, challenging conventional beliefs linking higher costs to superior risk mitigation. A key insight was the growing importance of flexibility in modern supply chain risk management.
For the polymer sector, we recommend a BWM-based structured supplier selection process. By partnering with top-ranked suppliers and diversifying geographically, businesses can reduce safety stock levels. Our simulation model provides a practical tool for decision-makers, facilitating scenario testing to identify optimal strategies.
However, our study's limitations must be acknowledged. Tailored primarily for the polymer industry, the specific assumptions underpinning our simulation may not be universally relevant. Thus, while our insights are valuable, their applicability might be limited by data constraints and the polymer sector's distinct attributes.
In conclusion, a methodical supplier selection, paired with a flexibility-oriented sourcing approach, optimizes supply chain performance in the face of supply-side disruptions. Enhancing these elements can guide businesses in developing agile supply chains, ensuring cost-effectiveness and improved performance.","Discrete Event Simulation; Best Worst Method; Supply Chain; Supplier Selection","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:f073df5f-1abc-43c4-8979-8b74d2c0b208","http://resolver.tudelft.nl/uuid:f073df5f-1abc-43c4-8979-8b74d2c0b208","Assessment of Steel-CLT Composite Floor Systems: Advancing material-efficient and environmentally friendly construction","van Rooij, Nils (TU Delft Civil Engineering & Geosciences)","Veljkovic, M. (graduation committee); Kavoura, Dr. Florentia (mentor); Ravenshorst, G.J.P. (graduation committee); Musson, Thomas (mentor); Woodward, Andrew (graduation committee); Ras, Leon (graduation committee); Delft University of Technology (degree granting institution)","2023","With the building and construction sector accounting for a significant portion of final energy use and emissions, and society’s increasing focus on environmental awareness and improvements, the impor- tance of sustainable construction has never been greater. The utilisation of timber in construction offers clear sustainability benefits and has the potential to replace traditional functions of concrete. One notable replacement is the use of Cross-Laminated Timber (CLT) in composite structures with steel, enabling the potential creation of greatly performing floor systems which has seen a limited amount of research. The research explores the application of Steel-CLT Composite floor systems and assesses composite beam performance as well as predictive methods for analysing their behaviour in hopes of advancing the body of knowledge on this method of environmentally friendly construction. The literature study revealed the current knowledge on steel-CLT composite floor systems and connections. Two particular floor systems, with and without the use of grout, are highlighted as well the potential for future exploration. The steel-CLT composite connection utilised in the floor system significantly impacts performance and composite action as the use of grout is concluded to increase initial-, secondary stiffness and load carrying capacity of the connection. However, restricting the use of grout can promote circular con- struction practices. In this research the behaviour of steel-CLT composite beams is predicted by the use of a numerical finite element model with shell elements and an analytical approach based on the simplified analysis of Eurocode 5(EN1995-1-1): Mechanically jointed beams. The analytical method can predict the bending stiffness with a margin of error just slightly above 10%. However, it should be noted that the analytical method incorporates an incomplete representation of the composite connection used in the beam. On the other hand, the finite element model is able to accurately capture the pre-plasticity behaviour of the steel-CLT composite beam. This is achieved by incorporating the complete load-slip behaviour of the shear connection. A parametric study was conducted to assess the importance of closely spacing composite shear connections in a steel-CLT composite beam to achieve a higher level of composite action. The study also demonstrates that by utilising connections with higher initial stiffness, secondary stiffness, and ultimate capacity, this effect can be further strengthened. As a result, the force resisted at the service- ability limit state experiences a notable boost due to the implementation of both a stronger and stiffer connection, as well as their close spacing. Shear connection position optimisation by concentration of connectors near the supports showed to have a small positive effect on beam deflection. After evaluation of steel-CLT floor systems the research concludes that a steel-CLT composite floor system can be successfully constructed, offering environmental benefits and reduced structural weight while achieving the required structural performance for the set design conditions.","steel; CLT; composite; Floor system; steel-timber; Composite beam","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering | Steel and Timber Construction","",""
"uuid:3dd03ba4-078b-4bbd-bfbd-0baacbd16170","http://resolver.tudelft.nl/uuid:3dd03ba4-078b-4bbd-bfbd-0baacbd16170","Animating Still Images: Folding Texture Design and Synthesis","Ren, Hao (TU Delft Electrical Engineering, Mathematics and Computer Science)","Eisemann, E. (mentor); Brinkman, W.P. (graduation committee); Molenaar, M.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","The phenomenon of one element moving and progressively overlaying another is common in nature, such as waves swashing and backwashing, or eyelids moving over eyeballs while blinking. Folding Texture, which was proposed by Thorben, can simulate this texture “folding” visual effect in real-time without changing geometry.
However, to date, no tool has been developed to assist in the design and synthesis of folding textures. Applications of the technique so far are achieved through manual creation of the folding texture, which is a tedious process.
This thesis explores the problem of folding-texture design and synthesis. A novel approach is proposed for animating still images based on the folding texture technique. The approach uses a semi-automatic, user-assisted method that combines texture editing, motion profile specification, and folding texture synthesis into one seamless process, reducing the need for extensive manual work. It enables novice users to utilize the technique with a fair level of prior knowledge of folding texture.","Texture Mapping; Folding Texture; Texture Synthesis; Texture Dynamic Sampling","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:9bad8917-7a61-40e9-9cf4-ca0c055074c7","http://resolver.tudelft.nl/uuid:9bad8917-7a61-40e9-9cf4-ca0c055074c7","Exploring the pre-scaleup development of Healthtech startups: the case of Dutch healthtech startups","ten Siethoff, Saskia (TU Delft Technology, Policy and Management)","Vandekerckhove, P.B.M. (mentor); Khodaei, H. (mentor); Delft University of Technology (degree granting institution)","2023","Health systems globally, currently face many multi-faceted and dynamic challenges. There is a growing demand for quality healthcare, shifting expectations towards more responsibility for patients in their own health journey, an evolving role of technologies within society, and external pressures to decrease costs and improve sustainability in a directive towards more preventative healthcare. In response to these challenges, many innovative technologies have been developed and applied for example to improve medical devices, enable virtual health services, manage patient and health system data and for other applications throughout the value-chain of health systems. There is a continually growing need for such innovations to be developed and implemented, despite the uncertainty characterising the ever-evolving health system landscape.
In the attempt to address the need for innovative health technologies, there has been an explosion of firms, entrepreneurs, and innovation hubs shifting their focus to developing and implementing new health technologies. Healthtech innovation and start-ups are vital to improve the quality and capacity of available healthcare, relieve overburdened health systems, and match shifting needs and expectations within the health industry. However, it has been observed that many start-ups are failing to grow to a point where their innovations can make an impact and have sustainable success in the health system. The downfall of many startups focusing on developing health technologies (healthtech startups) occurs most frequently in the time before they manage to start selling their health technologies to customers, or what is termed ""scaling-up"". Although there are many aspects or factors that play a role in the failure of these healthtech startups, there is one palpable observation; the development journey experienced by healthtech startups before scaling-up is distinctly unique and misunderstood. This observation calls for research to create a better understanding of the complex and unique development required of healthtech startups - to facilitate their ability in scaling-up, selling and implementing their health technologies.
In line with addressing this need, this thesis begins by conducted a literature study focused on technology and health technology startup development, aiming to explore the existing knowledge concerning the pre-scaleup phase in healthtech startups. The literature study consisted of a two-stage review process, beginning with a scoping review of literature pertaining to the technology startups and their early development, and proceeding with a rapid review of literature related to specifically healthtech startups in their early development phases. This examination revealed a recurrent tendency in the literature to discuss this startup phase through several key themes. Drawing from this discovery, the subsequent step
involved characterising available literature information into nine defining themes: regulation, network, development processes, financing, capabilities, performance metrics, solution, system and market context, and social aspects; which collectively define the pre-scaleup development of healthtech startups.
The literature study emphasised the distinctiveness of healthtech startups in their pre-scaleup journey, underscoring the imperative to investigate how this distinctiveness could be effectively represented. Consequently, the thesis advanced to exploring models that could represent the unique nature of the early development of healthtech startups. Existing models geared towards representing and guiding early startup development were critically evaluated accruing to their limitations in comprehensively capturing the distinctive aspects specific to the pre-scaleup development phase within the healthtech sector. This evaluation culminated in a synthesis of insights derived from both the reviewed models and the themes identified from literature. This synthesis aimed to clarify the distinct attributes intrinsic to healthtech startups, ultimately culminating in the formulation of a bespoke theoretical model tailored to effectively represent the pre-scaleup progression of healthtech startups. The model incorporates elements from existing literature models to construct a developmental framework delineating stages and pivotal points that encapsulate the fundamental activities undertaken during healthtech startup evolution. It also spotlights the essential challenges that demand resolution to propel a startup to subsequent developmental phases. Built with adaptability and collaboration in mind, the model allows for iterative processes and the seamless exchange of feedback across successive stages. The theoretical model captures the pre-scaleup journey of a healthtech startup within five distinct developmental stages: (1) concept development, (2) opportunity framing, (3) clinical validation, (4) pre-organisation, and finally, (5) scale-up. These stages are demarcated by a set of critical barriers termed ’critical junctures’. Comprising four such junctures - (1) problem-solution fit, (2) commitment, (3) credibility, and (4) scale-readiness - these represent the essential obstacles that must be surmounted for a healthtech startup to forge ahead in its growth trajectory.
Furthermore, the thesis introduced and implemented an approach to gather supplementary data, thereby enriching the insights and comprehension of healthtech startups and their pre-scaleup development. This involved conducting a series of interviews with individuals possessing various perspectives, expertise and practical experiences in the pre-scaleup phase of healthtech startups in the Netherlands. The conducted interviews, coupled with their subsequent inductive coding analysis, yielded a range of valuable insights that were categorised into themes, providing a characterisation of the pre-scaleup progression of healthtech startups. Moreover, these interviews yielded information that resonated with the previously established theoretical model.
Upon recognising the emergence of consistent themes in both literature and the interview data, a subsequent comparison was conducted to compare these two research approaches. The culmination of this comparison led to the formulation of a definitive catalogue consisting of four key and four lesser ""characteristics of the pre-scaleup development of healthtech startups"" that effectively encapsulate the pre-scaleup journey of healthtech startups, enhancing the characterisation, definition, and comprehension of this development phase. The resulting four key characteristics are; (1) the network and people involved, (2) the development processes carried out, (3) the financing methods used to support the development journey, and (4) the applicable regulations of the health technology being developed and how the healthtech startup conforms to them. The four lesser characteristics capture (1) the social considerations to be accounted for, (2) the characteristics of the health solution that must be prioritised, (3) the capabilities that are essential within the development of a healthtech startups, and (4) the influence of the context of the health system and market on the development journey of healthtech startups.
Furthermore, the insights garnered from the interviews provided substantial input relevant to the theoretical model. These inputs subsequently generated several recommendations for prospective research endeavours, aimed at assessing the practical viability of a model akin to the theoretical construct developed in this thesis. It is recommended that the model be tested or applied in case studies to gauge the practical applicability of the model. Moreover, the interviews also revealed an unexplored linkage between deliverable, evidence levels, and critical junctures. Future research efforts should explore the incorporation of measurable outcomes that correlate to the relevant development stage or critical juncture in the model. Additionally, it was found that processes, evidence requirements, and activities may significantly differ based on the type of health technology being developed. While the theoretical model’s overall structure might suit diverse healthtech startups, it may fail to represent the specific activities and barriers for medical devices, biotechnology, and electronic health technologies. The model holds potential as a guiding tool for comprehending and directing healthtech startup pre-scaleup development. However, it requires refinement to address gaps, ideally through extensive case studies, broader expert input, and collaboration with process modelling experts. Ultimately, the model lays a foundation for future research aimed at producing a more accurate representation of the unique challenges posed by healthtech startup pre-scaleup development.
Finally, some key observations are discussed and several recommendations are made for future related research. There is a major emphasis placed on ’user-centred’ development of health technologies during the interviews and in literature. One of the most popular methods of user-centred development in literature, called Participatory Design, demonstrates that the active involvement of users from early in the development of a health technology facilitates trust, shared understanding, and ultimately helps develop a solution that satisfies the needs of all its stakeholders and encourages its successful adoption in the health system. Furthermore, there are several social and ethical considerations that healthtech startups should consider throughout their development. Both the analysis of existing literature and interviews with industry professionals highlighted the fragmented nature of information concerning the responsibility for upholding ethical and socially responsible practices in the pre-scaleup development of healthtech startups. Key stakeholders emerged from the literature search, including startups, regulatory bodies like the FDA and EMA, healthcare professionals, ethics committees, industry organisations, data protection agencies, patient advocacy groups, and academic institutions. These stakeholders collectively share the responsibility for ensuring patient safety, data privacy, and ethical considerations throughout the development and use of health technologies. Further research is necessary to explore the allocation and sharing of these ethical responsibilities among stakeholders in the healthtech sector.
Having explored the unique journey that healthtech startups experience in their aspiration to develop valuable and sustainable solutions for health systems, is it evident that there are in fact many misunderstood and overlooked aspects, challenges and factors that make their mission more difficult than expected.
This thesis presents a first attempt to better understand the unique experience that healthtech startups have in their pre-scaleup development, and the findings of this thesis should become a starting platform for future research into this unexplored matter.","Health Tech; Start-up; Start-up Activities; start-up growth; Startup; Scale-up","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:1e7cf100-6a57-4075-8dae-41494bd2e2c5","http://resolver.tudelft.nl/uuid:1e7cf100-6a57-4075-8dae-41494bd2e2c5","Evaluating the feasibility of shared mooring systems on a combined solar and wind farm array","Schreuder, Camiel (TU Delft Mechanical, Maritime and Materials Engineering)","Colomes, Oriol (mentor); Haenen, A. (mentor); van Driel, R. (graduation committee); Grammatikopoulos, A. (mentor); Delft University of Technology (degree granting institution)","2023","The demand for clean energy has led to potential cost-effective solutions for the offshore industry. One of these solutions is shared mooring systems, where floating offshore structures for renewable energy are coupled to each other. While this approach saves mooring lines and anchors, it introduces new dynamic loading compared to conventional mooring systems. This study focuses on the feasibility of using a shared mooring system to combine floating offshore wind and floating solar support structures in different configurations in a farm layout.
This research uses the Volturn US-S platform to model the floating wind turbines and recreates the Tractebel Seavolt concept for the modelling of the floating solar arrays. Six configurations are simulated, undergoing irregular waves under various wave headings, load cases, and line materials. The configurations involve two wind turbines with one or more solar arrays in between them. A Quasi-dynamic model is used to identify critical cases. These cases are re-evaluated using OrcaFlex. The assessment of these configurations is done based on two Key Performance Indicators, related to line tensions and the floating support structures' displacements. During the process, polyester lines are chosen due to their favourable characteristics.
The four configurations that met the Key Performance Indicators are compared to a base case of a single turbine regarding its displacements and anchored line tensions. Effective utilisation of the shared lines and limited displacements lead to a preference for the configuration with three solar arrays. Additionally, the anchored line tensions of the turbine in this configuration increase the least compared to other configurations.
In summary, using a shared mooring system to combine both floating offshore wind structures and floating solar structures in a farm layout is feasible. Further research should be done on other configurations and the impact of these mooring systems on the Levelized Cost Of Energy. Additionally, wind and current loading should be incorporated in future studies.","Floating Wind; Floating Solar; Shared mooring","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:061cc85a-444a-4c51-a00c-2e47596319d5","http://resolver.tudelft.nl/uuid:061cc85a-444a-4c51-a00c-2e47596319d5","Approaches for Mapping Unique Phenotype Screens To a Genetic Interaction Network","Pronk, Bram (TU Delft Electrical Engineering, Mathematics and Computer Science)","Wessels, L.F.A. (mentor); P. Gonçalves, Joana (mentor); Yue, Z. (graduation committee); Huelsz Prince, Guizela (graduation committee); Delft University of Technology (degree granting institution)","2023","Targeted and successful cellular therapies for disease treatment require an extensive mapping of the complex structure and dynamics of molecular mechanisms which determine the behaviour and function of cell. CELL-seq is a genome-wide screening procedure measuring specific and targeted protein quantities as phenotypic readouts and is employed by the Netherlands Cancer Institute to analyze which genes regulate the protein state of interest. This research aims to explore the current compendium of CELL-seq screens that investigate a range of phenotypes, to create a mapping of gene-gene associations that share similar phenotypic profiles and elucidate biology that is hard to uncover with more conventional screening techniques.
We perform exploratory research to investigate the ability of the screen compendium to show network structures that reflect known biological processes. We find that with stringent requirements on interactions the screen compendium shows enrichment for a wide range of biological processes and known protein-protein interactions. We further conclude that the experimental design biases network behaviour and needs to be accounted for when constructing networks. We recommended a mutual k-nearest neighbor network construction approach, which yielded networks with the most biological relevance.
We compare the CELL-seq screens using findings from the approaches to the DepMap dataset, a well-known collection of synthetic lethality CRISPR screens, and find that the behaviour of these datasets is in many ways mirrored. We conclude that this is both due to the biology they represent and the differences in the number of screens in each dataset. Finally, we compare the coverage of biological processes between the HAP1 compendium and DepMap, and show large overlap in their coverage. Nonetheless, the differences they do show leads us to bring forward two hypotheses for gene-gene interactions that score strongly uniquely in the CELL-seq networks which are biologically plausible but are not found in DepMap or curated literature, warranting future investigations.
All code pertaining to the methods and figures in this work are hosted on GitLab by the High Performance Computing Facility of the Netherlands Cancer Institute. As such the code can be viewed by supervisors, but further details could be shared upon request.","Network design; Biological Model; Computational Design","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:e93862f4-08a3-4ccf-8865-b07548a25d59","http://resolver.tudelft.nl/uuid:e93862f4-08a3-4ccf-8865-b07548a25d59","Low-cost multi-core on-chip learning schemes","Shen, Zhaofeng (TU Delft Electrical Engineering, Mathematics and Computer Science)","Frenkel, C.P. (mentor); Makinwa, K.A.A. (graduation committee); Gao, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Nowadays, to reduce the dependence of devices on cloud servers, machine learning workloads are required to process data on the edge. Furthermore, to improve adaptability to uncontrolled environments, there is a growing need for on-chip learning. Limitations in power and area for edge devices have increased interest in low-cost neural network learning algorithms. However, as edge platforms are increasingly multi-core, new techniques are required to deploy learning algorithms on multi-core designs.
In this report, the performance of a low-cost multi-core on-chip learning platform with the local error learning (LEL) algorithm is evaluated. First, we reviewed state-of-art learning algorithms designed to solve the challenges of efficient neural network learning. We analyze these algorithms from the point of view of performance, hardware overhead, scalability, and the possibility of multi-core implementation. We propose a spatio-temporal learning framework for the combined use of LEL and e-prop. As a first proof of concept, we aim first at demonstrating multi-core LEL learning for image classification. Next, we constructed a software model suitable for multi-core on-chip driven by hardware requirements. With the software model, we then implemented the corresponding hardware and deployed it on a system-on-chip field programmable gate array (SoC FPGA) board to evaluate the performance. Results based on the CIFAR-10 image classification dataset show that the hardware design can fully reproduce the software runtime results. With a classification accuracy of 59.57\% after batch-size-1 on-chip learning, our design forms a stepping stone for the development of low-cost multi-core hardware that can adapt online to its environment.","Hardware accelerator; On-chip learning; FPGA","en","master thesis","","","","","","","","2024-09-01","","","","Electrical Engineering | Microelectronics","",""
"uuid:783c8088-df82-44ed-9ba9-199f55c153ac","http://resolver.tudelft.nl/uuid:783c8088-df82-44ed-9ba9-199f55c153ac","Re-designing the interaction of rowers with their menstrual cycle","Wundsam, Alina (TU Delft Industrial Design Engineering)","Cila, N. (mentor); Gomez Ortega, A. (graduation committee); Paternotte, Emma (graduation committee); Delft University of Technology (degree granting institution)","2023","There are more and more women who participate in sports on a professional level. The Olympic games in 1964, in Japan, have been the first ones where almost half of the athletes participating were female. But still, a lot of female athletes train based on what has worked for men. As in many other fields, there is a research data gap because studies of exercise, muscle and cardiovascular physiology are commonly studied on male participants (O’Halloran, 2020). That comes with obvious problems. Not taking the unique biological differences that women experience, including the menstrual cycle and hormonal fluctuations into account. Women’s bodies are not simply smaller versions of men’s, so it’s important that we approach training in a way that acknowledges and accommodates these differences. (Criado-Perez, 2019)
This graduation project explores the impact the hormonal cycle has on rowers performance and wellbeing. As well as how to include this female health aspect in the rowing practice to empower rowers to reach their fullest potential. The project applied a co-creation approach involving multiple experts from different disciplines such as gynocology, sportspychology and of course rowers and coaches. The research includes a study with over 200 rowers, multiple interviews and co-creation sessions with the experts.
The insights gained from the research were coined in the final result of this thesis. The outcome is a novel more meaningful approach to performance tracking and communication. As every women is different in their needs the rowers can choose one of the 5 tracking paths in the app. This ask daily questions to put their individual menstrual health factor in correlation with their performance. After each cycle, they reflect on their data by transferring information from the digital app into a physical booklet. This allows them to put their data into their own context, making them the owner of their experience and lets them select the relevant insights they would like to share with their coaches. Moreover the booklet also prepares the rowers for coach reflection meetings, that occur after three individual reflection moments, fostering proactive action by both rowers and coaches.","Data feminism; design for interaction; female athletes; menstrual cycle","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:9a4875c0-6af7-49c4-b050-6c855b40857c","http://resolver.tudelft.nl/uuid:9a4875c0-6af7-49c4-b050-6c855b40857c","Comparing Static Semantics Specifications for the IceDust DSL: A Case Study of Statix","Tilro, Jesse (TU Delft Electrical Engineering, Mathematics and Computer Science)","Groenewegen, D.M. (mentor); Ahrens, B.P. (graduation committee); Spaan, M.T.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Reusable tools for engineering software languages can bridge the gap between formal specification and implementation, lowering the bar for engineers to design and implement programming languages. Among such tools belong NaBL2 and its successor Statix, which are meta-languages for declaratively specifying the static semantics of programming languages and generating typecheckers accordingly.
Although Statix intends to cover the domain of static semantics specification to a greater extent than NaBL2, less is known about how the meta-languages compare in terms of their practical usability.
In this thesis, we perform a case study in which we apply Statix to define the semantics of IceDust, an incremental computing DSL for modeling data with relations, and compare it to a prior NaBL2 specification.
We compare the novel and prior specification in order to determine how the meta-languages, when applied to the case of IceDust, compare in terms of high-level characteristics: expressiveness, readability, implementation effort and runtime performance. We perform four evaluations to this end: a qualitative in-depth comparison of the specifications, a measurement of specification sizes, an evaluation of correctness and a runtime performance benchmark of the resulting type checkers.
Our findings suggest that although Statix has a larger coverage of possible language definitions, in the case of IceDust it is a less expressive formalism for defining the static semantics and generates a slightly less performant type checker when compared to NaBL2. We find areas of interest for future work aiming to improve the practical usability of Statix, namely the definition of type compatibility relations, the way data in the scope graph are stored and retrieved and the integration with the compiler back-end.","Spoofax; NaBL2; Statix; Static semantics; Type checking; Language workbench; Scope graph; IceDust; DSL; Domain Specific Language","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:fe37e161-7228-4a01-b354-bc5a2b285ec0","http://resolver.tudelft.nl/uuid:fe37e161-7228-4a01-b354-bc5a2b285ec0","Use of Aerospace thermoplastic composite production waste: Designing new applications through reshaping of thermoplastic composite strips","Lonis, Sam (TU Delft Industrial Design Engineering; TU Delft Aerospace Engineering)","Balkenende, A.R. (mentor); Dransfeld, C.A. (mentor); Atli-Veltin, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Thermoplastic composite materials are increasingly used in aircraft construction due to their high-mechanical properties, toughness, rapid processing rates and reprocessing possibilities at their end-of-life. In the production thermoplastic composite parts, 10-40% of the material remains unused, so-called production waste. Despite being a high-performance material that can be re-processed, real-world applications of the material into structures or products are lacking. This research explores how the thermoplastic composite production waste material can be utilised by designers and engineers, by demonstrating its use in a design process of new applications. This exploration resulted in the design of a structural member, that can be tailored to a specific function, and a design of a pedestrian bridge, which aims to stimulate the creative use of the material. By evaluating the design process, a framework is proposed which engineers and designers can utilise to design new applications from composite waste material.","Thermoplastic composites; Reshaping; Circular composites; Aerospace materials","en","master thesis","","","","","","Double degree in Aerospace Engineering and Industrial Design Engineering","","","","","","Integrated Product Design","",""
"uuid:6436abf4-345a-4930-be92-de7329adfe65","http://resolver.tudelft.nl/uuid:6436abf4-345a-4930-be92-de7329adfe65","Decoding the Wavelet Puzzle: Finding the Champion Mother Wavelet for Joint Impedance System Identification","Ren, Lambert (TU Delft Mechanical, Maritime and Materials Engineering)","Schouten, A.C. (mentor); van de Ruit, M.L. (mentor); Delft University of Technology (degree granting institution)","2023","To have a better understanding of difference in characteristics between various mother wavelets, this paper presents a comprehensive investigation into the performance of three commonly used non-orthogonal mother wavelets, namely Morlet, Paul and DOG, in a wavelet-based system identification approach when used for evaluating joint impedance. This method is further modified to make the estimation result much closer to the realistic result. Additionally, the optimization of smoothing parameters is explored across ten distinct situations, encompassing diverse stiffness waveforms such as step, square, sine, triangle, and sawtooth, as well as two different input perturbations. Performance metrics, including running time, random error, bias error, total error, and variance accounted for (VAF), are used to assess the performance of the system identification method in each scenario. The result shows that Paul wavelet yields a better result of stiffness estimation together with bias error for most situations after averaging. The DOG has the shortest running time and Morlet wavelet gives the highest VAF and lowest random and total error. The findings of this study contribute to a better understanding of the strengths and weaknesses of various mother wavelets in joint impedance estimation, providing valuable insights for future applications in the field of system identification and parameter estimation in neuromechanics control.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:1ef8ece2-6386-454c-8f6f-91856a3a03ff","http://resolver.tudelft.nl/uuid:1ef8ece2-6386-454c-8f6f-91856a3a03ff","Immersed Divergence-Conforming Finite Element Spaces","Sindy, Ferhat (TU Delft Electrical Engineering, Mathematics and Computer Science)","Vuik, Cornelis (mentor); Toshniwal, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Computational Fluid Dynamics (CFD) offers numerous benefits, notably the ability to study flows that are challenging or costly to investigate using experiments. A central challenge in CFD lies in simulating fluid flow around complex geometries. Additionally, the governing equations follow conservation laws. This thesis aims to establish the foundation for constructing Immersed divergence-conforming finite element spaces that address both challenges. To tackle the first issue, an immersed method is considered. Instead of generating a mesh that conforms to the object where the flow occurs, the approach involves placing the object within a predefined mesh. However, this introduces new challenges, the most significant of which is the ill-conditioning of the associated linear system. The condition number depends on the location of the immersed object and can lead to an extremely large condition number. The second challenge is resolved by discretizing the problem in a way that preserves essential topological and homological structures at a discrete level, utilizing the principles of finite element exterior calculus. Within this thesis, a structure-preserving subcomplex is developed for the de Rham complex in 1D, and its accuracy is validated through numerical experimentation. Optimal convergence is achieved, the discrete inf-sup test is satisfied, and the resulting linear system's conditioning remains unaffected by the placement of the immersed object. However, the constructed structure-preserving subcomplex for the de Rham complex in 2D, known as the immersed divergence-conforming finite element spaces, does not exhibit convergence. For future research, I recommend focusing on simpler vertical and skewed cuts before exploring more intricate immersed shapes. These simpler cuts involve straightforward choices for edge basis functions/1-form basis functions that are relevant. Additionally, if the outcomes remain unsatisfactory, considering a global approach instead of a local approach could be worthwhile.","Immersed Finite Element Method; Divergence Conforming Finite Element Spaces; B-splines; Finite Element Exterior Calculus","en","master thesis","","","","","","","","2024-09-06","","","","Applied Mathematics","",""
"uuid:ff13dbcd-da99-4599-ad7f-f3b7282681bb","http://resolver.tudelft.nl/uuid:ff13dbcd-da99-4599-ad7f-f3b7282681bb","Direction Finding and Localization with Bluetooth 5.2","ZHANG, XUCHANG (TU Delft Electrical Engineering, Mathematics and Computer Science)","Rajan, R.T. (mentor); Noroozi, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Constant Tone Extension (CTE) function introduced since Bluetooth Low Energy 5.1 greatly improves indoor Bluetooth Low Energy localization. These small, low-energy beacons transmit signals that Bluetooth-enabled devices can use to calculate proximity and positioning. This technology enables continuous navigation, location-based services, indoor wayfinding, and so on. The new feature, used together with an appropriate antenna array, can calculate the Angle of Arrival (AoA) and Angle of Departure (AoD). This study is solely concerned with AoA mode analysis.
Estimating AoA is challenging, especially indoors. Multipath signals, which greatly corrupt results in such a complex environment, cannot be ignored. The low-energy equipment used in BLE frequently results in a substantial frequency offset, which cannot be overlooked. Several elements are thoroughly examined in order to comprehend the positioning algorithm.
The processing of I/Q data is the first step in the prokect. Then we look at how frequency offset is created and how it affects estimation. We use maximum likelihood to calculate frequency offset after modeling the data structure. Following that, numerous AoA estimation and multipath-solving methods are discussed. We discuss the operation of a 4x4 URA and the advantages and disadvantages of having multiple antennas. A virtual antenna (VA) solution addresses hardware limitations, but it fails when it comes to multipath. Finally, we model AOA estimation and use the estimated angles to localize. The Matlab algorithm, as well as the LS and TLS methods, are introduced.
In this paper, we propose an end-to-end indoor BLE positioning solution. The algorithm is tested using a Matlab simulation. The multipath scenario is created by simulating an empty room with raytracing and focusing on first-order reflection routes. The simulation demonstrates that Toeplitz Reconstruction (TR) works best with the appropriate settings. Over 90% of the results in a 4-by-4 uniform rectangular array (URA) have position errors of less than 0.14 meters. In about 60% of cases, increasing the size of the 8-by-8 Uniform Rectangular Array (URA) results in a position accuracy of less than 0.1 meters.
Following the simulation, a real-world experiment is conducted to assess the solutions' practicability and efficacy. To reduce uncontrolled multipath interference, the experiment was carried out outside. The TR method has a distance inaccuracy of 0.4 m, whereas conventional methods have a distance inaccuracy of 0.1-0.2 m. The most difficult challenge is estimating elevation angles, which necessitates additional research. The results of azimuth angle estimation studies match those of 1-D AoA estimation studies. Even with a high prediction error for elevation angle, the distance error is lower than in previous positioning research.
Finally, we make recommendations for future research. This could include optimizing algorithm parameters, taking antenna-related factors into account, changing CTE configurations, and so on.","Bluetooth Low Energy; Direction Finding; Angle of arrival; positioning","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:d5cac71b-cd05-41d1-8dec-3e99d6a63d83","http://resolver.tudelft.nl/uuid:d5cac71b-cd05-41d1-8dec-3e99d6a63d83","Characterization of Single Photon Sources","van der Waal, Stijn (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft ImPhys/Optics)","Pereira, S.F. (mentor); Esmaeil Zadeh, I.Z. (mentor); Delft University of Technology (degree granting institution)","2023","Single-photon emitters are at the heart of quantum optics and photonic quantum-information technologies. Identifying and characterizing such quantum emitters requires sophisticated experimental physics. In this experimental master end project, we aim to design and build an optical setup that allows for the characterization of single photon sources. More specifically, we aim to build a setup that allows for photoluminescence (PL) spectroscopy and second-order autocorrelation (g(2)) measurements of single-photon emission (SPE).
The initial design of the optical setup is based on that of a micro-photoluminescence setup and optimized for single-photon emission from indium arsenide phosphide (InAsP) quantum dots (QDs). This design therefore includes a continuous-flow liquid-helium cryostat, and a high resolution monochromator that can be used to extract the emission line of a single QD transition.
The final version of the experimental setup is demonstrated to be able to perform high resolution PL spectroscopy measurements. g(2) Measurements are performed on room temperature hexagonal Boron Nitride (hBN) SPE using a free-space Hanbury-Brown and Twiss (HBT) interferometer containing two geiger-mode avalanche photodiodes (APDs) indicating pronounced photon antibunching. Due to malfunctioning of the cryostat, however, measurements have exclusively been performed at room temperature.","single; photon; sources; characterization","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:36771000-94ef-49bf-8a27-f072e48da221","http://resolver.tudelft.nl/uuid:36771000-94ef-49bf-8a27-f072e48da221","Bridging the Knowledge Gap: Improving the Information Provision for Hemophilia Patients","Eekelaar, Tjaisha (TU Delft Industrial Design Engineering)","Albayrak, A. (graduation committee); Dehli, S.R. (graduation committee); Mussert, C.M.A. (mentor); van Hoorn, E.S. (mentor); Delft University of Technology (degree granting institution)","2023","Hemophilia, a bleeding disorder, is divided into types A and B, resulting from coagulation factors VIII and IX deficiencies. The levels of these clotting factors in the body determine the severity of hemophilia. The classification includes severe, moderate, and mild hemophilia. Managing hemophilia involves options like on-demand and prophylactic treatment. A key part of managing this condition involves Hemophilia Treatment Centers (HTCs) as the primary healthcare providers for patients.
The HTC at Erasmus MC is one of the six centers in the Netherlands. It offers comprehensive care for adults and children with hemophilia and other rare bleeding disorders. In the pediatric department of Erasmus MC's HTC, children with hemophilia attend multiple outpatient clinic visits annually. During these visits, patients and their caregivers receive education about the bleeding disorder, its treatment, and potential consequences. However, grasping the information during these visits can be challenging, especially as most of it is conveyed verbally. This poses a particular difficulty for patients and caregivers with lower literacy levels.
To enhance the information provided to hemophilia patients and caregivers, HTCs must offer comprehensive support to ensure patients understand the information communicated during outpatient clinic visits. Improving information provision in these contexts aims to make significant progress towards a future where patient information and education are improved, resulting in better outcomes for those living with hemophilia.
This graduation project involved conducting research through literature reviews, analyzing materials, observing HTC's operations, and interviewing healthcare professionals, patients and their caregivers, and relevant stakeholders. The findings revealed several pain points regarding the current information provision. These areas include the information exchanged during outpatient clinic visits and the accessibility of provided information outside of these visits. Consequently, two solutions were developed: an information tool named ""Samen Spraak,"" designed to enhance information exchange between healthcare professionals and parents by introducing interactivity and structure during consultations. This tool is then detailed in a strategy outlining a digital solution's implementation roadmap.
Based on this developed tool and strategy, it is advisable to classify it under the Dutch Association for Hemophilia Patients (NVHP) for further implementation and testing. Future research could explore expanding the approach to other blood clotting disorders across all age groups.","Human-Centered Design; Information provision; Implementation; Healthcare","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:c4a3c949-2754-4083-915b-e47b970cebc2","http://resolver.tudelft.nl/uuid:c4a3c949-2754-4083-915b-e47b970cebc2","Recurrent Neural Network-based Digital Predistortion for wideband Radio Frequency Power Amplifier","Wu, Yizhuo Wu (TU Delft Electrical Engineering, Mathematics and Computer Science)","Gao, C. (mentor); de Vreede, L.C.N. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the advancement of 5G/6G radio networks, the demand for high-performance power amplifiers (PAs) with clean spectra and compact constellations has increased significantly. To address these challenges, Artificial Intelligence (AI)-based digital predistortion (DPD) has emerged as a promising approach to linearize radio-frequency (RF) PAs. However, existing state-of-the-art AI-based architectures rely on computationally expensive online feature extraction to achieve satisfying linearization performance, resulting in complicated algorithm data paths and difficulty in energy-efficient hardware implementation. This thesis proposes a new deep recurrent neural network (RNN)-based DPD architecture, called Skip Gated Recurrent Unit (SGRU), with precise offline baseband signal feature extraction to bypass the need for complex online feature extraction while still maintaining high linearization performance. The proposed RNN architecture employs the end-to-end (E2E) learning framework to implement an efficient DPD model. By combining the offline feature extraction and E2E framework, we achieved a more streamlined and faster training method for wideband RF power amplifier (PA) DPD. With a simplified neural network architecture and fewer parameters, our approach utilizes 394 parameters to achieve an adjacent channel power ratio (ACPR) (lower/upper) of -45.16/-44.31 dBc for 100 MHz orthogonal frequency division multiplexing (OFDM) signal, ACPR (lower/upper) of -38.44/-42.09 dBc for 200 MHz OFDM signal. Compared to previous state-of-art phase gated just-another-network (PG-JANET) [1] and decomposed vector rotation just-another-network (DVR-JANET) [2], our approach has better ACPR and error vector magnitude (EVM) performance with parameters around 400. Compared to vector decomposition long-short-term memory (VDLSTM) [3], our approach achieves a better lower/upper band balance.","Digital Predistortion; RF power amplifier; Behaviour modelling; recurrent neural networks","en","master thesis","","","","","","","","2025-08-31","","","","Electrical Engineering","",""
"uuid:e8480d5e-2b76-4930-8cf0-b727e9036186","http://resolver.tudelft.nl/uuid:e8480d5e-2b76-4930-8cf0-b727e9036186","Enhancing the Transition from the Ideation to the Successful Market Entry of Emerging Medical Technologies: A Qualitative Research Approach","KYRKIMTZIS, APOSTOLOS (TU Delft Technology, Policy and Management)","Scholten, V.E. (graduation committee); van der Linden, N. (graduation committee); Vandekerckhove, P.B.M. (mentor); Delft University of Technology (degree granting institution)","2023","In an era marked by healthcare advancements driven by innovative technologies, the integration of emerging medical breakthroughs like VR, AI, and IoT has propelled the MedTech industry's exponential growth. Despite their transformative potential, navigating the MedTech commercialization pathway presents formidable challenges; new medical technologies either fail to reach the market or enter the market but do not add significant value to the health system. This study seeks to address this issue by comprehensively exploring the intricate dynamics that underlie the transition from ideation to successful market entry of emerging medical technologies. A thorough literature review accompanied by qualitative research with semi-structured interviews to gain insights from diverse stakeholders in the MedTech ecosystem, uncovering multifaceted perspectives that enrich the understanding of this complex process. The research identifies and analyses six dimensions crucial for the MedTech commercialization trajectory: organizational strategy, design strategy, business strategy, commercial strategy, marketing strategy, and post-commercialization strategy. The findings underscore the significance of factors such as a strong interdisciplinary startup team credible toward investors; careful designed strategies, influenced by input from patients and physicians and balancing internal control and collaborative development; a grasp of the MedTech ecosystem's value chain and early HTA to demonstrate the cost-effectiveness of the technology and guide a smart business strategy aligned with stakeholders’ incentives; safeguarding intellectual property ensures consistent revenue streams; strategically navigating the commercial pathways toward the professional care or the mass market; a strong marketing strategy to reach customer channels and boost sales and awareness; and adaptability to secure long-term achievements beyond the initial launch phase. Ultimately, the study develops a strategic roadmap that offers practical guidance to innovators seeking to navigate the intricate journey from idea inception to market success of emerging medical technologies. This research holds potential to foster smoother transitions and enhance the likelihood of successful market entry for emerging medical technologies, fostering a transformative impact on healthcare systems and patient outcomes.","MedTech; commercialization; emerging technologies; healthcare; strategic roadmap","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:e4b59a87-c486-482b-bc1e-7b53ff88a31b","http://resolver.tudelft.nl/uuid:e4b59a87-c486-482b-bc1e-7b53ff88a31b","Koekoekspolder geothermal field development with long-term sustainability (100 years)","Ibrahim Abdelkader Ibrahim, Jafer (TU Delft Civil Engineering & Geosciences)","Geiger, S. (mentor); Rossen, W.R. (mentor); Martinius, A.W. (graduation committee); Delft University of Technology (degree granting institution)","2023","Revealing an optimal geothermal development strategy attempt with long-term sustainability (upcoming 100 years) based on a real 3D model derived from seismic data is considered one of the main contributions of this research study. The heat extraction and thermal recharge of the reservoir must be in balance to extend the productive lifetime of the Koekoekspolder field system. Based on the best results obtained among different unconventional thermal development approaches, the best locations for the new production wells or extra geothermal doublets as well as the timing, injection temperatures, and rate at which the doublets operate are determined. The best strategy to develop the Koekoekspolder field can be achieved by several steps such as understanding the reservoir properties such as the sedimentary facies, porosity, and permeability distribution by analyzing the literature studies and the static model that simulates the Slochteren formation using Petrel software based on the seismic and log data available followed by a dynamic model that mimics the flow of the hot aquifer inside the reservoir using Eclipse 300 software. Both static and dynamic models must be calibrated by the accessible production data to decrease inaccuracy. The thermal boundaries that are taken into consideration for the koekoekspolder field are not mere confining layers. The workflow of this research study ensures a high degree of realism in terms of the input data and output information of the thermal model of the Koekoekspolder field. The best locations for the new production wells or extra geothermal doublets are determined based on the best simulation results obtained from this research study which fulfill the future energy demand increment. The procedures used in this research study give clear guidance on how the Koekoekspolder field or any other geothermal field can be sustainably developed using a robust history-matched model that has reliable predictions. The low-enthalpy deep geothermal system of the koekoekspolder field is optimized and developed using different types and scenarios of operational strategies for doublets which allow adequate periods for operational thermal recharge. This study takes into consideration different thermal parameters that are not common to achieve enhanced predictions. Moreover, it illustrates the importance and benefits of considering reservoir boundary conditions. Finding suitable sustainable geothermal field development for the Koekoekspolder field can be achieved by new well-studied techniques that can ensure adequate thermal recharge periods. The results of this research study show that the energy demand can be fulfilled with low investment costs to increase the profit of the field owner. Long-term (around 1 century) sustainable development of geothermal fields such as Koekoekspolder and the new technology in this research study can partially contribute to achieving the geothermal master plan objectives in the Netherlands as well as enhancing low and high-enthalpy geothermal field development worldwide.","Sustainable; geothermal field; unconventional thermal; development approaches","en","master thesis","","","","","","","","","","","","Geo-Energy Engineering","Koekoekspolder geothermal field development with long-term sustainability",""
"uuid:5bc8cb13-4147-4ff3-875f-fc3fd515c975","http://resolver.tudelft.nl/uuid:5bc8cb13-4147-4ff3-875f-fc3fd515c975","Event-Driven Graph Neural Network Accelerators for Low-Power Vision","Yang, Yufeng (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Microelectronics)","Makinwa, K.A.A. (mentor); Frenkel, C.P. (mentor); Gao, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Event-based cameras promise new opportunities for smart vision systems deployed at the edge. Contrary to their conventional frame-based counterparts, event-based cameras generate temporal light intensity changes as events on a per-pixel basis, enabling ultra-low latency with microsecond-scale temporal resolution, low power consumption at milliwatts level, and sparse information encoding where only dynamic objects trigger events, effectively excluding static background data. However, mainstream computer vision algorithms based on convolutional neural networks (CNNs) hardly exploit these advantages of event-based cameras. Recently, event graph neural networks (event-GNNs) have been proposed as the backbone for novel event-based vision algorithms. By treating events as graph data, GNNs are able to process events while preserving their spatiotemporal information and sparse characteristics. Further studies also revealed an event-driven computation workflow that translates an event stream into a dynamic, evolving graph, outlining a path toward low-latency event-based vision. Despite these promises, event-GNNs are still calling for dedicated hardware accelerators toward integrated solutions with real-time prediction latency and low power consumption for real-world edge intelligence.
In this thesis, for the first time, we proposed an event-driven GNN accelerator for low-power, high-speed edge vision. Through hardware-algorithm co-design, an event-driven GNN model is adopted for deployment on an edge FPGA platform without prediction accuracy loss. We also pointed out two novel optimizations, edge-free storage and layer-parallel computation, to further decrease memory footprints and processing latency. The proposed accelerator is implemented on the Xilinx KV260 System-On-Module (SOM) platform containing an UltraScale+ MPSoC FPGA, and benchmarked on-board. Targeting a car recognition task based on the NCars dataset, our accelerator achieves a prediction accuracy of 87.8%. Meanwhile, operating with a 6.86W board-level system power, the accelerator reaches an average 16μs prediction latency per event and runs 9.2× faster than its software counterpart running on an NVIDIA RTX A6000 GPU platform. Therefore, our event-driven GNN accelerator efficiently allows for both real-time and microsecond-resolution event-based vision at the edge.","Event Cameras; Graph neural network; Hardware accelerator","en","master thesis","","","","","","","","2025-09-05","","","","Electrical Engineering","",""
"uuid:9a8ba8f5-a253-4a34-bf61-eb654a570ec2","http://resolver.tudelft.nl/uuid:9a8ba8f5-a253-4a34-bf61-eb654a570ec2","BECLR: Batch Enhanced Contrastive Unsupervised Few-Shot Learning","Poulakakis Daktylidis, Stelios (TU Delft Electrical Engineering, Mathematics and Computer Science)","Jamali-Rad, H. (mentor); Reinders, M.J.T. (graduation committee); Delft University of Technology (degree granting institution)","2023","There exists a fundamental gap between human and artificial intelligence. Deep learning models are exceedingly data hungry for learning even the simplest of tasks, whereas humans can easily adapt to new tasks with just a handful of samples. Unsupervised few-shot learning (U-FSL) aspires to bridge this gap, without relying on costly annotations. Inspired by the efficiency of contrastive representation learning, we propose a novel batch enhanced contrastive U-FSL pretraining methodology (coined as BECLR) to infuse instance- and class-level insights
within a contrastive framework. To enable the sampling of meaningful positives, we introduce an innovative dynamic clustered memory module (DyCE), which maintains highly-separable latent space partitions, through iterative equipartitioned updates. We also propose an effective, optimal transport (OT)-based feature alignment strategy (OpTA), to address sample bias in the U-FSL inference stage and further boost the end-to-end performance of BECLR in low-shot settings. Our extensive experimental evaluation corroborates the efficacy of our design choices
in BECLR, which sets a new state-of-the-art on the most widely adopted U-FSL benchmarks miniImageNet and tieredImageNet (offering up to 14% and 12% improvements, respectively), as well as on challenging cross-domain scenarios.","few-shot learning; representation learning; self-supervised learning; optimal transport","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:211fbc67-cd20-4615-9706-30ef088534a3","http://resolver.tudelft.nl/uuid:211fbc67-cd20-4615-9706-30ef088534a3","The Impact of Load Carrier Types and Staging-Level Designs on Cross-Docking Performance under Uncertainty: A Discrete Event Simulation Study","Hofstee, Toon (TU Delft Civil Engineering & Geosciences)","Duinkerken, M.B. (mentor); Fazi, S. (mentor); Negenborn, R.R. (mentor); Delft University of Technology (degree granting institution)","2023","This research paper focuses on improving the performance of cross-docking operations under uncertainty in the context of e-commerce logistics. The growth of e-commerce sales has increased product returns and complexity to supply chains. To address this issue, this study investigates how cross-docking operations can be improved under external and internal uncertainty factors. The research begins with a literature review to understand cross-docking facilities (CDFs) and measures to mitigate the effects of uncertainty. The current state of a CDF in a case study for a Fourth Party Logistics (4PL) provider is examined, and by reflecting on the literature overview, two potential means for decreasing the effects of uncertainty are identified: staging-level design and load carrier-type design.
A Discrete Event Simulation (DES) model is developed to test the effects of staging-level design and load carrier types on the performance of the CDF. The simulation model captures input factors such as truck arrivals, freight levels, and the purity level of cross-docking. The simulation model’s performance is tested for different scenarios, and the effects of different design alternatives are analyzed.
The results demonstrate that two-stage cross-docking with pallets can significantly reduce the total makespan and improve operational efficiency compared to single-stage cross-docking with pallets. The results also show that using roll containers significantly decreases the chance of intra-terminal congestion but also results in longer unloading and reloading times. The research contributes to the understanding of cross-docking operations under uncertainty, stresses the importance of staginglevel
and load carrier type design on CDF performance, and provides insights for logistics companies seeking to optimize their e-commerce supply chains.","cross-docking; logistics; 4PL; simulation; modeling; staging-level design; load carrier type; cross-docking purity","en","master thesis","","","","","","","","2023-08-29","","","","Transport, Infrastructure and Logistics","",""
"uuid:7bbb7454-20f0-4338-be76-38e09eb4bd00","http://resolver.tudelft.nl/uuid:7bbb7454-20f0-4338-be76-38e09eb4bd00","Improved reaction loads incroporated in sea fastening designs of offshore wind turbine components: A study on preparing the reaction loads calculation method for the future","van Slobbe, Davi (TU Delft Mechanical, Maritime and Materials Engineering)","Seyffert, Harleigh C. (mentor); Leira, Bernt Johan (mentor); Remmers, J.A. (mentor); Hogerheijde, Joost (mentor); Delft University of Technology (degree granting institution); Norwegian University of Science and Technology (NTNU) (degree granting institution)","2023","The offshore wind energy market is expanding and the number of offshore wind turbines being installed in the near future is rising. Offshore wind turbines are being installed further offshore and in deeper waters. Besides, to lower the cost of wind energy offshore wind turbines are increasing in size and power output. Both wind turbines and their support structures are expected to keep increasing in size and weight in the coming years. After fabrication, wind turbine components and support structures have to be transported to onshore storage depots or to their offshore location. To enable safe transports, wind turbine components and support structures are constraint to heavy transport vessels or transport barges by sea fastening structures. As a result of wind turbine components and support structures increasing in size and weight, the reaction loads for which sea fastening structures need to be designed are increasing as well. Since increasing reaction loads have various negative consequences which are expected to become more critical for future transports, there is a need for an optimized reaction load calculation.
The current method of calculating the reaction loads which is widely used in the industry is often referred to as being a conservative method. The aim of this thesis was to enhance the existing calculation method of the reaction loads by shifting from a conservative approach towards a method of calculating reaction loads based on an acceptable probability of occurrence during transports. By calculating the reaction loads for an acceptable probability of occurrence it is avoided that sea fastening structures are designed for overly conservative reaction loads while the structural reliability of these structures will still be ensured.
In this thesis an existing sea fastening design project from the industry was used to perform a case study. Data and information from this project were used as input to perform motion analyses of a vessel which is transporting a jacket support structure. The obtained linear wave-induced accelerations of the jacket CoG were used as the main input for calculating the reaction loads. It was first investigated how these 6-DoF accelerations of the CoG are used in the current method of calculating the reaction loads. This was followed by introducing statistical extreme value theory with the purpose of using the accelerations of the jacket CoG as input for a probabilistic method of calculating the reaction loads.
The findings of this research show that optimized reaction loads can be obtained by replacing the current calculation method by a long-term probabilistic method. It was found that this long-term probabilistic method could be derived by combining 3-hour extreme value density functions of reaction loads with the probabilities of encountering the various sea states at the location on the route for which the most severe environmental conditions are expected. The long-term probabilistic method was used to perform a probabilistic investigation of the reaction loads calculated with the current calculation method. It was found that the return periods of the reaction loads calculated with the current method were significantly different for the individual jacket legs. Moreover, it was found that the sea fastening design for at least one of the jacket legs was expected to be over-conservative. By presenting the long-term probabilistic calculation method, a methodology was introduced which determines reaction loads based on acceptable return periods while avoiding over-conservative sea fastening designs.
This research has provided a new insight into the method of designing sea fastening structures. The long-term probabilistic calculation method can be applied in practice to determine optimized reaction loads incorporated in sea fastening designs. This research therefore makes a valuable contribution to preparing the reaction load calculation method for future transports which are expected to become more critical due to wind turbine components and their support structures growing in size and weight.
both the picking as well as the replenishment side of the system. It is important to investigate both sides of the system since the flaws on one side can negatively affect the other side. The scores of the replenishment and picking processes are then averaged to gain a final score which indicates the overall performance of the
policies. Finally a fifth policy has been developed where each pod can contain multiple different sized compartments. Unfortunately testing and verification of this policy was not possible in the given time frame. For this reason it has been left out of the experiments.","Robotic Mobile Fulfilment System; Storage policy; Replenishment performance; Simulation","en","master thesis","","","","","","https://github.com/Mitchel703/RAWSimO-Test: Repository of the used code in the experiment https://github.com/Mitchel703/RAWSimO-Compartments : Repository to the developed policy with compartments.","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:765caaef-0a78-48c3-ab7e-a9512cc20978","http://resolver.tudelft.nl/uuid:765caaef-0a78-48c3-ab7e-a9512cc20978","Spatial Profiling of Tumor Microenvironments in Breast Cancer","Brouwer, Niek (TU Delft Electrical Engineering, Mathematics and Computer Science)","Wessels, L.F.A. (mentor); Vis, Daniël J. (mentor); Liem, C.C.S. (graduation committee); P. Gonçalves, Joana (graduation committee); Delft University of Technology (degree granting institution)","2023","The tumor composition of breast cancer determines how tumors behave. Yet, there is a limited understanding of the arrangement of tumor cells in relation to cells in the tumor microenvironment (TME). In this research, we have characterized distance relationships between 324 cell-type pairs in 749 tissue samples of the Molecular Taxonomy of Breast Cancer International Consortium (METABRIC) study using Weibull distribution estimations, summarizing comprehensive spatial relationships with two parameters. The research showcased the first application of the method to a dataset of this substantial size and a dataset acquired with imaging mass cytometry. We identified distinct spatial relationships among breast cancer subtypes, particularly for basal, HER2-enriched, and luminal A tumors. The spatial relationships indicate attractive and repulsive interactions between different cell types and define cellular arrangements regardless of cellular abundance.
Moreover, several spatial relationships had significant associations with survival outcomes. Both findings could improve patient stratification and prognosis and emphasize the wealth of information that spatial analyses can retrieve. The results also confirm that Weibull distribution estimations are a suitable and effective method to summarize distance distributions. The application to other cohorts could lead to new insights into the tumor composition of different cancer types. Finally, the spatial profiling method was used to characterize neighborhoods and revealed distinct spatial relationships consistent with neighborhood characteristics but also provided new hallmarks.","Spatial analysis; Cancer; Survival Analysis; Breast Cancer","en","master thesis","","","","","","","","","","","","Computer Science | Bioinformatics","",""
"uuid:df4fb5f3-a032-4c71-9802-5828d7d368a8","http://resolver.tudelft.nl/uuid:df4fb5f3-a032-4c71-9802-5828d7d368a8","Diastolic strain rate by cardiac MRI for predicting mortality in kidney transplant recipients","van der Kaaij, Daniek (TU Delft Mechanical, Maritime and Materials Engineering)","Dekkers, I.A. (mentor); Lamb, H.J. (mentor); de Vries, A.P.J. (mentor); Ray, A. (graduation committee); van den Dobbelsteen, J.J. (graduation committee); Delft University of Technology (degree granting institution); Leiden University Medical Center (degree granting institution); Erasmus MC (degree granting institution)","2023","Introduction: High cardiovascular mortality primarily limits survival following kidney transplantation (KTx). The increased risk is intrinsically connected to cardiac structural and functional abnormalities. LV volumetric imaging measurements are used to quantify this, such as left ventricular ejection fraction (LVEF) and left ventricular end-diastolic volume index (LVEDVi). However, there is an increasing interest in the assessment of myocardial strain. It provides direct information about myocardial function by measuring the deformation of myocardial fibres during the cardiac cycle. An impaired LV diastolic strain is associated with adverse outcomes in end-stage kidney disease (ESKD) patients. Cardiac magnetic resonance imaging (MRI) has emerged as the golden standard for evaluating cardiac structure and function. However, studies investigating the prognostic value of myocardial strain are mainly based on echocardiography, and diastolic strain still needs to be examined in KTx recipients.
Aim: It was hypothesized that myocardial strain has the potential to detect early diastolic dysfunction more effectively when compared to LV volumetric measurements. The primary objective of this thesis was to determine whether it is possible to predict all-cause mortality of KTx recipients on cardiac MRI two weeks post-KTx by diastolic strain.
Methods: Data from patients included in the Amsterdam Leiden GROningen (ALEGRO) trial were used. Medis Suite MR was used to calculate LV volumetric outcomes and identify deformation of the LV during the cardiac cycle. A MATLAB script was developed to obtain diastolic strain rate outcomes from these data. Kaplan-Meier curves were used for survival analysis and Cox regression analysis was performed to identify independent predictors of survival.
Results: 67 patients were included with cardiac MRI at baseline, two weeks post-KTx. The mortality was 27\% (n=18) over a median follow-up of 10 years. Significant differences between survival distributions were found based on longitudinal peak early diastolic strain rate (PEDSR) at baseline. Using LV volumetric outcomes, including LVEF, LVEDVi and left ventricular end-systolic volume index (LVESVi), this was achievable starting from a follow-up of one year. Multivariable analysis showed that longitudinal PEDSR was the strongest independent predictor of mortality at baseline.
Conclusion: It is demonstrated that myocardial strain has the potential to detect early diastolic dysfunction more effectively when compared to LV volumetric outcomes. Longitudinal PEDSR measured two weeks post-KTx by cardiac MRI independently predicts all-cause mortality and provides incremental prognostic information beyond clinical parameters. Further research in cardiovascular therapeutics is an essential next step in improving KTx recipients' prognosis.","cardiac function; kidney transplantation; magnetic resonance imaging; myocardial strain","en","master thesis","","","","","","","","","","","","Technical Medicine","",""
"uuid:e94fb0a6-059f-48cd-afe2-b04b1952c63d","http://resolver.tudelft.nl/uuid:e94fb0a6-059f-48cd-afe2-b04b1952c63d","Multimodal Input-Dependent Object Query Initialization for LiDAR-Camera 3D Object Detection with Transformers","van Geerenstein, Mathijs (TU Delft Mechanical, Maritime and Materials Engineering)","Gavrila, D. (mentor); Ruppel, Felicia (graduation committee); Delft University of Technology (degree granting institution)","2023","3D object detection models that exploit both LiDAR and camera sensor features are top performers in large-scale autonomous driving benchmarks. A transformer is a popular network architecture used for this task, in which so-called object queries act as candidate objects. Initializing these object queries based on current sensor inputs leads to state-of-the-art performance. Existing methods rely strongly on LiDAR data however, and do not fully exploit image features. Besides, they introduce significant latency.
To overcome these limitations we propose EfficientQ3M, an efficient, modular, and multimodal solution for object query initialization for transformer-based 3D object detection models. Using both the LiDAR and camera modalities as input, we use efficient grid sampling and a lightweight detection head to predict a set of initial object query locations and corresponding query feature vectors. The proposed initialization method is combined with a “modality-balanced” transformer decoder where the queries can access all sensor modalities throughout the decoder.
We achieve state-of-the-art performance for both LiDAR-camera and LiDAR-only sensor setups on the competitive nuScenes benchmark while being up to 15 times more efficient than the closest related method. The proposed initialization can be applied with any combination of sensor modalities as input, demonstrating its modularity.
A driving instructor application was developed where users are presented with short interactive scenarios in a 3D environment where they take control of the vehicle using a steering wheel and pedals and learn to make correct decisions when driving. For the research experiment the sessions were divided into two different modes, linear or adaptive. In the linear mode there was a strict gradual increase in difficulty. In the adaptive mode, the user's performance in the previous scenarios determined which scenario is presented next. The collected on user performance and self-efficacy showed no significant difference in user performance. There was however a significant increasement in self-efficacy when users played the adaptive session. This validates the positive value that personalization could bring to training applications.","Scenario-based Training; Serious Games; Personalization; Intelligent Agents; Adaptive Difficulty","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:598da5c4-154b-4f39-95a9-a9ebf431d471","http://resolver.tudelft.nl/uuid:598da5c4-154b-4f39-95a9-a9ebf431d471","Reservoir Heterogeneity Effect on CO2 Storage: Investigate the effect of carbonate-cemented layers in the Roda Sandstone on the migration of the CO2 plume at a short-term timescale using RRM and DARTS","Alghamdi, Majed (TU Delft Civil Engineering & Geosciences)","Martinius, A.W. (mentor); Geiger, S. (graduation committee); Voskov, D.V. (graduation committee); Delft University of Technology (degree granting institution)","2023","The storage of carbon dioxide is now regarded as a critical industrial application aimed at mitigating its accumulation in the atmosphere. Reservoirs and aquifers have been identified as viable alternative locations. This report seeks to examine the impact of sedimentological heterogeneity on the development and migration of CO2 plumes over time. The study uses the Roda Sandstone Formation as a case study, primarily due to the presence of carbonate-cemented layers within the Gilbert-delta lobes, which contribute to reservoir heterogeneity commonly observed in subsurface formations. The utilization of a sketch-based modeling approach was employed in constructing the geo-models represented by Rapid Reservoir Modeling (RRM) software since the Roda Sandstone is an exposed section in Isabena Valley in Spain. Furthermore, various realizations are constructed using different parameters of the cemented layers to comprehensively comprehend all potential scenarios. The aforementioned observations pertain to variations in the thickness and lateral continuity of the cemented layers. Additionally, dynamic modeling is also performed by injecting CO2 into the reservoir for 50 years. The simulator utilized for dynamic modeling is the Delft Advanced Research Terra Simulator (DARTS). The findings demonstrate that reservoirs with moderate sedimentological heterogeneity are actually better sites to store CO2 due to the capacity and effectiveness of the storage.","Reservoir Characterization; Reservoir Modeling; CO2 Sequestering; Roda Formation","en","master thesis","","","","","","","","","","","","Geo-Energy Engineering","",""
"uuid:7b67aaa9-41a5-4bc3-b987-68f34ed145d9","http://resolver.tudelft.nl/uuid:7b67aaa9-41a5-4bc3-b987-68f34ed145d9","Amyloid-beta plaque quantification and analysis","de Vries, Chiel (TU Delft Electrical Engineering, Mathematics and Computer Science)","Reinders, M.J.T. (mentor); Zhang, X. (graduation committee); Höllt, T. (graduation committee); Hoozemans, J.J. M. (graduation committee); Rhode, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Alzheimer's disease (AD) is becoming more prevalent as the world population gets older. The formation of Amyloid-beta (\AB) plaques is one of the pathologies related to AD. Recent work has shown that the \ab load in brain tissue has a negative correlation with cognitive performance in cognitively healthy centenarians.
This work aims to expand this research by investigating whether the types of \ab plaque present are linked to cognition and by comparing the types of plaques in the centenarian cohort with an AD cohort.
For this task, a system is developed that can identify \ab plaques in images of brain tissue. It first automatically segments the grey matter using a fine-tuned U-net. Then the plaques are located using traditional image processing techniques. Lastly, shape and size features are extracted from the plaque in addition to a feature vector made by a pre-trained AlexNet. K-means clustering is used on AlexNet features to find categories for the plaques.
The clustering approach failed to yield good results. However, the area and roundness are differently distributed between the AD and centenarian cohorts, but the differences are small. Correlations have been found between the area and roundness of plaques in the occipital pole and cognitive performance in centenarians. They indicate that cognitively stronger individuals have smaller and less round \ab plaques in their brains. More research is necessary to reveal the true extent of the impact of plaque types on cognition.","image processing; Whole Slide Imaging; U-net; amyloid-beta; plaques; Alzheimer's Disease (AD)","en","master thesis","","","","","","","","","","","","Electrical Engineering | Bioelectronics","",""
"uuid:ffaa1c8a-3cd1-4f62-9e3b-be10bbc2dba1","http://resolver.tudelft.nl/uuid:ffaa1c8a-3cd1-4f62-9e3b-be10bbc2dba1","A Sensor-Based Laminar-Turbulent Wall-Stress Model for Large Eddy Simulation","Lam, Sam (TU Delft Aerospace Engineering)","Hickel, S. (mentor); Pasquariello, Vito (mentor); Delft University of Technology (degree granting institution)","2023","Wall-modeled large eddy simulations (WMLES) are becoming an increasingly viable tool to study complex unsteady turbulent flows. Conventional wall models applied in these simulations are however not applicable to laminar boundary layers. While these encompass only a tiny fraction of the total surface area, erroneous predictions in this region of the flow can greatly impact the downstream flow field. In the present study, a new wall model is proposed by combining the laminar wall model and turbulent wall model with the use of a transition model marking the laminar and turbulent regions. The proposed wall model is applied to the laminar flat plate, wedge and laminar NACA 0012 flow. Results show that errors incurred at the unresolved leading edge, where the similarity solution used by the laminar wall model is invalid, accumulate in the velocity profiles for the flat plate and wedge flow cases. In underresolved regions near the leading of the NACA 0012 or near the tip of the wedge, good approximations to reference data have been found. The proposed wall model is also applied to a high Reynolds number flow involving an airfoil near stall. The proposed wall model shows promising results with good agreement for the skin friction distribution, especially in capturing the laminar skin friction peak if the transition location is known. However, the transition sensor considered for switching between the laminar and turbulent mode of the wall-stress model performs unsatisfactory. Other discrepancies in the results, such as capturing the laminar separation bubble and trailing edge separation are attributed to the relatively coarse meshes used. Last but not least, the computational cost incurred by the new wall model is marginal.","wall modelled large eddy simulation; Large Eddy Simulation; Wall modelling; transition modelling; Laminar wall model; Immersed boundary method","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Aerodynamics","",""
"uuid:28f594b4-b24f-4aec-b01c-662e777ca971","http://resolver.tudelft.nl/uuid:28f594b4-b24f-4aec-b01c-662e777ca971","Evaluation of CMIP6 models performing on rainfall seasons and moisture tracking simulation in Yangtze River Basin","Zhou, Wenyu (TU Delft Civil Engineering & Geosciences)","van der Ent, R.J. (mentor); Delft University of Technology (degree granting institution)","2023","This study evaluates the performance of the CMIP6 models in simulating monsoon rainfall and moisture tracking in the Yangtze River basin. The findings reveal varying degrees of accuracy across different regions of the basin during the monsoon period. Downstream and midstream regions demonstrate higher accuracy, whereas upstream areas exhibit lower precision, along with an overall trend of overestimation. The evaluation encompasses the timing of monsoon months, as well as the peak month, while analyzing the simulation’s accuracy for rainfall. It also entails an overarching examination through a Taylor Diagram and Taylor skill scores, which spotlight models with superior and inferior performance. EC-Earth3 exhibits commendable performance, whereas models like IITM-ESM showcase poorer results. Furthermore, moisture tracking assessments, utilizing the WAM2layers model, identify limitations within the CMIP6 model in terms of replicating water vapor sources and pathways, especially in proximity to geographical features such as the Himalayas and the coastline. In addition to the basin itself, the CMIP6 model simulates central Asia as the main source of evaporation, rather than the Indian Ocean, according to the results of
ERA5. However, no obvious pattern differences are shown between the different CMIP6 GCMs. Persistent challenges stem from data availability and numerical inconsistencies, necessitating enhancements in both the CMIP6 models and the WAM2layers code.
The chosen research approach is a comparative case study, focusing on smart grid integration in the Netherlands. The Institutional Analysis and Development framework aids in analyzing complex socio-technical systems, particularly energy transitions and smart grids. Three cases were examined: Schoonschip Amsterdam, GridFlex Heeten, and Groene Mient The Hague. Data collection involved literature review and 14 semi-structured interviews with experts and stakeholders. Interview data was deductively coded using the IAD framework, facilitating comparative case analysis and cross-case analysis using the ASI diagram (Actors, System & Institutions).
The transition of the Dutch electricity system into a competitive liberalized market has increased the importance of smart grids for sustainability. Decentralized energy production, facilitated by smart grids, requires technological advancements and institutional changes at various levels. Successful smart grid implementation in the Netherlands involves obtaining exemptions, feasibility studies, and engaging legal entities like homeowners' associations and energy cooperatives. Cases emphasized the significance of pre-exemption feasibility studies, technological requirements, stakeholders like Distribution System Operators, and energy management systems.
The findings highlight the uniqueness of each case and the role of institutional rules. In Schoonschip, boundary, position, choice, and payoff rules were pivotal. GridFlex highlighted position, choice, information, and scope rules. Groene Mient showcased boundary, position, choice, payoff, and scope rules. These case studies underscore complexities in decision-making and governance within smart grid initiatives. Successful implementation requires considering technology, institutions, and stakeholders as indicated by the ASI diagram. The IAD framework analysis reveals the impact of biophysical conditions, community attributes, and rules-in-use on smart grid outcomes.
Recommendations include further research on evolving rules, understanding actor positions, interdisciplinary research, integrated decision-making frameworks, empirical case studies, and policy recommendations such as financial support, clear policy roles, local smart energy systems, positive framing, standardized data exchange, and umbrella organizations for cohesive local smart grid projects.
In conclusion, the study underscores the intricate nature of smart grid implementation, emphasizing the need for comprehensive consideration of technology, institutions, and stakeholders. The IAD framework sheds light on the complex dynamics, guiding effective decision-making and policy formulation for successful local smart grid development.
Design/methodology/approach - I adopted a comprehensive approach that delved deep into the intertwined concepts of play and innovation. Through literature reviews, hands-on observations, and user interviews, I aimed to uncover the nuances of these concepts, especially focusing on the overlapping themes of making and creativity.
Findings - My research illuminated the indispensable role of play in fostering attributes like confidence, creativity, problem-solving skills, a sense of belonging, cultural growth, and overall well-being. These findings underscored the potential of integrating play into professional settings to spur innovation. The research resulted in a theoretical framework of the interaction between the creative process and the environment. On this framework the insights from user and expert interviews where plotted, which lead to multifaceted opportunity and threat zones for VONK within this context. Based on these zones a possible roadmap was developed for the coming 10 years, including 5 horizons and playful idea suggestions tailored to the team of VONK to act according to these horizons.
Research limitations/implications - While the research provides valuable insights into the role of play in fostering innovation in office contexts, it is primarily based on the specific context of VONK. The findings might need adaptation when applied to different organizational settings. Also I have struggled with my personal process, as described in the personal reflection, which led to a suboptimal documentation of my findings.
Practical Implications - My insights suggest significant implications for VONK, the innovation hub of Rotterdam. By integrating the principles unearthed in my research, VONK has the potential to transform its space into a vibrant ecosystem that champions learning, experimentation, and innovation. For VONK, the research suggests a focus on co-creation, connecting actors, and project management. Organizing events, such as festivals or business fairs, can also serve as an effective strategy for showcasing achievements and expanding the network.
Value - This research offers a unique perspective on enhancing innovation in office contexts by leveraging the concept of play. It provides actionable insights for organizations like VONK, aiming to foster a more interconnected, innovative, and vibrant environment. The crux of my research lies in its potential to revolutionize the innovation landscape of VONK. By weaving in the principles of play, I believe we can usher in a new era of creativity and innovation, making VONK a beacon for innovators and pioneers.
limitations of UDT technology through a comprehensive user evaluation, based on the UTAUT framework. These insights can serve as a guide for future development of the UDTs. Moreover, the strength and significance of the most important drivers for the adoption of UDT technology are determined. In this study, the importance of social influence is highlighted. With this information, practitioners can design strategies to promote the successful adoption of the technology in the context of climate adaptation in urban development. Finally, the findings are synthesised, limitations are acknowledged, and future research directions are determined regarding UDT technology in the context of climate adaptation in urban development.","","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:4d486d57-b118-49ca-a82a-9f37fe1a50f2","http://resolver.tudelft.nl/uuid:4d486d57-b118-49ca-a82a-9f37fe1a50f2","Artificial Dielectric Flat Lenses: Analysis, Design, Simulations & Measurements","Hu, Weiya (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cavallo, D. (mentor); Coco Martin, C.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Flat lens antennas are convenient solutions to realize highly directive antennas for millimeter wave and terahertz frequencies. Unlike the traditional three-dimensional bulky lens antennas, flat lenses are compact, low profile, and planar structures that can be manufactured with standard multi-layer technology, e.g. printed circuit board (PCB) or low temperature cofired ceramic (LTCC).
A common tradeoff in the design of flat lenses is between bandwidth and thickness. Electrically thin lenses are characterized by narrow frequency bandwidth, resulting from the phase wrapping adopted in the design. On the other hand, a wide bandwidth can be achieved by avoiding phase wrapping and using true-time-delay phase delay, but this is achieved at the cost of increased electrical thickness.
In this thesis, artificial dielectric layers (ADLs), consisting of periodic metal patches within a dielectric substrate, are proposed to realize flat lenses with large effective refractive index, which is a key property for reducing the thickness of wideband true-time-delay flat lenses. As such, ADLs are promising solution to achieve a good compromise between bandwidth and thickness.
Different aspects of ADL flat lenses are investigated in this thesis, going from the analysis to the design and experimental validation. For the analysis, a general procedure to find the permittivity profile of a gradient index (GRIN) lens is introduced. The method allows to design GRIN lenses that manipulate the phase front in different ways, by using a Geometrical Optics (GO) approach. Different cases are studied, including collimating lenses with on-axis and off-axis feeds; lenses that transform spherical wavefronts across different media; lenses changing the focal number of a quasi-optical system and Fresnel zone lenses. The design equations are validated by ray-tracing simulations in non-homogeneous media, implemented by numerical solution of the Eikonal equation.
Once the permittivity profile is defined, the continuous variation of refractive index is discretized into unit cells. Each unit cell is then implemented as an ADL stack, using ADL synthesis models developed earlier in the THz sensing group.
To validate the design procedure, an ADL flat lens with an operation band from 30 to 60 GHz is designed and fabricated using an eight-layer print circuit board (PCB) stackup. The measurement results reach good agreements with the simulation results and validate the design. The achieved performance demonstrate wideband operation, with a high taper efficiency (> 90%) and a maximum directivity of 25.5 dB. The lens is thinner than 1 wavelength within the band of operation.
The lens performance is demonstrated with a simple open-end waveguide as feed, which has high spillover losses. Diverse feeding antennas that can achieve higher aperture efficiency are also analysed by means of simulations.
Additionally, other types of GRIN lens, that manipulates the wavefront in distinct ways for different applications are investigate, to highlight the flexibility of the concept.","GRIN lens; flat lens; Lens antenna; artificial dielectric layers","en","master thesis","","","","","","","","2024-02-29","","","","Electrical Engineering | Microelectronics","",""
"uuid:51576983-7252-4fbe-a3a4-6d90a0289308","http://resolver.tudelft.nl/uuid:51576983-7252-4fbe-a3a4-6d90a0289308","The Future of Citizen Participation in Public Decision-Making in the Netherlands","Termaat, Milu (TU Delft Technology, Policy and Management)","Mouter, N. (mentor); van Beers, Cees (graduation committee); Turkenburg, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This master’s thesis explores the future of citizen participation in public decision-making in the Netherlands. Citizen participation is regarded as an important aspect of the Dutch democracy since it is used to gain insights into what the citizens consider important. Currently, there is scarce scientific literature on the future of citizen participation. Besides most literature focuses on a specific case or method instead of the broader system of citizen participation. This thesis addresses this research gap by exploring scientific literature and interviewing thirteen leading Dutch practitioners.
Based on the resulting insights, it can be derived how citizen participation can be improved in the future. The findings indicate that digitalization and the rise of co-creation are two main developments that are going to impact citizen participation in the future. Policymakers should anticipate on these developments by adapting to digital developments and a changing participation need of citizens instead of ignoring it. Important challenges are the communication between policymakers and citizens and the lack of inclusiveness. These challenges can be addressed by using different participation methods that align with the needs of different groups of citizens, and encourage open and clear communication from policymakers towards citizens about the participation process. Another relevant finding is that practitioners emphasise the normative and substantive objectives of citizen participation, while instrumental objectives are barely identified.
This thesis emphasises the importance of discussing the role of citizens in different participation processes. Disagreement among practitioners about the general purpose and function of citizen participation in the Dutch democracy is not likely to be resolved. Hence it is important to keep addressing this during participation processes, because practitioners do agree upon the fact that citizen participation has added value.
Limitations of this research include the validity and the generalisability of this research. Validity can be anticipated on by conducting more interviews and a more extensive literature research. The generalisability can be improved by conducting similar research in different context and from the perspective of citizens instead of policymakers.
Key recommendations for practitioners include the fact that policymakers should be aware of the value of citizen participation, and they should experiment with (combining) different participation methods.","Citizen participation; Policy-making; Multi-Level Perspective; Participatory Value Evaluation","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:d75051a2-cbd7-46ac-bafa-47189d081c67","http://resolver.tudelft.nl/uuid:d75051a2-cbd7-46ac-bafa-47189d081c67","A place to care: a design exploration of community care","Camargo Cardozo, Laura (TU Delft Industrial Design Engineering)","Bendor, R. (graduation committee); Price, R.A. (mentor); Delft University of Technology (degree granting institution)","2023","This graduation project investigates the relationship between care and community and reflects on the possible impact of these learnings on design practice. Care is a complex dimension of life, which entails the act of providing everything that is necessary for the maintenance and repair of the world (Tronto, 1993). From this perspective, we can reframe many of the challenges in our society as challenges of care, and recognize the importance of addressing care outside of traditional (institutional and consumer-based) perspectives.
One of these divergent perspectives is community-based care. There are indications that communities might offer interesting alternatives of care, however, research on community care often focuses on the outcomes for individuals, rather than on the internal dynamics of the community where care is experienced. For this reason, exploring what communities can tell us about the practice of care becomes promising. In this project, I investigate the interaction between care and community, by pursuing a small-scale care intervention in two Dutch central living organisations.
The outcomes of this research reflect that communities offer an unique perspective from which care can be addressed. Care in communities is direct, embraces diversity, evolves constantly and thrives on both structured and spontaneous practices. Interestingly, the relationship between care and community is even deeper, care supports the construction of community. Given its promising qualities to address care, I suggest three ways in which design can support community care: designers can support care within communities, support communities with care, and support care that exercises community values.","community; care; care ethics; autonomy; social design","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:90d67685-5811-43a3-86d4-bf9a34e12ecf","http://resolver.tudelft.nl/uuid:90d67685-5811-43a3-86d4-bf9a34e12ecf","Step Into the Driver’s Seat: A Participatory Value Evaluation of the Public Transport Policy Preferences of the Tel Aviv Metropolitan Area & Israeli Face Validity Analysis","Golan, Emily (TU Delft Technology, Policy and Management)","Mouter, N. (mentor); Cats, O. (graduation committee); Veeneman, Wijnand (graduation committee); Delft University of Technology (degree granting institution)","2023","The transportation system in Israel is facing a crisis due to its heavy reliance on private vehicles. To shift towards sustainable mobility, improving public transport quality in the Tel Aviv metropolitan area is crucial. This research investigates the preferences of Tel Aviv citizens regarding transport projects aimed at enhancing public transport and encouraging alternative mobility methods. The study utilizes the Participatory Value Evaluation (PVE) method, where citizens curate their preferred project portfolio within a limited budget, assuming decision-making authority. The research also explores the face validity of PVE in Israel, considering the distinct governance perception in the country. This research provides valuable insights into Tel Aviv citizens' preferences for transport projects that enhance public transport quality and promote sustainable mobility. Through the application of PVE, the study reveals project preferences, face validity perceptions, and potential avenues for future research, contributing to the advancement of sustainable transport policies and decision-making processes in Israel.","public policy; Public Participation; appraisal; Public transport; Sustainability","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:d6b8ad7d-d362-4b5c-b96a-ebd121b58104","http://resolver.tudelft.nl/uuid:d6b8ad7d-d362-4b5c-b96a-ebd121b58104","Simulating 3D Sand Wave Recovery After Pre-sweeping by Delft3D FM","Tam, Zeta (TU Delft Civil Engineering & Geosciences)","Reniers, A.J.H.M. (mentor); van Prooijen, Bram (graduation committee); Luijendijk, Arjen (graduation committee); Overes, P.H.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Sand wave is one of the large-scale seabed morphological features with a typical wave height of metres and a wavelength of hundreds of metres. Its mobile nature causes a nuisance to offshore infrastructure and marine transport. Pre-sweeping is a construction procedure commonly involved in submarine utilities installation to dredge existing sand waves but recovery of sand waves is usually observed after dredging. The underlying processes of sand wave recovery remain relatively underexplored. Given the potential implications for improved dredging planning, this thesis aims to investigate the drivers of sand wave recovery and examine the modelling performance of Delft3D FM on the recovery process through a case study. The numerical model is the first 3D morphological model specifically for simulating sand wave recovery after pre-sweeping. With the incorporation of DelftBlue and MORFAC, computational efficiency has been significantly enhanced. The results show that the transverse slope effect and the oblique current flow supply sediment into the dredged area causing sand wave recovery. This study also elaborates on the two stages in the recovery: an adaption period referring to the morphological adaption of the dredged profile in response to the forcing and a subsequent regeneration period referring to the growth of a feature developed during the adaption period. Although the precise estimation of the recovery duration by Delft3D FM remains challenging, this pioneering research provides an explanation on how tidal flow drives the recovery and reveals the accomplishment of Delft3D FM in modelling the phenomenon. Further exploration of this subject, such as the impact due to storm and the influence of trench configuration, is suggested to further enrich our understanding of sand wave recovery.","Sand Wave Recovery; Sand Waves; Morphological Modelling; HPC; Morphological Upscaling; Delft3D FM; MORFAC","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","","51.342, 2.394"
"uuid:ae978c0e-85f3-4ddd-97ae-e2a9448e3cba","http://resolver.tudelft.nl/uuid:ae978c0e-85f3-4ddd-97ae-e2a9448e3cba","Graph Convolutional Networks for Complex Traffic Scenario Classification","Hoek, Tobias (TU Delft Mechanical, Maritime and Materials Engineering)","Caesar, H.C. (mentor); Pek, Christian (graduation committee); Kooij, J.F.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","A scenario-based testing approach can reduce the time required to obtain statistically significant evidence of the safety of Automated Driving Systems (ADS). Identifying these scenarios in an automated manner is a challenging task. Most methods on scenario classification do not work for complex scenarios with diverse environments (highways, urban) and interaction with other traffic agents. This is mirrored in their approaches which model an individual vehicle in relation to its environment, but neglect the interaction between multiple vehicles (e.g. cut-ins, stationary lead vehicle). Furthermore, existing datasets lack diversity and do not have per-frame annotations to accurately learn the start and end time of a scenario. We propose a method for complex traffic scenario classification that is able to model the interaction of a vehicle with the environment, as well as other agents. We use Graph Convolutional Networks to model spatial and temporal aspects of these scenarios. Expanding the nuScenes and Argoverse 2 driving datasets, we introduce a scenario-labeled dataset, which covers different driving environments and is annotated per frame. Training our method on this dataset, we present a promising baseline for future research on perframe complex scenario classification","Scenario classification; GCN; intelligent vehicles","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Dynamics and Controls","",""
"uuid:cc241002-6950-4aa8-bafb-3296f246c890","http://resolver.tudelft.nl/uuid:cc241002-6950-4aa8-bafb-3296f246c890","Expoloring the Determinants of AIr Travelers' Willingness to Pay for Sustainable Aviation Fuel","Ouaj, Yassir (TU Delft Technology, Policy and Management)","Molin, E.J.E. (mentor); de Vries, G. (graduation committee); Lammertijn, Louis (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Engineering Policy and Management","",""
"uuid:1845c7eb-4403-46a1-8c90-e2fc15ed4f5a","http://resolver.tudelft.nl/uuid:1845c7eb-4403-46a1-8c90-e2fc15ed4f5a","Speed-Torque Coupled Modular Energy Management for HEVs","SRIKRISHNA, ANAND (TU Delft Mechanical, Maritime and Materials Engineering)","Mohajerin Esfahani, P. (mentor); Rahimi Baghbadorani, R. (graduation committee); Guo, M. (graduation committee); Wilkins, Steven (graduation committee); Delft University of Technology (degree granting institution)","2023","Fuel consumption reduction in Hybrid Electric Vehicles (HEV) powertrains has been an important area of research over the past few decades. HEV powertrains have two energy sources : fuel and battery. The important task of splitting the energy/power demand between both these sources is performed by the Energy Management Systems (EMS). There are many EMS methods and the focus of
this thesis is on a method called Modular ECMS (MEMS) implemented by TNO. MEMS finds the optimal power split among the subsystems by minimizing the energy loss in each subsystem. This strategy assumes that the operating speed of the subsystems of the powertrains is known and uses this knowledge to find the optimal power split and torque among these subsystems. The objective of this thesis is to find the optimal operating speed of the subsystems as well. This is done by a least squares fitting of the objective function and constraints as functions of subsystems speed and torque. A revised Optimal Control Problem (OCP) is formulated as a quadratic programming problem of speed and torque and is termed as Speed-Torque Coupled MEMS (ST-MEMS). The ST-MEMS algorithm is tested on a series-hybrid wheel loader powertrain model and its performance is compared to MEMS, with the model and data provided by TNO. It is concluded that the ST-MEMS, while adding the speed and torque bounds as degrees of freedom, does not achieve a good distribution of power between the 2 sources. The reason for this behaviour is analyzed and an alternate approachis suggested for future work.","Powertrain; Energy management system; Distributed Control; Modular Energy Management","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:e82c0398-eda2-4dd4-95cb-3137fc4dc91e","http://resolver.tudelft.nl/uuid:e82c0398-eda2-4dd4-95cb-3137fc4dc91e","Warpage analysis on power module ceramic substrates","Wang, Chieh (TU Delft Electrical Engineering, Mathematics and Computer Science)","Poelma, René H. (mentor); Hu, D. (mentor); Zhang, Kouchi (mentor); Manzaneque Garcia, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study deals with the challenge of warpage in power modules, vital components in the rapidly expanding electric and hybrid-electric vehicle industry. The variations in temperature during manufacturing, resulting in significant warpage changes, contribute to device cracks, delamination, and reduced reliability.
The primary focus is understanding and mitigating the warpage phenomenon in power module substrates. This warpage is induced by thermo-mechanical stresses during the assembly packaging process. The investigation begins by exploring the cause of warpage change by characterizing annealed copper properties and employing 2D finite element model (FEM) analysis. The study identifies plastic strain as the dominant cause of warpage change during process steps. Subsequently, a validated 3D FEM simulation model is developed to replicate practical annealing and sintering processes. Lastly, the project delves into factor analysis to identify critical variables influencing warpage. It underscores that balancing residual copper volume is crucial in warpage reduction. Additive and subtractive manufacturing techniques establish a correlation between the removal of copper volume and warpage reduction.
This project provides comprehensive insights into the manufacturing process of AMB substrate, warpage behavior, and effective strategies for reduction, constructing a solid foundation for future manufacturing and design.","Power modules; AMB substrate; Warpage; Finite element model; Sintering; Additive technology; Subtractive technology","en","master thesis","","","","","","","","2025-08-31","","","","Electrical Engineering","",""
"uuid:0ec93ef4-906d-4902-adc4-1a13768c18d1","http://resolver.tudelft.nl/uuid:0ec93ef4-906d-4902-adc4-1a13768c18d1","Characterisation of the Elastic Behaviours of Sandstone Reservoirs for CO2 Storage in the North Sea","alghannam, lujain (TU Delft Civil Engineering & Geosciences)","Barnhoorn, A. (mentor); Delft University of Technology (degree granting institution)","2023","Depleted-gas sandstone reservoirs in the Dutch North Sea are being evaluated for offshore carbon storage under the ARAMIS project. The variability in geomechanical properties urges an investigation of the elastic parameters. This study involved cored samples and logs from five Slochteren and Solling formations wells. It aims to characterize seventeen cored samples’ static and dynamic elastic behaviour experimentally via triaxial compression tests with active acoustics. Substantial variability was shown in wave velocities, moduli, Poisson and velocity ratios highly correlated to porosity, density and confining pressure variations. Therefore, empirical correlations were established between elastic moduli, confining pressure and porosity from the lab measurements. Another empirical correlation was established between the dynamic and the static Young’s modulus with a high correlation coefficient of 0.8. To enable elastic moduli log predictions with the absence of S-wave velocity log measurements, a workflow was developed to predict S-wave velocities using empirical correlations from lab measurements, nearby wells, and literature to evaluate the estimation quality. Comparisons between measured and predicted dynamic moduli showed agreement, validating the physics of the different datasets. However, it is important to mention that discrepancies existed for parameters like Poisson Ratio, indicating that factors like porosity affect predictions. Although there is limited data from logs and experiments, this study provides important geomechanical insights and predictive capabilities for this initial stage of geomechanical reservoir characterization for the ARAMIS CCS project.","geomechanics; CCS; Elastic Modulus","en","master thesis","","","","","","","","2024-09-01","","","","Geo-Energy Engineering","",""
"uuid:52ad10a5-bb44-471d-a6ed-a32c856888e1","http://resolver.tudelft.nl/uuid:52ad10a5-bb44-471d-a6ed-a32c856888e1","Evolution of Consumer Preferences in Last-mile Delivery Methods and the Impacts on City Logistic Freight Traffic: a simulation study","van Vliet, Job (TU Delft Civil Engineering & Geosciences)","Correia, Gonçalo (mentor); Atasoy, B. (graduation committee); de Bok, M.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The growing demand for parcel deliveries is causing significant problems for freight logistics, particularly in cities. The increasing number of delivery vehicles leads to traffic congestion, high emissions, and rising costs. To address these problems, new and sustainable delivery methods must be implemented for
the parcel last-mile. However, estimating the impact of a different logistics system is complex, as it depends on consumer adoption of these new delivery methods.
This paper presents a new simulation model that captures and explores the interconnections between multiple delivery methods and consumer preferences for those delivery methods. Consumers’ reaction to the performance and availability of the delivery methods is simulated, next to knowledge progress via
word of mouth and familiarisation. The developed hybrid model uses system dynamics to simulate the evolution of consumer preferences and the last-mile delivery via van, self-collection, crowdshipping and drone delivery at an aggregate level, while an agent-based model provides input on the operational performance of the delivery methods. With this structure, consecutive
interactions can be simulated, and by that, data on consumer preferences and the delivery method operations can be obtained at multiple time points.
Results of a case study on the province of South Holland show that consumers change their preferences due to the introduction of new delivery methods. However, the vehicle kilometres and emissions of van delivery do not reduce at the same rate as the demand. The study also highlights zonal differences in last-mile services and the importance of delivery quality to consumers.","Last-mile; consumer preference; freight logistics; e-commerce; system dynamics","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:5fc18ca1-269f-4528-a8a9-a3930d0ad48c","http://resolver.tudelft.nl/uuid:5fc18ca1-269f-4528-a8a9-a3930d0ad48c","Developing a Circular Cup System at Schiphol Airport","CHEN, YU (TU Delft Industrial Design Engineering)","van Dam, Sonja (mentor); Granato, G. (graduation committee); Tschavgova, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","The global shift towards sustainability has spotlighted the environmental implications of disposable takeaway coffee cups, particularly their contribution to landfill waste and ocean pollution. This report delves into the pressing challenge of reducing disposable cup waste at Schiphol Airport, especially in light of the impending Dutch regulations effective from 1 January 2024, which prohibit establishments from offering disposable plastic cups for on-site consumption.
Through comprehensive literature review and desktop research, I explored potential market alternatives, analyzing them from regulatory, business, consumer, and sustainability perspectives. My findings suggest that polypropylene (PP) cups are emerging as a preferred alternative to disposable paper cups after considering various factors.
Observations at Schiphol Airport and interviews with passengers provided insights into their preferences and perceived challenges regarding sustainable cup solutions. While there's a general preference for lightweight, portable, and easy-to-dispose cups, some concerns have emerged regarding the cleanliness and hygiene of reusable cups made from new materials, as well as the inconvenience of bringing personal cups to the airport.Stakeholder interviews highlighted challenges they face due to the new regulations, such as limited kitchen space for washing, concerns about brand experience, increased workload for cleaning companies due to improper disposal, and the difficulty of finding a one-size-fits-all solution.
In response to these challenges and insights, I propose a new service system, ""Cupmates: Journey to a Zero-Waste Future."" This system introduces reusable PP cups, complemented by branded sleeves for various shops, ensuring brand experience. Passengers pay a deposit for the cup and lid, which is refunded upon returning the used items to smart return box equipped with an electronic payment system. An optional QR code provides users with more information about the Cupmates service. The backend process involves a centralized collection, in-airport dishwasher cleaning, and redistribution for the cups.
Feedback from potential users and key stakeholders suggests that this solution is both feasible and viable for airport implementation and satisfactory for passengers. The report also offers recommendations for implementation, ensuring a smooth transition to a more sustainable future at Schiphol Airport. This project offers a possible solution for Schiphol Airport to address the challenges of single-use plastics and transition towards a more sustainable operational model.","Circular cup system; Sustainability; Schiphol Airport; Service design","en","master thesis","","","","","","","","","","","","Strategic Product Design","TULIPS",""
"uuid:03123229-0984-487e-961d-05777ffd24e0","http://resolver.tudelft.nl/uuid:03123229-0984-487e-961d-05777ffd24e0","Exploring the role of Niche Strategies in overcoming Barriers to Circular Innovation: Exploratory Case Studies on Circular High-Tech firms in The Netherlands","Raghav Shankar, Raghav Shankar (TU Delft Technology, Policy and Management)","Khodaei, H. (mentor); Ortt, J.R. (graduation committee); Kamp, L.M. (graduation committee); Delgado Medina, Fátima (graduation committee); Delft University of Technology (degree granting institution)","2023","The study embarks on a comprehensive investigation into the domain of circular innovation within Dutch high-tech firms, probing the dynamics of drivers, barriers, and the strategic impact of niche strategies. The research methodology builds upon the adaptable foundation of the Technological Innovation System (TIS) framework, adapting it to the unique contours of circular innovation. This framework guides the research objectives, which encompass discerning the propulsive forces driving circular innovation, unraveling the contextual intricacies, and critically evaluating the applicability of the adapted TIS framework.
Guided by this framework, the research addresses three pivotal sub-questions that delve into the nuances of drivers, barriers and the strategic potency of niche strategies within the circular innovation landscape. The methodology seamlessly interweaves an expansive literature review with in-depth case studies of four distinct Dutch circular high-tech firms. Through rigorous qualitative analysis, recurrent drivers emerge, including the catalytic influence of emerging regulations, collaborative partnerships, strategic product design and value proposition, and intrinsic motivation. On the flip side, barriers encompass the complexities of production systems, complementary products and services, network formation and coordination, and the intricate maze of customer engagement challenges.
Embedded within the empirical insights are four distinct niche strategies, meticulously tailored to specific contexts: the Redesign Niche Strategy, the Decentralization Niche Strategy, the Turnkey Product-Service System (PSS) Niche Strategy, and the Compliance-Driven Stepping-Stone Niche Strategy. These strategies come to light as potent tools in surmounting the entrenched barriers, underscoring the significance of strategic alignment with regulatory shifts, the formation of collaborative networks, and the innovation-driven approach to product design in propelling circular innovation.
The strategic adaptation of the TIS framework to circular innovation not only enriches analytical depth but also highlights its pragmatic relevance. Consultations with experts from HollandCircularHotspot - a platform driving the advancement of the circular economy by facilitating collaboration, knowledge sharing, and representing Dutch circular companies on an international stage, serve to validate the framework's utility, affirming its efficacy in dissecting the ever-evolving landscape of circular innovation. Acknowledging its limitations, such as the limited scope of case studies and the geographical focus on Dutch high-tech companies, the study sets the stage for future research endeavors.
In summation, this thesis offers a substantial contribution to comprehending the dynamics of circular innovation within Dutch high-tech enterprises. It underscores the pivotal role of specific strategies and reaffirms the pertinence of the adapted TIS framework. With its blend of empirical insights and theoretical foundations, the study illuminates potential pathways for circumventing barriers to circular innovation, paving the way for transformative change within the high-tech circular landscape.","Circular Innovation; circular economy; Technological Innovation System (TIS); niche strategies; Barriers to Circular Innovation","en","master thesis","","","","","","","","2024-12-31","","","","Management of Technology (MoT)","",""
"uuid:44a0fb49-72ed-42f2-9b99-6ec466e72763","http://resolver.tudelft.nl/uuid:44a0fb49-72ed-42f2-9b99-6ec466e72763","Enhancing the Q Factor of Diamagnetically Levitating Resonators by Segmentation","Weisz, Eli (TU Delft Mechanical, Maritime and Materials Engineering)","Chen, X. (mentor); Alijani, F. (graduation committee); Norte, R.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Diamagnetic levitation presents a promising platform for realizing resonant sensors and energy harvesters. The technique offers mechanical isolation from the environment while operating with zero power consumption. This unique feature ensures exceptional sensitivity and accuracy in numerous applications. However, the presence of eddy currents in the levitating plate, induced by the alternating magnetic field, poses challenges, leading to increased damping and subsequently limiting the performance of levitating resonators. To address these issues, this study proposes a novel solution through the segmentation of diamagnetic plates. By dividing a pyrolytic graphite plate into smaller blocks, the flow of eddy currents is effectively restricted, resulting in reduced damping and significantly higher Q factors. By comparing the theoretical predictions using a FEM model from an earlier study with the measurements conducted the proposed technique is further validated. This comparative analysis demonstrates the effectiveness of the segmentation approach in mitigating damping due to eddy currents. Furthermore, the implementation of the proposed method led to remarkable results, with achieved Q factors exceeding 150 thousand.","Diamagnetic Levitation; Quality factor; Eddy current","en","master thesis","","","","","","","","2025-08-31","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:9b5b96ed-8d4a-4353-83a3-af8e809dca28","http://resolver.tudelft.nl/uuid:9b5b96ed-8d4a-4353-83a3-af8e809dca28","Towards Inclusivity In Entrepreneurship: Utilizing Highly Skilled Refugees: A Research on Supporting Syrian Refugee Entrepreneurs in Germany and the Netherlands through Collaboration between Business Incubators and Local Governments","Jabri, Mohammed (TU Delft Technology, Policy and Management)","Ortt, J.R. (mentor); Scholten, V.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Recent findings by the OECD highlight that a significant proportion of refugees in Europe possess high levels of education, often being overqualified for their roles. However, literature seldom addresses the unique challenges these highly educated refugees face when integrating into the labor market. This research examines the role of entrepreneurship in integrating highly skilled Syrian refugees into the labor markets of Germany and the Netherlands. Using a qualitative research design, including literature review, desk-based research, and semi-structured interviews, the study reveals that both countries currently lack specialized support for such refugees during their asylum and integration processes. They face numerous challenges, including legislative, administrative, financial, socio-cultural, and market-related barriers. Moreover, collaboration between local government actors and business incubators is sparse. In light of these findings, the research advocates for improved policies and specialized business incubator models tailored for these refugees, aiming to leverage their skills and education for the benefit of host countries. The insights provided by this research underscore the untapped potential of educated refugees, emphasizing the necessity for more inclusive policies and support structures. Future directions for research include exploring bespoke business incubator models in Germany and the Netherlands and broadening the study's scope to other nations and demographics. This study contributes scientifically by delving into the niche domain of highly skilled refugee entrepreneurship and societally by emphasizing the invaluable economic and innovative potential of this group. Practical implications suggest refined integration strategies and infrastructures to better harness their capabilities.","refugee entrepreneurship; highly skilled refugees; business incubators; local government collaboration; barriers to entrepreneurship; labor market integration; entrepreneurship","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:e1d8129f-965b-41ec-8e9f-7cb51254a3d3","http://resolver.tudelft.nl/uuid:e1d8129f-965b-41ec-8e9f-7cb51254a3d3","Individual Differences in Manual Control Cybernetics: Predicting Individual Cybernetic Parameters Using the Human Controller Cost Function","Korkmaz, Aytek (TU Delft Aerospace Engineering; TU Delft Control & Simulation)","Pool, D.M. (mentor); Mulder, Max (graduation committee); de Winter, J.C.F. (graduation committee); Stroosma, O. (graduation committee); Delft University of Technology (degree granting institution)","2023","Understanding individual differences in manual control cybernetics plays a principal role in personalizing human-machine systems. While much of the work in cybernetics utilizes models for the average controller, individualized models have also been explored, albeit constrained by data availability and the effectiveness of identification techniques. It has previously been theorized that human controller behavior may be defined by a cost function that the controller minimizes for a given control task. This cost function comprises weighted performance and effort terms, and the weightings have been connected to cybernetic models before, but not on an individualized basis. A proposed connection is through the equalization component of the cybernetic model, which has also been outlined as an indicator of individual differences. This claim was reinforced by the analysis of previously collected experiment data in this paper: Across three independent experiments, the equalization parameters, on average, showed 33.84% higher variation than the others and a greater impact on the cost function. Thus, this paper presents a human-controller-cost-function-centered approach to predict and generate individualized sets of cybernetic parameters. Individual cost function weightings were determined using the identified equalization parameters from earlier human-in-the-loop experiments. This process exhibited clear groupings in participants, as clusters of participants with similar equalization were not identified adequately. Individual cost function weightings were then employed to predict full sets of cybernetic parameters. The results indicated that the utilized cost function is incapable of reflecting the physical limitations of the human controller, as these parameters (time delay, neuromuscular natural frequency, and neuromuscular damping coefficient) showed 56.06%, -94.43%, and -170.34% deviation compared to the experimental values. Hence, a hybrid cybernetic data augmentation method was devised, yielding signal values within 15% of experimental data.","Manual Control; Cybernetics; Human-Machine Interaction; Personalization","en","master thesis","","","","","","","","2025-08-31","","","","Aerospace Engineering","",""
"uuid:b5c81087-ee4a-4a28-b5cf-11afb916d831","http://resolver.tudelft.nl/uuid:b5c81087-ee4a-4a28-b5cf-11afb916d831","Sustainable structural timber floors: The influence of vibrational performance on the sustainability of structural timber floors","van der Werf, Coen (TU Delft Civil Engineering & Geosciences)","Louter, P.C. (mentor); Ravenshorst, G.J.P. (graduation committee); Schuurman, A.C.B. (graduation committee); Dijk, M.S. (graduation committee); Snijders Blok, I. (graduation committee); Delft University of Technology (degree granting institution)","2023","The recent developments in the building industry to build more sustainable should result in the use of light constructions with a low environmental impact. Timber is a suitable material for this purpose. To reduce the environmental impact of a building, an improvement in an often used structural element can have a significant impact on the sustainability. Floors are a large part of the material consumption of building. A challenge with lightweight timber floors is the vibrational performance of the floor due to walking humans. Conventional concrete floors are less sensitive to vibrations due to their large weight. The vibrations of the floor have influence on the comfort of the humans residing on the floor. Furthermore, concrete is generally seen as a less environmentally friendly material than timber. This raised the main research question of this thesis: How do vibrational performance levels influence the sustainability of several timber floor systems, considering multiple design configurations, compared to conventional concrete floor systems?
The floors used in this research are a CLT floor, LVL box floor, TCC floor, concrete cast in situ floor and concrete hollow core floor. For the research on the vibrational performance and sustainability of floors some methods for assessment are used. For the vibrational performance the assessment method from the renewed Eurocode 5: Timber structures is used. This assesses the resonant and transient vibration response of the floor and checks them with predetermined vibrational comfort criteria. For the assessment of the sustainability the environmental cost indication is used. This method assesses several environmental impact categories and weighs their impact onto the environment, separately the global warming potential is also assessed by the CO2eq emissions of a material.
The result gathered from the research show that timber floors are more sensitive to vibrational performance than concrete floors. Floors with a high vibrational performance need a significantly higher floor height than low performance floors. The influence of damping and floor configurations is important for the vibrational performance. The environmental impact of timber floors have an advantage when biogenic carbon is taken into account. Concrete floors can reach longer floor spans and for high performance floors have a lower increase of environmental impact than timber floors. For the environmental impact of a floor, reuse or recycling is important for the end-of-life scenario.
To draw a conclusion to the main research question; Vibrational performance of floors do effect the environmental impact of the floors. High performance floors have a significantly higher environmental impact than low performance floors. The environmental impact of concrete floors increases less for higher floor performances than timber floors. Timber floors are more sustainable within their technical feasibility. The floor configurations can mitigate vibrations and can thus reduce the environmental impact of the floors.
A hybrid farm with offshore electrolysis contains both a hydrogen pipeline and an electricity cable as the export connection to shore. Appropriately sizing these connections to ensure financial feasibility becomes a design challenge for wind farm developers as the technology is still maturing.
This study considers a case study of an offshore hybrid wind farm situated in the European North Sea, connected to the Dutch energy infrastructure. A Python model was developed to simulate the sales and production of hydrogen and electricity on an hourly time scale. The simulation was executed by using historical data for electricity pricing and assuming a perfect forecast from a developer’s perspective. The objective function was to determine the optimal ratio between electrolyzer and electricity cable capacity (EC ratio) to maximize the net present value (NPV) of such a project. A sensitivity analysis on various system parameters was performed, and multiple scenarios reflecting potential future circumstances were simulated.
The study revealed that both oversizing and downsizing the total export capacity was not beneficial. Instead, the optimal EC ratio followed a linear trend, where the sum of connection capacities equaled the total farm capacity. The hydrogen price, electricity price, and nominal efficiency of the electrolyzer were identified as key factors influencing the optimal EC ratio.
This research establishes optimal EC ratios for a range of hydrogen prices spanning from €3/kg to €8/kg based on different scenarios. For each scenario, different electricity prices and electrolyzer specifications were defined. The findings indicate that including an electrolyzer in the design is not economically viable for hydrogen prices below €4.00/kg. Conversely, an electrolyzer-dominated system becomes favorable in each scenario when the hydrogen price
exceeds €7.50/kg. For prices in between, optimal EC ratios resembling hybrid systems were identified depending on the other key parameters defined by the scenarios.
CO2 hydrates pose a considerable threat to the success of CCS projects, as they can decrease injectivity near the wellbore, resulting in technical complications and increased costs. Thus, a thorough understanding of CO2 hydrate formation is imperative for the planning of robust and sustainable CCS initiatives. This study aims to elucidate the specific conditions under which CO2 hydrates are formed in a porous media and to assess their impact on injectivity decline.
The investigation begins with a core flooding experiment designed to delve into the physical processes involved in CO2 hydrate formation and dissociation. It also explores potential methods for prevention, mitigation and remediation. In total, results from 13 experiments are presented, with nine conducted by the author and four provided by the Advanced Sub-Surface Energy Transition (ASSET) research team.
Subsequently, an empirical numerical reservoir simulator is developed to model the formation and dissociation of CO2 hydrates within the reservoir. The empirical model facilitates a sensitivity analysis of the parameters that influence hydrate formation and allows for the assessment of the efficacy of prevention techniques examined in the laboratory setting.
The core flooding experiment helped to establish that hydrate formation is contingent upon specific pressure and temperature parameters within the hydrate stability zone. The experiment also delved into the impact of water saturation, connate water salinity, and the use of thermodynamic hydrate inhibitors (THIs) on the hydrate formation process. Furthermore, the experimental procedure facilitated the testing of prevention and remediation techniques after hydrate formation, including thermal stimulation and THIs injection.
The empirical model, developed based on an existing model shared by Kahrobaei and Farajzadeh (2022), served as a representation of the CO2 injection process in a depleted gas reservoir. It enabled a sensitivity analysis to identify the main parameters that affect hydrate formation. Additionally, the model investigated the resulting reduction in permeability, which ultimately led to diminished injectivity and increased injection pressure due to hydrate formation.
Ultimately, both experimental and numerical approaches showed that the formation of hydrates leads to a reduction in permeability, thereby diminishing injectivity and elevating injection pressure.
The delamination onset method employs Kassapoglou & Socci’s analytical crack propagation model to describe matrix cracks within the laminate. This leads to closed-form expressions for the Strain Energy Release Rate associated with both crack propagation and the initiation of delaminations at crack tips. Both expressions are used to predict the delamination onset load and crack spacing. Predictions show excellent agreement with test results for cross-plies under
tension.
Regarding the delamination growth model, it assumes a constant distance between cracks during growth (crack saturation). Experimentally-obtained Mode II interlaminar fracture toughness equation and closed-form expressions for Strain Energy Release Rate for delamination growth are employed for predictions. The model overpredicts the initial delamination length but exhibits satisfactory agreement with test results for the delamination growth in cross-ply laminates under tension.
Combining all 3 models allows for a comprehensive prediction of crack propagation, delamination onset and delamination growth for general symmetrical laminates. This comprehensive approach enables the visualization of all relevant information in a single figure, providing a concise and informative representation of the damage processes. Moreover, the analytical model facilitates the construction of design curves to investigate delamination onset in detail.","Composite Material; Matrix cracks; Delamination Initiation; Delamination Propagation","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:c9f0f2b4-7a0b-4d66-a2e8-8f827bfdf4a5","http://resolver.tudelft.nl/uuid:c9f0f2b4-7a0b-4d66-a2e8-8f827bfdf4a5","Floating wind turbine optimization via surrogate modelling","KALIMERIS, THEODORE (TU Delft Mechanical, Maritime and Materials Engineering)","Viré, A.C. (mentor); Bachynski-Polić, Erin (graduation committee); Baudino Bessone, M. (graduation committee); Singh, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","In recent years, the interest in deep-water wind energy projects has drastically increased, driven by the considerable wind resource in deep-water locations. In such locations, floating wind turbines are more economically attractive than bottom-fixed wind turbines. Nevertheless, the floating wind industry faces numerous challenges. One major challenge is the high investment costs involved in the manufacturing of the substructure. Additionally, it is important to maintain the wind turbines’ power output within the required standards for grid connection. Therefore, the design and optimization of substructures for floating wind turbines has to account for both capital costs and power quality. To investigate the existing research on the optimization of floating wind turbines, a literature review was conducted. Evidently, there is limited research investigating both cost and power quality optimization. Furthermore, the majority of the optimization techniques presented are accompanied by a computationally expensive substructure analysis. Moreover, in the early stage of the substructure design, a variety of different alternative scenarios are tested, requiring an extensive number of optimizations. Thus, the computational resources required by the optimization become a major concern. One promising approach to address this issue is substituting a computationally expensive analysis with a surrogate model. This solution could significantly increase the computational efficiency of the optimization process.
This thesis investigates the trade-off between substructure capital cost and power quality optimization. To achieve that, a multi-objective optimization workflow is developed for the case of a semi-submersible substructure. In parallel with this task, the optimization’s analysis blocks requiring most of the computational resources are identified and substituted with a surrogate model. Two different surrogate model implementation approaches have been examined. The first approach substitutes the optimization’s substructure analysis tool (NREL’s RAFT) entirely. This approach is called the ”End-to-End” approach. The second approach substitutes a specific analysis block of RAFT that computes the floater’s hydrodynamic properties. This analysis block requires most of RAFT’s computational resources. This approach is defined as the ”PyHAMS” approach.
The thesis outcome shows that improving the quality of power output comes with the drawback of increasing the substructure’s cost. The Pareto front between these two competing criteria is dominated by designs of long pontoons and reduced chain thickness. This is the most economical approach towards reduced cost and improved power quality. These Pareto designs are mainly driven by the boundaries of the design variables. Additionally, the Pareto designs are affected by constraints on the maximum pitch, maximum surge, and the existence of vertical loads on the mooring line’s anchor. Regarding the surrogate model-based optimizations, there is a dramatic reduction in the computational resources required to conduct the optimization. Among the two surrogate model approaches, the ”PyHAMS” approach achieves a better accuracy at mimicking the original optimization workflow than the ”End-to-End”. Instead, the ”End-to-End” approach is the most computationally efficient one to conduct the optimization. Nevertheless, when factoring in the computational resources to produce the training dataset, the ”PyHAMS” approach is the most computationally efficient one. This is the outcome of utilizing a reduced training dataset compared to one utilized by the ”End-to- End” approach.","Floating Wind; Offshore Engineering; Wind Energy; Optimization; Surrogate Modelling","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:98ceee32-3c58-4005-b40a-df98bbc46efa","http://resolver.tudelft.nl/uuid:98ceee32-3c58-4005-b40a-df98bbc46efa","Examining Fatigue and Discomfort: Assessing the Impact of Innovative Technologies on Car Seat","Dai, Xiranai (TU Delft Industrial Design Engineering; TU Delft Civil Engineering & Geosciences)","Vink, P. (mentor); Yao, X. (graduation committee); Delft University of Technology (degree granting institution)","2023","The objective of this project is to examine the effects of new technology in car seats on fatigue and discomfort. The first step involves defining the fatigue framework and selecting an appropriate assessment method. Since different studies suggest that the definition of fatigue can vary in different situations, a street interview was conducted to explore the type of fatigue relevant to the context of this project. The literature review and street interviews indicated subjective measurements of fatigue, including sleepiness, sore limbs, backaches, headaches, numbness, and stiff muscles. The objective measurements include Electromyography and Heart Rate Variability.
A pilot test was conducted which revealed certain drawbacks in the testing process. Subsequently, these issues were addressed in the formal test. The Visual Analogue Scale (VAS) form was introduced to assess discomfort in local muscles, comfort, and fatigue symptoms, in addition to the subjective measurements. Leg circumference was also measured to indicate blood flow.
The formal test took place over 150 minutes per person in Rotterdam, with 16 participants. Data were recorded while participants were seated in the chairs.
The data analysis indicates a clear conclusion regarding the evaluation of the seats: the technology integrated into the seats demonstrates a positive effect on fatigue and perceived discomfort in the shoulder, mid, and leg regions, as evidenced by EMG and VAS discomfort scores.","Fatigue Analysis; Discomfort; Comfort; car seat; framework","en","master thesis","","","","","","","","2024-08-21","","","","Design for Interaction | Medisign","",""
"uuid:04aabaf6-b478-41db-872a-d7b3a4f28ff3","http://resolver.tudelft.nl/uuid:04aabaf6-b478-41db-872a-d7b3a4f28ff3","Impact of PECVD a-Si:H contacting layer deposition on poly-Si/SiOx/c-Si passivating contacts","Si, Wanyu (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Electrical Sustainable Energy)","Isabella, O. (mentor); Yao, Z. (mentor); Zeman, M. (graduation committee); Gordon, I.M.F. (graduation committee); Savenije, T.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Considering the rapidly growing energy demand in worldwide and climate deterioration caused by fossil fuel, the remarkable potential of solar energy has captured the attention of individuals and industries alike. Among different techniques, poly-Si based passivating contacts have shown great performance on solar cell application, which enabling a high efficiency of over 26%. Plasma-enhanced chemical vapor deposition (PECVD) as one of the promising technologies in a-Si contacting layer fabrication has gradually replaced the conventional LPCVD method in industry. However, the accompanied severe ion bombardment is not negligible, especially on its underlying fragile tunnelling oxide. In this work, the impact of PECVD a-Si:H contacting layer deposition on poly-Si/SiOx passivating contact is investigated.
PECVD radio-frequency (RF) power for the contacting a-Si layer on the underlying SiOx is the only variable in this project, varying from 5 W to 55 W, and pinhole density acts as a bridge to help analyse the intrinsic principles. Firstly, the results of a-Si:H thin film characterization suggest that with an increasing RF power, the a-Si:H thin film is grown at a higher deposition rate and becomes porous. In addition, the pinholes in tunnel oxide are inspected by applying the concepts of “selective etching” and “pinhole magnification”. With a two-step five-point sampling method, it is shown that the effect of RF power on the pinhole density is not monotonically increasing. The highest value is found at 25 W. To explain this, a concept of “protective layer” is proposed, which is defined as a buffer layer (contacting layer) formed at the very beginning during a-Si:H deposition. It appears to be more effective when higher RF power (> 35 W) is applied. Another influence on tunnel oxide property is discussed according to the result from XPS measurement. The percentage of Si4+ species is found in the case of 25 W, corresponding to the highest pinhole density. This proves to some extent that the severe particle bombardment brought by strong power would weaken or directly break the Si-O bonds in the PECVD substrate, that is the tunnel oxide in our case.
As a result of thin film characterization, five factors contribute to pinhole formation: (i) Defects in tunnel oxide from imperfect oxidation leave potential for pinhole formation. (ii) Severe ion bombardments in PECVD deposition are allowed to weaken or break Si-O in SiOx. (iii) Island growth of a-Si:H contacting layer makes the exposed region in tunnel oxide continue to be damaged. (iv) “Buffer layer” formation protects the substrate from ion bombardments. (v) The tensile stress applied by a-Si:H films during annealing intensifies the formation of pinholes.
Subsequently, an unexpected result from passivation quality assessment is that higher passivation level is presented with higher pinhole density. The best passivation quality is found in the case of 25 W, with J0 of 3.3 fA/cm2 and iVoc of 714 mV. Further, a large optimal process window for RF power adjustment is found from 25 W to 35 W, which leads to an iVoc over 710 mV, with single side J0 below 3.5 fA/cm2. The results from specific contact resistivity indicate that it is positively correlated to the pinhole density. Eventually, the champion passivating contact with a selectivity of 14.37 in this project is expected to yield a maximum efficiency of 28.9% in an ideal c-Si solar cell.","Passivating contact; Pinhole; PECVD","en","master thesis","","","","","","","","2025-08-31","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:3bdfae69-df5d-4870-86bf-005a9b7b52f1","http://resolver.tudelft.nl/uuid:3bdfae69-df5d-4870-86bf-005a9b7b52f1","Simulation and history-matching of polymer-assisted water alternating CO2 injection using MRST","MODI, ANIKET (TU Delft Civil Engineering & Geosciences)","Zitha, P.L.J. (mentor); Mirzaie Yegane, M. (mentor); Delft University of Technology (degree granting institution)","2023","CO2 flooding is a widely employed method for enhancing oil recovery. However, it faces challenges stemming from differences in viscosity and density between oil and CO2, leading to poor sweep efficiency. This can result in issues such as viscous fingering, channelling, and gravity segregation, causing premature breakthroughs and excessive gas production. To address these concerns, the Polymer Assisted Water Alternating Gas (PA-WAG) technique combines the advantageous attributes of CO2 flooding, such as solubility and displacement, with the effective mobility control provided by polymer flooding. This results in a chemically enhanced Water Alternating Gas (WAG) flooding approach. A study by van Wieren et al. (2022) delved into the effectiveness of PA-WAG in addressing CO2 flow challenges and improving sweep efficiency by conducting core-flood experiments. This work builds upon that study by employing numerical simulations to replicate the core-flood experiments. These simulations shed light on the fundamental physical mechanisms during the PA-WAG injection process while also facilitating the calibration of flow parameters for practical implementation on a larger scale. The primary goal of this study was to comprehensively model three distinct enhanced oil recovery (EOR) techniques: polymer injection, CO2 flooding, and PA-WAG, all applied specifically to the Bentheimer sandstone cores. The objective was to history-match CT (Computed Tomography) scan saturation data, observed pressure drops, and oil recovery. A 2-dimensional (2D) model was constructed for each experiment, with CT scan images used to allocate varying porosity and permeability values to individual grid blocks. This enabled monitoring saturation distributions from the initial primary drainage phase onward. In the history matching of the primary drainage phase, parameters for relative permeabilities were determined from the Brooks-Corey equation, leveraging CT scan saturation data. The scaling of relative permeabilities based on CT scan saturations effectively accounted for capillary end effects observed in the core-flood experiments. During the history-matching of the polymer injection process, it was demonstrated that polymer-specific parameters, as determined from experimental data, could effectively modify waterflood relative permeabilities, thereby reducing the mobility ratio and accurately capturing the advancement of the polymer front. The formation of emulsions towards the end of polymer injection led to a notable increase in pressure drop, necessitating the incorporation of a high Residual Resistance Factor (RRF) to accommodate permeability reduction. In the case of history-matching for the CO2 flood, the black oil model successfully replicated the process of immiscible gas injection. It aptly captured gravity segregation while utilising CT scan saturation scaled relative permeabilities to assess the impact on oil recovery. The study unveiled that the relative permeability of gas under immiscible conditions was relatively lower than in miscible and near-miscible conditions. Simulating the PA-WAG injection by combining polymer and CO2 models effectively reproduced the core-flood experiments. The study substantiated the role of gas trapping in reducing the relative permeability of gas as a function of injection time, consequently leading to heightened pressure drops during subsequent polymer slug injections. The study showcased the efficacy of integrating black oil models for polymer and CO2 injection to successfully simulate PA-WAG injection and achieve unity with core-flood experiments yielding valuable insights into the physical processes underlying the technique.","EOR; core flood history match; Modelling and simulation; MRST; PA-WAG; Polymer flooding; CO2","en","master thesis","","","","","","","","","","","","Applied Earth Sciences","Feasibility study of enhanced oil recovery by Polymer Assisted Water-Alternating-Gas",""
"uuid:d50dceaa-2898-498c-8757-6c8fe929bff7","http://resolver.tudelft.nl/uuid:d50dceaa-2898-498c-8757-6c8fe929bff7","Phasing the Market?: A study of the first phase of Dutch public two phase ground, water and road infrastructure projects","van Esch, Peer (TU Delft Civil Engineering & Geosciences)","van Wee, G.P. (graduation committee); Straub, A. (mentor); Bruggeman, E.M. (graduation committee); de Rooij, W.J.P. (graduation committee); Stegewans, L.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study investigates the effects of the first phase of the Dutch two phase process project delivery method design on the information risk, the client-contractor
relationship and risk allocation between client and contractor. The two phase process is a collaborative project delivery method which is used for infrastructure projects with the objective to reduce information risk and stimulate cooperation between client and contractor. As this project delivery method is new, knowledge on the effects of the use of this project delivery method is limited.
Using desk and case study research with semi-structured interviews and an organisational behavioural theoretical lens, this exploratory research shows that the first phase of the two phase process project delivery method reduces the information risk, can make good cooperation between client and contractor possible and may help risk allocation between client and contractor. This study recommends public clients to think about the use of a two phase process project delivery method design carefully and incorporate mechanisms to end the first phase.","two phase process; collaborative project delivery method; information risk; risk allocation; agency theory; stewardship theory","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:e5cec224-ac49-4713-8a42-ee30674eeeb0","http://resolver.tudelft.nl/uuid:e5cec224-ac49-4713-8a42-ee30674eeeb0","RN-XCM: A Neural Network for Classifying Skill Level in Multi-Axis Tracking Tasks","Six, Kobi (TU Delft Aerospace Engineering)","Pool, D.M. (mentor); Mulder, Max (mentor); Delft University of Technology (degree granting institution)","2023","The aviation industry's reliance on automation raises concerns about pilot complacency, necessitating continuous pilot proficiency measures. To that end, real-time pilot skill feedback is vital—through alerts on declining skill levels or scalable levels of autonomy. Current cybernetic methods are limited as they assume linearity and time-invariance of human behavior and lack real-time capability. Neural Networks (NNs) offer a solution but face challenges such as high computational costs and limited generalization capability. To overcome these issues, this paper introduces a new and compact Residual Network for eXplainable Convolutional MTS Classification (RN-XCM) designed explicitly to classify pilot skill levels. Results demonstrate RN-XCM's ability to accurately classify skill levels based on 1.2 seconds of dual-axis control data, achieving a test accuracy of up to 93.50%, while requiring 50% less training time than competing NN models. It also achieves a test accuracy of 80.16% for previously unseen subjects, signifying its competence as a one-size-fits-all classifier. Notably, RN-XCM performs 17.88% better when classifying dual-axis tracking tasks over single-axis tracking tasks. Overall, the possibility of real-time feedback provided by the RN-XCM can enable quantitative evaluation of pilot control behavior, therefore enhancing safety and facilitating smoother interactions between pilots and aircraft.","Manual Control; Time Series Classification; Multivariate Time Series Classification; Deep Learning; Nueral Networks; Skill Level","en","master thesis","","","","","","","","2025-08-31","","","","Aerospace Engineering","",""
"uuid:e5021b7a-5af3-4d89-a6da-3b3ac83162b6","http://resolver.tudelft.nl/uuid:e5021b7a-5af3-4d89-a6da-3b3ac83162b6","Explainable Neural Networks for Incipient Slip Sensing in Robot Tactile Learning","Polak, Max (TU Delft Mechanical, Maritime and Materials Engineering)","Wiertlewski, M. (mentor); Vitrani, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Incipient slip detection plays an important role in human and robotic grasping. With the growing use of deep learning in vision-based tactile sensing, the black-box nature of these deep neural networks (DNNs) makes it difficult to analyze, debug, and validate their behavior and learned patterns. To fill this gap, eXplainable AI (XAI) methods have been introduced to shed light into the DNN’s reasoning regarding incipient slip detection. These methods generate saliency maps, highlighting the relevant regions in the input tactile image that resulted in the predicted degree of incipient slip. Temporal difference images have been
used to enhance the visualization of incipient slip and make saliency maps easier for human viewers to understand. Additionally, this research evaluates several XAI methods based on criteria such as high-resolution, smoothness, and faithfulness. The experiment examined 42 samples from the ChromaTouch tactile dataset, focusing on contact interactions with a flat object. The results showed that Poly-CAM satisfies all three criteria by accurately highlighting markers while emphasizing their relative importance in the DNN’s decision-making process. Overall, through visual analysis of saliency maps, our findings confirm that DNNs have successfully learned to localize crucial deformation features for detecting incipient slip.","Explainable AI; Deep Learning; Vision-Based Tactile Sensing; Incipient Slip Detection","en","master thesis","","","","","","https://github.com/MaxPolak97/MaxPolak97/assets/87903719/b3bb6cbd-ad87-4fc5-8f5b-e5cc870920af Unspecified Saliency map video for explaining DNN predictions","","2025-08-31","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:63ce0022-223b-4c5d-99f9-88cbf1db32d5","http://resolver.tudelft.nl/uuid:63ce0022-223b-4c5d-99f9-88cbf1db32d5","Product Localization Go-to-market Strategies: for an international company X to launch a new product in China market","Cai, Angela (TU Delft Industrial Design Engineering)","van den Hende, E.A. (mentor); Bakker-Wu, S. (graduation committee); Chen, Kevin (graduation committee); Delft University of Technology (degree granting institution)","2023","Company X is a renowned engineering and technology corporation based in Germany that has established a prominent presence in China for over a century. Company X is actively promoting and leading the way in adopting environmentally friendly technological projects in response to the green energy trend worldwide. A significant step in this direction is introducing their new air-sourced heat pump product line in their Chinese HVAC department, specifically designed to cater to the unique requirements of the local environment and market.
This study aims to assist Company X in its transition towards green technology by developing a strategic plan for introducing its new product in the Chinese market. Upon joining Company X, the author assumed the role of an external observer and started the research by reviewing internal documents to understand the company’s current situation and the product itself. The author concludes that a go-to-market strategy is an essential bridge for its product launch since it can help to meet the company’s transitioning from product-driven to emphasising meeting market demands and enhancing user experience.
Since this study focuses on developing a go-to-market plan for Company X, highlighting the product’s unique selling point is critical to differentiate from intense market competition. To achieve this goal, the author conducted thorough market and consumer research, which involved studying existing literature, conducting field studies, and gathering feedback through surveys and interviews.
From the gathered data, a strategic product launch roadmap was created for Company X. This roadmap offers advice on highlighting features that make the company's heat pump different from others and on effective launch strategies. A key observation was the varied nature of the Chinese market and the specific demands of its consumers. Since user needs and preferences differ from region to region, it is crucial to adapt strategies and, when needed, test them with local partners before a widespread rollout.
Interestingly, the research also showed that many consumers are not fully aware of the ASHP product, signalling opportunities for educational initiatives. For Company X to truly resonate with its audience, it is essential to reimagine its brand image, emphasising sustainability and user experience. The ultimate objective is for Company X's new product to resonate with its target audience and capture a significant portion of the ASHP market share.","Go to market strategy; China; SPD; Consumer Research; Market Entry Strategy; Market Research","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:bcfd0a70-cb58-4252-9ce5-06ce2d9a7e0f","http://resolver.tudelft.nl/uuid:bcfd0a70-cb58-4252-9ce5-06ce2d9a7e0f","Augment it Maybe?: Improving Deep Vision Models with Adversarial Scene Text Augmentation","Sharma, Anirvin (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gemert, J.C. (mentor); Cavalcante Siebert, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Image data augmentation has been regarded as a reliable and effective way to increase the data available for training. With the advent and rise of Generative AI, generative data augmentation has been shown to realize even better gains in performance for downstream tasks. However, these performance gains are often the cause of ""extra information"" being seeped into the generated examples via pre-trained model weights, heuristic inclusions etc. In this paper, we showcase the impact of text-in-image augmentation on the performance of an underlying downstream task (classification or recognition). This study specifically looks at the difference in performance when training a classifier under three settings- no augmentation, transform-based augmentation, and generative augmentation- and investigate whether and where this augmentation can be successfully employed to experience gains in performance, without letting any ""extra information"" seep in. We try to observe this difference in performance under varying amounts of training samples, and for samples with varying similarities to that of the original training data. We also present a new GAN structure- conditional Classification Deep Convolutional GAN (or the CcGAN)- as an improved baseline over the conditional Deep Convolutional GAN (cDCGAN) for our experiments which gave a 4\% performance gain over unaugmented data with no 'extra information'. We find that in certain settings and examples, there exists a performance advantage to train vision models in text-in-image settings using real and generated data. We also confirm that the amount of original training samples available affect the test accuracy achieved by generative augmentation, where a huge fall-off can be seen in extremely low- and high- data regimes; however, it seems to maximize performance at a ”sweet spot” where the robustness and variability added by the generated samples help to realize performance gains. It was also observed that the 1x and 5x augmentations performed better than other configurations. Lastly, we find that the similarity of generations does not affect model performance and does not vary consistently with model performance for most settings.","Generative Artificial Intelligence; Data Augmentation; Computer Vision; Generative Adversarial Networks (GAN); Deep Learning; Character Recognition; Image Classification; Convolutional Neural Networks (CNNs); MNIST; SVHN; Scene Text Recognition","en","master thesis","","","","","","Link to code for this project: http://tinyurl.com/AugmentItMaybe-codebase","","","","","","Computer Science","",""
"uuid:74a2d20c-6eb2-4316-8d1f-1f89a5f32f62","http://resolver.tudelft.nl/uuid:74a2d20c-6eb2-4316-8d1f-1f89a5f32f62","Strategies for Overcoming Barriers in the Biomass-to-Syngas Value Chain: A Solution-focused Approach in the Context of the Dutch Bio-based Chemical Sector","Lammers, Tom (TU Delft Technology, Policy and Management)","Korevaar, G. (mentor); van de Kaa, G. (graduation committee); Nanninga, Patrick (graduation committee); Delft University of Technology (degree granting institution)","2023","Over the past decades, global efforts have been made to address climate change and improve the well-being of our planet. The European Union (EU) has set ambitious greenhouse gas (GHG) emission reduction targets and strategies to combat climate change. Bio-energy, specifically biofuels produced from biomass, has gained significant attention as a crucial component in decarbonizing energy and production systems. While renewable energy sources like solar and wind are primarily used for electricity generation, bio-based processes focus on producing biofuels for heat, power, transportation, and biochemistry sectors. Therefore, biomass can play a crucial role in decarbonizing hard-to-abate industries that are challenging to electrify, such as the chemical industry, heavy road transport, and marine and aviation sectors. However, the chemical industry, a major energy consumer and emitter of CO2, relies heavily on fossil fuels as feedstock and energy sources, necessitating a shift to carbon-free alternatives.
The biomass-to-syngas pathway, which involves converting biomass into bio-based syngas through gasification, has emerged as a promising solution for a more sustainable chemical industry. However, the development of this value chain faces technical and commercial challenges. Technical challenges include tar formation and product impurities, while commercial challenges include financing limitations, low market maturity, and sustainable feedstock availability. Moreover, handling and using biomass as a feedstock itself present constraints such as transportation limitations, variable composition and properties, low energy density, and high moisture and oxygen content. These challenges hinder the competitiveness of bio-based syngas production against fossil fuel alternatives and impede the development of the biomass-to-syngas value chain.
To address these challenges, the integration of torrefaction technology into the value chain has been proposed as a promising approach. Torrefaction enhances biomass densification, reduces moisture content, and improves the overall viability of the biomass-to-syngas value chain. However, the commercial implementation and economic feasibility of torrefaction remain uncertain. Additionally, research primarily focuses on technological improvements and lacks a deeper understanding of system integration, practical implementations, and stakeholder perspectives.
This research aims to bridge these knowledge gaps by actively engaging with stakeholders across the value chain to address the challenges of developing the biomass-to-syngas value chain and propose comprehensive solutions through stakeholder involvement. It explores the system integration of torrefaction technology, considering industry stakeholders' perspectives. The research employs a step-wise approach, focusing on an in-depth case study of the Dutch chemical industry. Data is collected through an exploratory literature review, semi-structured interviews with stakeholders, a questionnaire, and a webinar serving as a panel discussion platform.
The research identifies 44 barriers hindering the development of the biomass-to-syngas value chain and the integration of torrefaction technology. These barriers primarily stem from deficiencies in innovation-specific institutions, network formation and coordination, and the production system. Stakeholders and experts agree that technological and logistical challenges can be overcome. However, addressing failures in innovation-specific institutions, such as the lack of economic and policy incentives and an unfavorable regulatory environment, is crucial for driving the development of the value chain. Based on these findings and insights obtained through expert reflection the research develops comprehensive solution statements and formulates five strategies to address the identified barriers, including cohesive policies, industry-tailored subsidies, standardized certifications and regulations, enhanced network formation, and decentralized torrefaction technology integration.
In conclusion, this research underscores the significance of the biomass-to-syngas pathway as a key driver for a sustainable chemical industry. By addressing technical and commercial challenges and the integration of torrefaction technology, comprehensive strategies have been formulated to overcome barriers and unlock the value chain's full potential. These findings thereby provide actionable insights for policymakers and industry stakeholders to drive the sustainable development of the biomass-to-syngas value chain.","Biomass gasification; Sustainability; Solution-focused Sustainability Assessment; Technological Innovation System (TIS); Value Chain Analysis; Torrefaction; stakeholder engagement; Chemical Industry","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:801365d2-121a-4c60-85cb-9332ee4bac99","http://resolver.tudelft.nl/uuid:801365d2-121a-4c60-85cb-9332ee4bac99","The Production and Delivery of Green Hydrogen and Recovered Waste Heat: A Techno-Economic Analysis of a Multi-MW Alkaline and PEM Electrolysis Plant","de Haan, Stijn (TU Delft Electrical Engineering, Mathematics and Computer Science)","Verzijlbergh, R.A. (mentor); Cvetkovic, M. (mentor); Delft University of Technology (degree granting institution)","2023","In this thesis, both a 10 MW Alkaline Electrolyser (AE) and a 10 MW Proton-Exchange Membrane (PEM) electrolyser system are evaluated through a comprehensive techno-economic feasibility study, spanning a 25-year operational lifespan. The systems are designed to serve a dual function, producing green hydrogen at 350 bar for utilisation at a hydrogen refuelling station for heavy-duty trucks, as well as recovering waste heat via a tie-in on the cooling system of the electrolyser to directly supply a medium-temperature district heating network at 70 °C. The latter is achieved by connecting the tie-in to a heat exchanger, resulting in a cost-effective heat recovery without the implementation of an expensive heat pump. Both electrolysis systems are operated at 80 °C and powered by offshore wind power, delivered to the electrolyser system through a virtual PPA.
ERA5 data on the wind speed was employed, which was converted into power data via the wind farm power curve. The wind farm power curve was produced by coupling wind farm power production data to the ERA5 wind speed. This method proved to be effective in simulating the power production of a wind farm, as it included the wind farm wake effects and the global-blockage effect.
The performance of the AE system was simulated through a semi-empirical model for both the polarization and Faraday efficiency curve, while the performance of the PEM electrolyser system was simulated by an empirical approach for the polarization curve and a semi-empirical model for the Faraday efficiency curve. A degradation efficiency method is proposed, which employs a constant degradation factor to describe the decreasing performance over the lifetime of the stack. The degradation efficiency effectively illustrated the heat-producing degradation in electrolyser cells.
The techno-economic aspect of the research involved a detailed analysis of the Levelised Costs of Hydrogen and Heat (LCoH2 and LCoHeat). The LCoH2 of green hydrogen from the AE system was 6.08 euro/kg, while the LCoHeat of the recovered waste heat was 1.57 euro/MWh. For the PEM electrolyser system, the LCoH2 was determined to be 5.59 euro/kg, while the associated LCoHeat for the recovered waste heat was 1.55 euro/MWh. The profits of selling the recovered waste can be utilised to decrease the LCoH2. When a recovered waste heat-selling price of 50 euro/MWh was assumed, the LCoH2 of the AE and PEM electrolyser system decreased by 0.64 euro/kg and 0.44 euro/kg, respectively.
The sensitivity analysis on the LCoH2 indicated that the PPA price was the most influential factor on the LCoH2, followed by the Capital Expenditures (CAPEX) of the electrolyser system, and the start-of-life stack efficiency. When assessing the LCoHeat, the sensitivity analysis revealed that the most impacting parameters on the LCoHeat were the capacity of the installed electrolysis plant, the discount rate and the CAPEX of the heat exchanger.","Electrolysis; Alkaline; Heat recovery; PEM; Levelised cost of hydrogen; Wind farm","en","master thesis","","","","","","","","2025-07-31","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:9d9f6e0a-f04f-453b-ac9c-774eda0e320b","http://resolver.tudelft.nl/uuid:9d9f6e0a-f04f-453b-ac9c-774eda0e320b","Exploring Consensus to Develop Global Standards in Response to Sea Level Rise (SLR): Evaluating the Standardisation Scope of SLR Adaptation Using the Delphi Technique","Jamadar, Zeeshan (TU Delft Technology, Policy and Management)","van de Kaa, G. (mentor); Doorn, N. (graduation committee); Wiarda, M.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Anthropogenic climate change has led to irreversible Sea level rise (SLR), underscoring the urgency to implement adaptation measures. With there being a series of challenges to implement SLR adaptation, international standardisation emerges out to be a solution to address these challenges. In this research, an attempt is made to identify SLR adaptation measures that could be globally standardised using a consensus-based approach. The methodology for the research is a combination of desk-research and the Delphi method – involving rounds of surveys with an expert panel to reach consensus – that run a sequential manner. The research also aims at demonstrating the Delphi method as a cost-effective and time-saving method to develop adaptation standards. The research is able to develop a synthesis of a comprehensive list of adaptation measures that are in turn posed as the candidates to be standardised. A total of six adaptation measures attain consensus that include: (1) early warning systems, (2) seawalls, (3) levees, (4) sandbags, (5) dikes and (6) breakwaters. These adaptation options are chosen based on the identified meta-criteria developed as part of the results of the Delphi study. The meta-criteria is based on the reasons to develop an adaptation measure into standard and assesses three key themes that checks if an adaptation measure: (1) is a technical measure, (2) has a pre-existing methodology or standard that could be revised or newly drafted for SLR adaptation and (3) has a global data stream that could facilitate SLR based data sharing, collection and interoperability. These identified measures help in prioritising the adaptation measures that could be globally standardised. A key theme for the opposition of standardisation found in the literature and substantiated by the expert inputs is found to be of location-specific considerations for SLR adaptation implementation. Thus, to address such an apprehension of the panellists, the study makes crucial theoretical contribution to include an explanation to the relevance of standardisation to the challenges with SLR adaptation implementation. Furthermore, to propose and demonstrate the adoption of the Delphi method as a starting point to identify suitable options that could be standardised, the research develops an understanding of consensus in standardisation vis-‘a-vis the Delphi method. The study’s main limitations arise out of the limited numbers of rounds conducted that leave room for increasing the consensus levels for adaptation options as well as the missing interactions between the panellists that could have further added to the findings. Based on the findings the study provides three actionable policy recommendations to support the process of SLR international standardisation – (1) develop a consistent global language for SLR adaptation strategies and measures, including a classification of these measures, (2) raise awareness about the significance of standardisation in SLR adaptation among the world-wide community of stakeholders in this field, (3) establish a global platform for sharing appropriate SLR information usable across various adaptation measures. The study concludes with acknowledging that SLR adaptation global standards so developed need to be flexible and adaptive in order to incorporate location-specific considerations for each of the adaptation measures.","Sea level rise; Climate Change Adaptation; Standards; Standardisation; Delphi method; consensus","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:bf969926-0bf3-4412-9d2f-914e0166daf3","http://resolver.tudelft.nl/uuid:bf969926-0bf3-4412-9d2f-914e0166daf3","Design Guidelines for Human-Agent Collaboration in a Painting Context: Authority, Autonomy, and Delegation","Martinez, Lenny (TU Delft Industrial Design Engineering)","Cila, N. (mentor); Rozendaal, M.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","This project focuses on developing design guidelines for Human-Agent Collaboration around Authority, Autonomy, and Control in the outdoor painting context. While painting as an activity is something most of us have done and understand, working professionals have a sense of pride and skill in their motions that would be difficult to replace with agents. Painters are generally conservative and wary of innovation, as they deeply enjoy the restoration process. Still, they are also a profession that finds it difficult to recruit as many people as before. This project explored how agents could support painters to work sustainably to see what possibilities exist for collaboration. Through a combination of design and research activities, a Design Fiction grounded in painting practice and focused on Authority, Autonomy, and Control was developed. The Design Fiction facilitated discussions with painters around the three themes. From these discussions, design guidelines were developed for each theme.
The guidelines contributed to the project's overall goal: understanding how agentic technology could facilitate the sustainable employment of painters.","Human-Agent Collaboration; Speculative Design; Human-Robot Interaction; human-agent interaction; Design fiction; Design Guidelines","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:656f89ab-869c-45d5-a6f2-e488d5f4a77b","http://resolver.tudelft.nl/uuid:656f89ab-869c-45d5-a6f2-e488d5f4a77b","Weight estimation for pylons supporting large aero-engines: A KBE approach","Snelders, Jeffrey (TU Delft Aerospace Engineering)","Vos, Roelof (mentor); Hoogreef, M.F.M. (graduation committee); Wang, Xuerui (graduation committee); Delft University of Technology (degree granting institution)","2023","The aviation industry confronts challenges from increased air traffic and environmental concerns. Enhancing engine efficiency becomes crucial due to persistent greenhouse gas emissions from air travel, particularly in long flights with high CO2 emissions. Higher by-pass ratios (BPR) can address this by boosting engine propulsive efficiency, yet integrating modern large ultra-high bypass ratio (UHBR) engines onto the aircraft introduces complexities affecting structural weight and aerodynamics. To evaluate innovative engine-aircraft integration concepts, precise early-stage design and weight assessment methods are needed. Existing conceptual weight estimation methods for pylons lack the ability and precision to do so, as they mainly rely on statistical methods applied to previous generation engines which do not represent current trends. This thesis proposes a physics-based design approach for pylon structures using Knowledge-Based Engineering (KBE) principles, enhancing the evaluation of diverse engine-aircraft integration designs. The proposed methodology revolves around 4 key ingredients. First, the study introduces a completely new parameterization of the pylon structure involving the mathematical description of 'zero-thickness geometry' and structural elements, which leads to a total of 80 parameters to characterize the pylon structure.
This parameterization is implemented in a ParaPy Python application, featuring the 'PylonDesigner' superclass that controls the geometry generation process, performs a weight evaluation process, and contains dedicated attributes and functions for structural analysis and sizing optimization. Specialized classes are implemented to create the geometry of different pylon types using the ParaPy Geometry library. The generated pylon structural geometry is analyzed using the commercially available finite element code Abaqus. To enable a proper coupling between the ParaPy and Abaqus, an application programming interface (API) has been implemented. Using this API, the meshed pylon geometry is processed part-by-part, after which the full structure is assembled. Boundary conditions are then applied, and the analysis is defined including the loads. During the analysis, the pylon is subject to a total of 20 limit loads cases covering different maneuvers, thrust settings and gusts, and 4 ultimate load cases representing the critical fan-blade off event. The results from the structural analysis in Abaqus and a weight evaluation procedure using the geometry in ParaPy are used as inputs for a sizing optimization procedure making use of the Scipy Optimize Sequential Least Squares Programming (SLSQP) algorithm. The objective of this optimization is to minimize the structural weight of the pylon, while subject to constraints on the maximum allowable stress in each component. Validation utilizes two engine-aircraft integration cases: the pylon supporting LEAP-1B engine on Boeing B737-MAX and LEAP-1A engine on Airbus A320 neo. The method approximates pylon weight effectively when employing a 'FEM weight-to-realistic weight' conversion factor. In conclusion, this methodology holds potential in assessing UHBR turbofan engine design and weight penalties, primarily for wing-mounted engines using box-beam structures. Further development is required to address validation challenges and explore various pylon architectures, extending the model to fuselage-mounted struts, and integrating rotor dynamic simulations. Coupling with an engine model shows promise for evaluating variable engine design and integration parameters.
Despite its role as a major coal producer, Indonesia has set ambitious targets, aiming to achieve net-zero emissions by 2060, including a 29% reduction in carbon emissions by 2030. A pivotal step towards these goals is exploring alternative energy sources, with a particular focus on the New and Renewable Energy (NRE) sector.
Traditionally used for cooking, biomass energy has expanded within Indonesia's NRE sector, with sustainable biofuel and biogas gaining prominence. Indonesia possesses a substantial biomass potential, estimated at 32.6 gigawatts (GW), offering a sustainable avenue for biomass residue utilization. One promising avenue is co-firing biomass alongside coal in existing Coal-Fired Power Plants (CFPPs). However, initial pilot projects have encountered challenges, with co-firing percentages remaining below 20%, and coal retaining dominance in the energy mix.
This report addresses a critical knowledge gap hindering the progress of biomass co-firing in Indonesia. Its primary objective is to assess the techno-economic potential of retrofitting existing CFPPs in Indonesia for biomass co-firing. The assessment encompasses identifying abundant and suitable biomass residues for co-firing, exploring retrofit scenarios based on technical considerations, conducting an economic feasibility analysis of CFPP retrofitting, and proposing policy recommendations for Indonesia's Ministry of Energy and Mineral Resources.
The study reveals that agricultural by-products account for 70% of available biomass, followed by forestry residues (17%) and Municipal Solid Waste (MSW) (13%). Notable contributors among agricultural residues include rice and palm oil residues, each exhibiting substantial potentials. Forestry residues, such as solid and sawdust residues from pulpwood and sawn wood, also hold promise.
The technical potential for co-firing is estimated at 450 terawatt-hours (TWh), equivalent to the estimated electricity demand in 2030. To achieve practical implementation, proposed CFPP retrofit scenarios consider co-firing percentages, addressing pre-treatment of biomass, boiler efficiency, and equipment modifications.
Using the Levelized Cost of Electricity (LCOE) methodology, the economic assessment yields a range of outcomes, from 2.2 to 10 cents per kilowatt-hour ($c/kWh), based on distinct case studies. The report highlights the economic feasibility of biomass co-firing in Indonesia, even when compared to sub-critical/ultra sub-critical coal plants.
To support biomass co-firing, the report recommends policies such as a significant carbon tax, redirecting coal subsidies, and promoting biomass utilization to support biomass co-firing. Improving the supply chain by identifying biomass sources near coal mines and enhancing transportation infrastructure is also essential for ensuring a stable biomass supply. Despite some limitations in data sources and modeling, the study employs dynamic approaches to present result ranges and diverse scenarios, enhancing the validity of its findings.
In summary, the strategic implementation of recommended measures has the potential to significantly contribute to Indonesia's transition towards sustainable biomass co-firing practices in its energy sector, aligning with its emissions reduction and net-zero goals.","co-firing; biomass; Techno-economic; Indonesia; Pre-treatment","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:995d0817-f76c-40ce-889f-f234e15b8092","http://resolver.tudelft.nl/uuid:995d0817-f76c-40ce-889f-f234e15b8092","Energy-Efficient and Robust Cryo-CMOS Smart Temperature Sensor: A Capacitively-Biased Diode-Based Cryo-CMOS Temperature Sensor with Hybrid Voltage-Time Domain Readout","Li, Zenghui (TU Delft Electrical Engineering, Mathematics and Computer Science)","Sebastiano, F. (mentor); Delft University of Technology (degree granting institution)","2023","Quantum computers, mainly rely on quantum bits (qubits), which need to be operated at cryogenic temperatures. With the need for systems with thousands or even millions of qubits, the challenges of wiring and scalability become more apparent. To address this, several studies focused on the idea of fully integrated cryogenic controller systems. It operates in close proximity to qubits in a deep cryogenic environment. In order to ensure operation over a specific temperature range, accurate temperature monitoring by temperature sensors (TS) is critical in this type of setup. Such sensors should operate efficiently at low temperatures and should operate over an extremely wide temperature range (from 4K to 300K) with high accuracy and energy efficiency. Current TS still faces performance challenges in such applications, especially in temperatures below 200K. CMOS-based smart temperature sensors have the advantages including low cost, compact size, and ease of use. Among the various CMOS sensing elements, Capacitively Biased Diodes (CB-Ds) were chosen for this project. Therefore, in this project, a CB-D-based temperature sensor with a novel hybrid voltage-time domain readout in Intel 16nm FinFET technology is proposed with the capability of operating within a range from 4.2K to 300K.
Based on this topology, a further over-ranging technique is employed, thereby energy efficiency and accuracy are improved. From simulated data, with the supply VDD = 0.90V, an accuracy of +0.5/ − 0.3K with a conversion time of 44.1 µs is achieved. Furthermore, it consumes 21.9 µW, which has a competitive performance with room temperature prior art smart temperature sensors. Based on measurements, a customized smart temperature sensor can be designed. This will allow cryo-CMOS thermal monitoring system to be designed, which will provide an important milestone in the realization of scalable quantum computing.","Cryo-CMOS; smart temperature sensor; Energy-efficient; Diodes","en","master thesis","","","","","","","","2025-08-01","","","","Electrical Engineering","",""
"uuid:52f0192e-ab29-470d-9093-88c7ae8ee565","http://resolver.tudelft.nl/uuid:52f0192e-ab29-470d-9093-88c7ae8ee565","Assessing Financial Schemes for Commuter Cycling: A Comprehensive Social Cost-Benefit Analysis","Wienk, Iris (TU Delft Civil Engineering & Geosciences)","van Wee, G.P. (graduation committee); Annema, J.A. (mentor); Duives, D.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands is confronted with challenges such as traffic congestion, overburdened public transportation, and obesity. These issues could potentially be mitigated by elevating the cycling modal share. To attain this objective, the Dutch central government has introduced a range of strategies aimed at fostering commuter cycling. This study examines one of these strategies, namely financial schemes encompassing the kilometre allowance, lease bike, and 'Fietsplan'. The financial schemes are analysed by creating an extensive conceptual model which is thereafter used to make a comprehensive Social Cost-Benefit Analysis (SCBA). Using both methods contributes to improving the SCBA technique for cycling policies by presenting a well-rounded and extensive assessment of the associated costs and benefits. The results of the SCBA show that the lease bike scheme is the most socio-economically favourable financial scheme. The validity and reliability of the results could be improved by researching the elasticity of the kilometre allowance in relation to the number of additional cycling kilometres, the percentage switcher by different heights of the kilometre allowance and to determine the willingness to pay of individuals for a bicycle to better determine the consumer surplus of the 'Fietsplan'.","Financial scheme; Cycling; Commuting; Conceptual modelling; Social Cost-Benefit Analysis","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:acc7f75d-5b29-4da5-9c8d-e3b61a6ecdcb","http://resolver.tudelft.nl/uuid:acc7f75d-5b29-4da5-9c8d-e3b61a6ecdcb","Characterization of biosensing with integrated photonic microchips for wearable photonics in health monitoring","Hu, Haotian (TU Delft Mechanical, Maritime and Materials Engineering)","Verbiest, G.J. (mentor); Westerveld, W.J. (mentor); Ghatkesar, M.K. (graduation committee); Erdoğan, R.T. (graduation committee); Delft University of Technology (degree granting institution)","2023","Photonics biosensors convert biomolecular interactions into quantifiable optical signals for biomedical analysis, which enable continuous monitoring of health indicators. Among them the microring resonator has a good sensing performance and a very broad application prospect. This thesis studies sensing with microfluidic integrated microring resonator photonic microchips.
This thesis adopts finite element method to simulate the optical behavior of waveguides and reactions in the microfluidic channel. The microfluidic channel was designed and prepared and then integrated to the photonic chip. The optical performance parameters of the micro ring resonator were tested by using a high-precision optical test system. The sensing performance of waveguide microring was studied using different aqueous solution as the detection object. The feasibility and effectiveness of the optical waveguide chip sensing have been preliminary verified.
In this thesis, the discrete Fourier transform and spherical harmonic expansion of the electric field are used to obtain a sparse representation of radiation patterns. As a variation from the basis pursuit optimization problem which is widely used in compressed sensing for antenna measurements, a sparsity enhancing weighted l1-norm minimization problem is considered. The weights are determined from prior information on antenna from electromagnetic simulations. The proposed method, after investigation with various antennas and comparison with existing benchmark results in a further reduction of number of required measurements. A near-optimal sampling technique is adopted to acquire measurement in an incoherent manner for exact recovery of the pattern. The performance of the method has been evaluated using error metrics specific to important parameters of the radiation pattern such as the gain, peak side lobe level and half power beam width. Radiation patterns with non-idealities and distortions have also been recovered with high accuracy from a small number of measurements using the proposed method.","Antenna measurements; Compressed sensing; Radiation patterns; sparse recovery; Spherical harmonics","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:62b3ef8a-e303-4bef-9df9-2053e2135223","http://resolver.tudelft.nl/uuid:62b3ef8a-e303-4bef-9df9-2053e2135223","To Find A Mocking Bird: Urban Bird Watching Product Design for Leica Sport Optics","Tian, Yuan (TU Delft Industrial Design Engineering)","Jansen, A.J. (graduation committee); Song, Y. (mentor); Klug, Markus (graduation committee); Delft University of Technology (degree granting institution)","2023","Bird observation, as one of the most popular nature exploration activities in Europe, has a large user group, a profitable market and contributes positively to the environment. Optical devices are almost a necessity for bird observation since they provide a magnified view with vivid details at a far distance.
Leica, a premium product manufacturer in the camera and sport optics industry, has a current user base of professional and elderly customers in bird observation. As the number of novice observers that start bird watching in urban environments keeps on increasing, the needs from this user group are becoming less neglectable. Therefore, designing for novice bird watchers could potentially help Leica open a new market and create more business value.
The design is defined under an urban context, which is a starter-friendly environment since birds are more active in cities. One of the biggest difficulties for novice bird watchers is ‘finding birds’, including catching sight of birds in the environment, and finding them again later in a magnified optical view. This can be a process that is both tricky and time-consuming for beginners. Some extra digital assistance “just like a slight nudge” is appreciated during the process.
To improve the experience for this process, multiple design ideas were generated and different adaptable technologies were mapped out in a morphological chart. The selected concept is an innovative bird watching optical device with a built-in 3D sound localisation system that is able to generate 3D directional vectors of the detected birdsong source, convert it into 2D information, then project a directional hint of birds’ position on the optical view. To test the feasibility of the selected technology, a functional mockup was built, which is composed with a digital camera, a microphone array, a computer processing power and a camera view finder display. At the end of the project, the mockup is able to perform sound source localisation of multiple sound sources within a distance range of 0-8m.
The mockup build in the project could serve as a starting point for Leica’s future exploration under the sound-related innovation area. Though currently the relevant technology is not mature and cost-efficient enough to bring much value to the viability aspect, a technology roadmap was proposed to the company suggesting a step-by-step further development process. With technical improvements from experts and engineers, an optimized setup with a better performance and a lower cost can be achieved within a more compact package. a sporce opcs","urban bird watching; sound source localization; sport optics","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:aae6a5a6-1a93-4107-a325-548e0ec43957","http://resolver.tudelft.nl/uuid:aae6a5a6-1a93-4107-a325-548e0ec43957","Hybrid warehouse optimisation: Improving warehouse performance through buffer allocation and manual picking configuration","Frazer, Timo (TU Delft Technology, Policy and Management; Picnic Technologies BV)","Verbraeck, A. (mentor); Fazi, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research focuses on optimizing the performance of a hybrid warehouse system that combines automation with manual picking processes. The study utilizes a case study of an online grocer, Picnic, and investigates the allocation of orders within a buffer and the configuration of the manual picking process.
The research identifies the key performance metrics for the system, including the number of late totes, average sojourn time, average stack throughput time, and picker productivity. Several factors impacting the system's performance are examined, such as buffer lane selection and group formation strategies, minimum and maximum group sizes, number of workers, pick times, and input data.
Through comprehensive experimentation and simulation modelling, the study reveals that prioritizing the deadline of totes for buffer lane selection and the IPB time for group formation results in the best system performance. The research also suggests that the configuration of the manual process should consider the group size should be adjusted based on the expected workload.
The study identifies the consolidation stations as a significant bottleneck in the system, and repairing them promptly on busy days is essential to maintain performance. The research provides practical implications for Picnic, emphasizing efficient buffer allocation and group formation strategies.
In conclusion, this research offers valuable insights into enhancing the performance of hybrid warehouse systems. Companies like Picnic can improve operational efficiency and customer satisfaction by optimizing buffer allocation, buffer lane selection, and group formation. The findings from this research can serve as a basis for further optimization and decision-making in similar warehouse setups.","Warehouse automation; Warehouse Modelling; Simulation Model; Buffering strategies","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:58029e39-5541-4a17-90cd-cc487383beec","http://resolver.tudelft.nl/uuid:58029e39-5541-4a17-90cd-cc487383beec","Energy Communities Digital Twin platform","Balassi, Niki (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Intelligent Electrical Power Grids)","Palensky, P. (mentor); Cvetkovic, M. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis focuses on advancing the digitization of socio-technical energy systems by facilitating the creation of Digital Twins of Energy Communities (ECs). A multi-layered architectural model was proposed to capture the different domains and interconnections within multi-energy and multi-agent ECs. Leveraging this framework, a flexible and modular co-simulation platform was realised as a tool that can be employed for enhancing research, decision-making, and policy analysis. Three study cases showcased the platform's capability to represent different ownership topologies, energy trading mechanisms and agents and control strategies. The study cases demonstrated that the holistic design and customisability of the platform allow for representing nuances and capturing cross-layer effects, thus unlocking a deeper understanding of ECs' dynamics and their members' outcomes.","","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:0393ebc2-aab6-495f-9832-279b0ff2e2b6","http://resolver.tudelft.nl/uuid:0393ebc2-aab6-495f-9832-279b0ff2e2b6","Influence of a PV insulation layer on the point load distribution of corrugated roofs","Al-Sharqi, Abdulrazzak (TU Delft Civil Engineering & Geosciences)","Veljkovic, M. (mentor); Kavoura, Dr. Florentia (mentor); Mohabeddine, A.I. (mentor); Hoogenboom, P.C.J. (mentor); Delft University of Technology (degree granting institution)","2023","This research aims to investigate the influence of Polyisocyanurate (PIR) insulation on small surface load distribution on corrugated steel roofing sheets. PIR foams are used for thermal insulation in industrial buildings. The distribution of surface loads induced by solar panels support structure might be altered by PIR foams. This is completely ignored in the design calculations according to current standard which might results in overconservative designs. In this study, the following main question is going to be investigated using experimental and numerical methods: ‘’What is the influence of PIR insulation on the transverse distribution of small surface loads on corrugated steel roofing sheets?’’.
The methodology involves conducting bending experiments to obtain strains and deflection data, which then are validated using numerical models. The experiments have been conducted on corrugated steel sheets supported by rollers. The PIR insulation is connected to the steel sheet by bolts, and a loading cylinder applies vertical force at the PIR insulation panel side. Two loading configurations are selected to simulate the representative loading conditions in real-life structures. Vertical deflections and strains in the bottom flanges of the corrugated sheet are measured during the experiments. The experimental data are used for the validation of the numerical models that are created by using ABAQUS FEA.
First, a linear F.E Model was developed and validated with experimental results up to the initiation of nonlinearities. The results of the linear models shows clearly that the PIR layer influence considerably the stress distribution in the adjacent ribs to the one of load application. A nonlinear analysis is conducted to determine the maximum load that the roof structure can resist. To capture geometrical nonlinearities more accurately, eigen buckling analysis was conducted to implement initial geometrical imperfections. Considering the imperfect initial geometry of the steel sheet and its plastic properties, maximum strength of the roof system could be estimated. As a note, the damage in the PIR insulation material was not considered as it is beyond the scope of this study, the material was assumed to be linear elastic.
The experiments demonstrate that the behaviour of the roof structure is primarily governed by the rupture of the PIR insulation, occurring prior to any yielding in the steel sheet. The linear numerical model predicts the behaviour of the roof structure within the elastic phase. The linear model can be used to study the influence of the several influencing parameters such as the dimension, PIR material properties and the loading conditions. Expanding the span from 2 to 6 meters with a single mid-span surface load increases the adjacent rib contribution by 20%, meaning that their normalized strain values relative to the load location's maximum strain grow by 20% in the elastic phase. The nonlinear model is employed to establish the force vs. displacement curve and identify the strength of the roof. The non-linear numerical models show that the ultimate load is found to be 8000 N when a single surface load is applied directly on the steel sheet. The experiments show yielding of the steel at 7300 N after the loading jack ruptures both the PIR surface and layer, then the jack reaches the profiled steel sheeting. For design purposes, it is recommended to exclude the influence of the PIR insulation beyond its elastic phase. Compression tests conducted on the specimen revealed damage within the PIR material at a load of 6250 N, which is considered the upper limit for the PIR's elastic phase.
Implementing a fixed artificial bypass system, already proven successful in similar projects globally, emerges as a potential solution to reduce dredging and nourishment activities. This system aims to restore the natural sediment transport by pumping sediment from the updrift to the downdrift side. In addition, its more continuous discharge of sediment is anticipated to be less disruptive for benthic life compared to traditional nourishment methods.
The best-case scenario calculation performed in this thesis presents that an artificial bypass system at IJmuiden could potentially reduce dredging activity by 3.5% and nourishment activity by 37%. To assess whether these reductions can be achieved, this thesis introduces a newly developed framework for assessing the effectiveness of sediment bypass concepts based on four performance indicators: (1) Dredging Activity of Channel and Port, (2) Sediment Demand of Downdrift Coast, (3) Impact on Benthic Community and (4) Feasibility.
This method includes simulating the response of the coastal system after implementing varying bypass concepts using a Delft3D model. The Delft3D model’s applicability and predictive skill are assessed via hydrodynamic and morphodynamic validation. Concluding that the model can reproduce the general trends but introduces numerical errors in the exact quantification of the morphological development. Despite this limitation, the output from these Delft3D simulations was used to evaluate the response of different artificial bypass concepts based on the four performance indicators. The first two indicators are based on the simulated sediment transport values and assessments of the development of the bed. The evaluation of the third indicator is based on a calculation performed using the developed benthic evaluation tool, named the 'Benthimeter'. This newly developed tool provides a method that intends to visualize and quantify the impact on the benthic community induced by nourishment activity. Although the Benthimeter requires further calibration and validation, it marks a good first step towards integrating ecology into coastal management.
The results of this thesis demonstrate that the coastal system of IJmuiden allows for sediment withdrawal, where allready 10% of the required annual sediment trap was observed within one simulated month. Also northward sediment dispersal towards the downdrift coast was observed at simulations, indicating that such a system could reduce the sediment demand. These findings provide confidence that the principles of bypassing sediment around the port of IJmuiden hold. Consequently, it is anticipated that an artificial bypass system would, to some amount, reduce the need for dredging and nourishment activity. Also, the calculated impact on the benthic community confirms the hypothesis that a more continuous nourishment approach reduces the impact on the benthos. While the findings of this study provide an initial indication of the potential effectiveness of an artificial bypass system at IJmuiden, they do not provide long-term effect estimates. Further research is suggested to examine the primary drivers of dredging and nourishment activity, along with efforts to simulate the equilibrium state to evaluate the long-term effects.
The most important contribution of this thesis is the introduction of innovative tools, guidelines, and effective methods. This framework can be used in future research to improve our knowledge of sustainability and ecology in coastal practices.","IJmuiden; Artificial sediment bypass system; DELFT3D; Benthic modelling; sediment transport modelling","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","","52.464738, 4.561952"
"uuid:050572a0-89c1-4e15-a5ae-0249ee507f9f","http://resolver.tudelft.nl/uuid:050572a0-89c1-4e15-a5ae-0249ee507f9f","Determining the Optimal Route for a Tethered Manure Applying Robot","Oosterbaan, Robin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yorke-Smith, N. (mentor); Eisma, Y.B. (graduation committee); Wijkhuizen, J.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Lely is developing a tethered manure applicator robot, designed to work on the fields of farms. This vehicle called the Jojo, is constrained in its movement due to the attached tether. It can not make tight turns and can only reverse by backtracking the driven path. This thesis explores the path planning issue and provides a novel solution. The problem is best described as the shortest path from a starting point to a set of strokes that cover the field, with a constrained turning radius. We first explore existing solutions and discover that none exist that are suitable. Further research finds that the behaviour of this vehicle is best compared with steerable needles, but at a different scale. First, we construct a simulation environment for the vehicle. This simulation is used to develop the plan execution engine, path follower and safety checks. This is necessary for testing the solutions in the simulation and in the real world. Secondly, with some inspiration from the solutions provided for the steerable needles, we arrive at a method for finding a Jojo path. The method makes use of a large graph that represents translations associated with a given location. We also provide methods for attaching the starting point and destinations in the form of strokes, either via the start or end of the strokes or via the middle. A Jojo plan is then obtained by performing a directed Steiner tree approximation on this graph, where the starting point is the root and the terminals are the strokes. In order to make the approach fast enough, we spend a substantial amount of time profiling and analysing the program and design a number of performance improvements. These allow for the approach to solve instances that are larger than necessary. Thirdly, we test, compare and improve the approach. We look at both small instances to perform numerical analysis in order to optimise a set of parameters. We then inspect larger instances that are based on real world scenarios. Lastly, we perform a set of real world tests using the vehicle. One of these tests highlights a shortcoming of the algorithm, which we discuss and provide a solution for","Manure applying robot; Route planning; Coverage planning; Tethered vehicle; Tethered robot","en","master thesis","","","","","","","","2024-11-01","","","","Computer Science","",""
"uuid:591d574d-97b0-4c22-9567-e15328d6ce1d","http://resolver.tudelft.nl/uuid:591d574d-97b0-4c22-9567-e15328d6ce1d","Using Tensor Decompositions To Obtain Biomarkers From Auditory Event-Related Potentials","Stunnenberg, Kenneth (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hunyadi, Borbala (mentor); Hendriks, R.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Brain disorders in children pose significant challenges to their development, impacting cognition, speech, movement, and behavior. The uncertainty surrounding prognostic information at the time of diagnosis leaves families with numerous questions about the future. The Child Brain Lab at Erasmus MC Sophia Children's Hospital conducts IQ, electroencephalogram (EEG), speech, and movement tests in playful environments, enhancing scientific research and healthcare practices for a better understanding of disease progression.
The Otolaryngology department at the Child Brain Lab focuses on auditory-related potentials (ERPs) obtained from EEG measurements to predict the future development of children with brain disorders. Analyzing ERP data from experiments like Mismatch Negativity (MMN) and Acoustic Change Complex (ACC) yields insights into developmental trajectories and connections between hearing, language, and brain development.
This thesis aims to explore alternative methodologies for extracting comprehensive information from ERPs, overcoming limitations of the commonly used peak amplitude and latency analysis. Tensor decompositions are employed to exploit structural information present in the data, using data fusion methods to combine multiple datasets for improved classification and deeper insights into group differences.
Simulations on artificial ERP data demonstrate that data fusion methods perform better on two ERP tensors compared to single tensor decomposition when group differences are shared between datasets. On a real dataset, tensor decompositions show promise for classifying subjects based on auditory event-related potentials while giving more insights into the neurological sources.
This report proposes an alternative method for analyzing ERP data, highlighting the potential of tensor decompositions and data fusion techniques.","ERPs; Biomarkers; Tensor decomposition; Data fusion","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:17e68d16-0918-4592-8f27-aa36b864fc98","http://resolver.tudelft.nl/uuid:17e68d16-0918-4592-8f27-aa36b864fc98","Operational Resilience: Backup Strategies for Crisis Management in the Age of Ransomware","Yeşilli, DORUKHAN (TU Delft Technology, Policy and Management)","Zhauniarovich, Y. (mentor); van Eeten, M.J.G. (graduation committee); Ubacht, J. (graduation committee); Willinge, Lukas (graduation committee); Boomsma, Ruurd (graduation committee); Delft University of Technology (degree granting institution)","2023","Increasing digitalization of systems bring about the grand challenge of keeping these systems secure from malicious prying eyes, and thus highlighting the need for increased Cybersecurity practices. Ransomware is among the most prevalent cybersecurity threats in our current digital era. The attacks are mainly done by advanced persistent threats (ATPs) to increase the impact done to organizations worldwide. Ransomware encrypt data using advanced cryptographic measures and lock users out of their systems to ask for a ransom that is typically paid through bitcoins. ATPs also exfiltrate sensitive data and utilize double and triple extortion methods where they either blackmail the organization with the public release or selling of their data, or they go to the customers to blackmail them, so they pressure the organization into paying the ransom. Defense against Ransomware is possible but in many cases, by the time, ransomware is detected the malicious actors already have strong access into the systems and data. All is not lost however as organizations can bring back their systems and data if there are backup & recovery policies that have been established prior. This thesis systematically explores the ransomware topic scoped on backups & recovery to identify how ransomware attack backups, what are the best practices for backups & recovery, and the corresponding challenges for organizations to produce policy recommendations. To this end, three methods are used. The methods are: semi-systematic literature review, qualitative content analysis, and semi-structured interviews. A triangulation of these methods over cybersecurity frameworks, expert knowledge and backup software provider reports establish essential insights. The main recommendations made are that organizations must ensure that they regularly must create redundant, airgapped, offline, and offsite backups that are stored in multiple storage media. Furthermore organizations must establish proper cyber hygiene practices in order to protect their backups. Lastly, organizations must ensure that they can test and maintain resilient backup & recovery policies through establishing responsibility and accountability of different stakeholders, streamlining their IT environments, and having a cybersecurity-enabling approach to organizational IT governance. The research is a rigorous and comprehensive overview of the backup & recovery topic against ransomware and is academically relevant as it fills research gaps on: how ransomware attacks target backups & recovery specifically, what the best practices offered by the most credible cybersecurity frameworks are, and why organizations still fail in setting up proper backup & recovery practices. The EPA relevance is characterized through navigating a branch of the grand challenge of cybersecurity, namely ransomware. This is a grand challenge as there are a plethora of stakeholders on an organizational level who have different opinions and views on the topic at hand where organizations are comprised of teams in different countries, subject to different regulations, etc. Therefore it is essential in this complex environment to see what could be made as policy recommendations for organizations of all levels against the treat of ransomware with respect to backup & recovery practices.","semi-structured interviews; semi-systematic literature review; Qualitative Content Analysis; Ransomware; Backup & recovery","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:84f8f259-c974-4101-a647-e255ac0260d7","http://resolver.tudelft.nl/uuid:84f8f259-c974-4101-a647-e255ac0260d7","Eigenfunction construction for the Koopman operator: Application of subspace methods and the construction of derived bilinear models","van Laarhoven, Menno (TU Delft Mechanical, Maritime and Materials Engineering)","Verhaegen, M.H.G. (mentor); Delft University of Technology (degree granting institution)","2023","br/>We expand on the framework by Korda and Mezic (2020) to construct eigenfunctions directly from data by exploiting the eigenfunction PDE, guaranteeing closure and eliminating the need for a prior data dictionary.
The constructed models are extended to forced systems through the multi-step prediction error of a linear state-space model.
By identifying a relationship between ESPRIT and DMD applied to Hankel matrices, we simplify the original optimisation problem and significantly reducing the required model order.
A detailed numerical investigation of both autonomous dynamics and forced dynamics follows. For autonomous dynamics we report a VAF up to 90 % for a toy model and the Van der Pol oscillator, whilst the original work is unable to reconstruct the underlying dynamics on longer time scales. We were unable to reproduce accurate multi-step predictions under the influence of forcing.
We extend the constructed models by inclusion of monomial terms into the dynamics. This can be interpreted as a linear model with nonlinear output, approximated by a polynomial. Results on the Koopman generator and the inclusion of monomial terms suggest the construction of a bilinear model. A multi-step prediction is formulated, simplified and solved, expanding the predictive capabilities of the model. Whilst the inclusion of monomial terms improved the prediction of autonomous dynamics, the bilinear models failed to converge for the Duffing oscillator and Van der Pol oscillator.
We perform a further study on the constructed eigenfunctions by designing a new neural network architecture, aimed at learning Koopman eigenfunctions. The network architecture accurately recovers the autonomous dynamics of the system. The learned eigenfunctions suggest that the constructed eigenfunctions can be severely limited by the choice of the initial condition set Γ, opening the door for future research.","Koopman Operator; Eigenfunctions; ESPRIT; DMD; System Identification; Data-driven Modelling; nonlinear identification","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:91dba535-34d6-4c48-9529-670024713933","http://resolver.tudelft.nl/uuid:91dba535-34d6-4c48-9529-670024713933","Total Harmonics Distortion (THD) Reduction Techniques in Class D Amplifiers","Huang, Shijie (TU Delft Electrical Engineering, Mathematics and Computer Science)","Verhoeven, C.J.M. (mentor); Montagne, A.J.M. (mentor); Serdijn, W.A. (graduation committee); Stelwagen, Frank (graduation committee); Visee, Richard (graduation committee); Delft University of Technology (degree granting institution)","2023","Class D amplifiers find widespread application in audio devices for driving load speakers, primarily due to their remarkable efficiency. Nonetheless, this enhanced efficiency often comes at the expense of reduced linearity. Hence, techniques for reducing Total Harmonic Distortion (THD) are important in the context of class D amplifiers.
The analysis of the distortion mechanisms is first presented. Specifically, emphasis is placed on the distortion generated within the power stage, encompassing aspects such as deadtime distortion and rising and falling time distortion. Both of them are found to be related to the input signal. Subsequently, the compensation technique is applied to the conventional class D amplifier to reproduce and cancel the error. The idea of the compensation approach involves modifying the amplitude of the triangular waveform based on the input signal. A 12 dB THD improvement is achieved in the concept verification section, which is conducted in LTspice.
The negative feedback serves as another technique to achieve THD reduction. A straightforward two-step design methodology is presented to avoid design iterations in the concept design phase. The phantom zero technique is applied when doing the frequency compensation of the feedback loop. The validation of the concept is performed through the use of SLICAP, while the circuit implementation and simulations are carried out within Cadence. Remarkably, this technique results in an impressive -111.8 dB THD reduction, achieved when the output power equals 1 W.","Class-D amplifiers; THD reduction; Compensation; Feedback","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:f23d0415-2428-4b5c-8205-cd56995d0b17","http://resolver.tudelft.nl/uuid:f23d0415-2428-4b5c-8205-cd56995d0b17","Maxplain – Value-based Evaluation of Explainable AI Techniques","Deb, Sreeparna (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yang, J. (mentor); Lippmann, P. (mentor); Murukannaiah, P.K. (graduation committee); Pera, M.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","A 2022 Harvard Business Review report critically examines the readiness of AI for real-world decision-making. The report cited several incidents, like an experimental healthcare chatbot suggesting a mock patient commit suicide in response to their distress or when a self-driving car experiment was called off after it resulted in the death of a pedestrian.
These incidents, leading to media frenzies and public outcries, underscore a pressing concern: ""How do these AI systems reach their conclusions?"" It has created an urgent demand for transparency and clarity in AI decision-making processes. This urge to understand has translated into a significant uptick in the volume of work in Explainable AI (XAI). This makes it crucial to have consistent evaluation standards for streamlined growth in the field.
However, XAI, being a multidisciplinary field, faces the challenge of a lack of consensus on what constitutes a ""good"" explanation. Stakeholders with diverse backgrounds and needs can have diverging expectations from XAI. Some might prioritize simple and concise explanations, while others prioritize detailed information about AI predictions, all depending on their end goal.
This thesis addresses the standardization of an evaluation framework for XAI methods, that accounts for stakeholders' needs in different usage contexts. It presents a prototype that can be customized and extended to suit various XAI methods and tasks. Findings affirm the framework’s ability to yield insightful comparisons between different XAI methods. It also highlights issues with human perception of specific XAI features in those methods. The efforts in this work contribute to XAI techniques being integrated into real-world applications, ensuring more reliable and consistent performance assessment.","Explainable AI; XAI; XAI Evaluation; Contextualized Evaluation; Artifical Intelligence","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:005e6353-778e-433e-87bb-e8d25ec95e0d","http://resolver.tudelft.nl/uuid:005e6353-778e-433e-87bb-e8d25ec95e0d","Insights into the Circular (re)Design of Medical Wearbale Sensors","Bult, Matthijs (TU Delft Industrial Design Engineering)","van Heur, R.J.H.G. (mentor); Hoveling, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","class=""MsoNormal"">This thesis presents recommendations based on a case study focused on thecircular redesign of a wearable, single use medical device. The study addressesa knowledge gap by offering insights into the circular (re)design for products.The proposed (re)design of the system leads to a substantial reduction of CO2emissions, with potential for further improvements.
Philips Healthdot
The Philips Healthdot, the subject to this thesis, is a medical wearablesensor designed to wirelessly capture bio measurements and transmit them tohospitals. Once used, the sensor becomes inactive and is discarded as waste.While similar reusable sensors exist, only two were identified during research.
Research
Literature research was conducted concerning the circular economy, its designstrategies and business models. A comprehensive analysis of the Healthdot’sproduct journey was performed, complemented by a fast-track Life Cycle Analysis(LCA). The LCA revealed the high CO2 impact of its electronics, highlightingthe importance of extending their usage. Based on the outcomes of theseanalyses, requirements and criteria were defined, which formed the foundationsof the proposed solution.
SecondSense
The proposed solution, SecondSense, consists of two components: SenseFlowand SenseCab (Figure FIXME & FIXME). SenseFlow describes the sensorlifecycle within the system, while SenseCab enables easy reprocessing. In theSenseFlow system, used sensors are collected, cleaned, and placed in theSenseCab for data removal, disinfection and charging.
Life Cycle Analsyis
A comparison between SecondSense and the original Healthdot was conductedusing an LCA (see Figure FIXME). SecondSense shows reductions in CO2 emissionsafter only three uses, with 45% and 60% reductions after five and ten uses. Theanalysis considered worst-case scenario, with a best-case scenario showing CO2reductions upwards of 80% after 10 uses.
Recommendations
The case study outcomes led to the following recommendations, intended as astarting point for designers and engineers developing circular solutions formedical wearable sensors:
1 Gain a solid understanding of the basic principles of the circulareconomy
2 Research circular design strategies and business models for the designchallenge
3 Determine what defines circular economy
4 First, determine how the system is going to be circular, then design theproduct so that it enables this system.
4a Take additional carewhen determining boundaries
4b Determine a detailed systemoutline
4c Analyse the system toformulate requirements
4d Integrate the classic designprocess into the circular system
5 Use fast-track LCAs for conceptual insights
6 Involvestakeholders in the design process.","circular economy; medisign; circular design; Wearables; Healthcare; Service design; reprocessing; sustainability","en","master thesis","","","","","","","","","","","","Integrated Product Design","DiCE project",""
"uuid:efd8f98d-72c1-4ff5-9e91-e9e6100ff094","http://resolver.tudelft.nl/uuid:efd8f98d-72c1-4ff5-9e91-e9e6100ff094","Feasibility of Bipolar DC Grids on Ships: An Insight into Quantitative Benefits","Abhyankar, Aashay (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft DC systems, Energy conversion & Storage)","Bauer, P. (mentor); Qin, Z. (mentor); Yadav, S. (mentor); Ziar, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Global average temperatures are setting new records compared to the previous decade, primarily due to the accumulation of greenhouse gases such as CO2. The growth potential in emerging economies worsens the current global situation. These CO2 emissions are needed to be curtained and efforts are underway. The emissions target set by the International Maritime Organization seems far-fetched given the forecast for the growth of the shipping sector. Although electrification has proven to reduce emissions, the energy efficiency metrics (EEDI, EEXI) are incapable of providing the quantitative benefits that can be realized by switching to a bipolar DC (BiDC) grid when compared with the AC grid.
This thesis aims to evaluate the feasibility of BiDC grids over the complete operating profile of the ship by comparing ten arrangements, five for AC and five for DC, with the Key Performance Indicators (KPIs). The arrangements are two diesel generators (AC1 & DC1), two diesel generators and battery (AC2 & DC2), two diesel generators, battery, and shore power (AC3 & DC3), one diesel generator and battery (AC4 & DC4), one diesel generator, battery, and shore power (AC5 & DC5). The comparison is made for key performance indicators like CO2 emissions, fuel consumption, electrical power requirement, propulsion power cable losses, capital costs, operating costs, propulsion system weight, and load carrying capacity of the ship. In this study, a ferry is chosen to compare the two grid architectures.
To establish the required background knowledge for the reader of this thesis, the literature on the current emission regulations, the different propulsion systems, and the differences in AC and DC grids are presented. The ship’s propulsion and auxiliary power demand are not freely available and are in the possession of the ship operator. Therefore, first, a preliminary methodology was developed for estimating the ship’s mechanical power requirements. Second, the ship’s electrical power requirements are estimated from the understanding of the literature. The cable power losses are also calculated for the AC and BiDC grid topology. Third, the diesel generator model was developed from the data points of a marine diesel generator for the AC and BiDC grid operation, i.e., the fixed speed and variable speed operation. Finally, an optimization problem was formulated for all the ten arrangements for minimising the CO2 emissions over the complete operational profile of the ship.
Overall, it was observed that the minimum emissions achieved by BiDC grids, when compared with AC grids for all the arrangements, the emissions from the former were lower. Furthermore, these results depend on the operating profile of the ship, the shore infrastructure, the diesel generator and battery configuration and their technical parameters. However, these findings demonstrate the possible potential of BiDC grids in emission reduction from the shipping sector.","Bipolar DC Grid; Optimization; Ship propulsion system; Emission reduction; Energy Management Strategy","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:691357a0-1cdd-4f46-a306-6e8b025d202c","http://resolver.tudelft.nl/uuid:691357a0-1cdd-4f46-a306-6e8b025d202c","Temperature effects and Performance optimization in Battery systems: Physics-based modelling of Lithium-iron-phosphate batteries","Ntagkras, Dimitris (TU Delft Mechanical, Maritime and Materials Engineering)","Hooman, K. (mentor); Vasileiadis, A. (mentor); Ombrini, P. (mentor); Delft University of Technology (degree granting institution)","2023","As the energy transition gains momentum, the development of effective energy storage technologies is crucial. Among these technologies, batteries are of utmost importance as they store chemical energy that can be converted into electrical energy. The operation of batteries is a complex process that involves the interplay of material technology, multiphysics transport phenomena, and mechanical effects. While experiments can reveal new and unexpected features of batteries in various conditions, simulation models offer a costand time-effective way to gain valuable insights across a wider range. However, the accuracy and fidelity of mathematical models are directly proportional to the complexity of describing all the relevant phenomena. To date, equivalent-circuit models have been the dominant framework for industrial applications due to their simplicity and low computational cost. However, these models treat batteries as black boxes, which limit users’ ability to interpret the results. In contrast, physics-based models that couple electrochemistry, conservation laws, and heat equations can produce high-fidelity models that capture the intricacies of battery operation. The Multiphase Porous Electrode theory (MPET) provides a useful framework for integrating these phenomena and enables users to modify parameters that can significantly impact simulation results. In this study, experiments in different operating temperatures were conducted and analysed, and based on these outcomes, the accuracy and validity of MPET was tested. The root mean squared error between the simulation and experimental results was smaller than 5% in all cases. The correlation between the high temperature (50 ◦C) discharge curve and the ambient temperature discharge curve showed the high dependence of temperature to the state of charge of the battery which was confirmed by the experiments. Furthermore, a possible degradation mechanism could have an impact in the final results. The main research outcomes were the exponential relation between the temperature and the rate constant and between the particles conductivity and the temperature. Using these two relations, the model could reproduce the same trend and equal maximum capacity with the experiments. This shows the flexibility of the model in completely different operating conditions. After the validation, the active particle population model can be used to understand the coccurent or particle by particle intercalation and gives indentifications of hotspot in a battery. The final part was a sensitivity analysis about capacity optimization taking into account not only different C-rates but also different temperatures. Because the whole study was in an experimental coin cell, a relation to bigger battery systems should be built in the same manner using this software so as to facilitate the development of more effective energy storage technologies. Keywords: Li-ion batteries, phase separation materials, temperature dependency, parameter estimation, optimization, machine learning, physics-based models.","Li-Ion Batteries; Temperature dependence; Parameter Estimation; optimization","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:fae0f59e-7c40-4d6b-b8bd-ca683c51e49d","http://resolver.tudelft.nl/uuid:fae0f59e-7c40-4d6b-b8bd-ca683c51e49d","A Wearable Ultrasonic Vagus Nerve Stimulator: Design and Fabrication of Piezoelectric Transducer Prototypes","xue, chunyan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lopes Marta da Costa, T.M. (mentor); Arroyo Cardoso, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","Vagus nerve stimulation serves as an approach to manage drug-resistant epilepsy. Focused ultrasound stands out as a unique tool, offering a non-invasive modality for VNS. With its excellent depth of penetration and sub-millimeter focusing capabilities in soft tissue, ultrasound allows for precise targeting of the vagus nerve without the need for surgical intervention. This technology relies on piezoelectric transducers that convert electrical energy into ultrasonic waves. However, the high costs and technical difficulties associated with the production of these arrays have led to limited commercialization of piezoelectric transducer arrays.
This thesis investigates the design, fabrication, and optimization of a small, low-cost phased-array piezoelectric ultrasonic transducer. The transducer is specially designed for non-invasive UVNS applications in the form of a small patch for the neck. The research is dedicated to the fabrication of the transducer array, designing a transducer capable of both transmitter (TX) and receiver (RX) functions, which in the future can be used for simultaneous image-guided neural regulation. Two prototypes were fabricated: one is a 256-element 2MHz rectangular transducer array integrated into an 8-layer stacked rigid printed circuit board (PCB), and the other is a dual-frequency array integrated into a 4-layer flexible PCB substrate, explicitly designed for simultaneous imaging and neural modulation.
The study utilized two key materials, the well-known PZT and the lesser-known but promising <001> oriented PMN-0.3PT piezoelectric single crystal. Through extensive optimization, air-filled PZT transducer arrays were realized, and the cutting parameters for PMN-PT were significantly improved. A unique aspect of the fabrication was the use of flip-chip technology to directly integrate the air-filled 2D array onto the PCB, a method seldom detailed in existing research.
While exhaustive testing on phase-delayed beam-forming and imaging capabilities could not be conducted due to equipment limitations, preliminary evaluations of the capacity to generate plane waves were made. The properties of the bulk PZT and PMN-PT transducers were characterized. It was observed that the PMN-PT transducer generated significantly lower ultrasonic pressure compared to the PZT transducer, which aligns with expectations due to the fragile nature of PMN-PT. The first prototype of the PZT array transducer was successfully fabricated and assessed, achieving a pressure of 176 kPa at its 10 mm natural focal point. Subsequently, the internal array second of the prototype was fabricated and evaluated, registering a pressure of 1.14 MPa at the 1.2 mm natural focus point.
The results demonstrate the feasibility of building complex piezoelectric arrays using optimized fabrication methods. This lays the foundation for future prototypes to test beam-forming capabilities and for fabrication of the complete equipment afterwards.","Ultrasound Piezoelectric Transducer; Vagus Nerve Stimulation; Image guided neuromodulation; Fabrication; PZT; PMN-PT","en","master thesis","","","","","","","","2025-08-31","","","","Electrical Engineering","",""
"uuid:8c448d21-0f55-4a92-8d9e-36a85f16315f","http://resolver.tudelft.nl/uuid:8c448d21-0f55-4a92-8d9e-36a85f16315f","Multiphysics Modeling of Electrochemical Conversion of Potassium Bicarbonate in Porous Electrode Flow Cell","Ansarul Huq, Fathaah (TU Delft Mechanical, Maritime and Materials Engineering)","Delft University of Technology (degree granting institution)","2023","Climate change is a pressing global crisis with far-reaching consequences, demanding the rapid advancement of clean energy technologies and effective carbon capture methods to mitigate Carbon Dioxide (CO2) emissions. Carbon capture technologies offer a crucial pathway for capturing, storing, and reusing CO2, thus contributing to developing a circular carbon economy with net-zero emissions. Integrating carbon capture with electrochemical conversion is a promising and innovative solution for achieving large-scale carbon capture.
Utilizing concentrated Potassium Hydroxide (KOH) solution for carbon capture to produce Potassium Bicarbonate (KHCO3) represents a favorable approach for integrated capture and conversion. This study focuses on the electrochemical conversion of bicarbonate solution obtained from the capture column to valuable products. The aim is to use a continuum 1-D model to study the influence of flow cell design and operating parameters on bicarbonate electrolysis used for Electrochemical CO2 Reduction (CO2R). A Silver (Ag) porous electrode is defined as the catalyst to produce Carbon Monoxide (CO) and Hydrogen (H2) at the outlet. The outlet gas concentration is critical for chemical downstream processes, such as synthetic fuel production. The thesis begins with a review of the literature in the field of Carbon Capture, Utilization and Storage (CCUS) and CO2R to understand recent advances in research and the areas of future work. Inlet flow velocity to the catalyst, membrane-catalyst gap, and temperature were among the critical system variables that were varied to observe the response from the model.
The results shed light on the intricate interplay between the operating parameters and the efficiency of CO2 conversion to CO. Contrary to experimental observations, increasing inlet flow velocity yields a lower CO product output. Possible explanations for this disparity are attributed to the reduction in residence time resulting from higher inlet velocities, the utilization of reaction rates derived from fitting experimental data, and constraints inherent in modeling flow through 1-D configurations. Operating temperature and CO gas concentration show a positive correlation in this work, in agreement with previous experimental studies. The model was modified to capture all temperature-related phenomena, which outlined the importance of model definitions. Finally, the membrane-electrode gap was varied to understand how separating the acidic and basic regions affects the CO product concentrations. The configurations with a gap presented lower overall CO2 Reduction Reaction (CO2RR) toward CO. The results are interpreted with likely factors that reduce the performance.
This thesis aims to simplify replicating previous CO2R continuum models by offering detailed modeling instructions and parameter list. It was discovered that 1-D models pose limitations in defining the boundary conditions for fluid flow and including convective mass transfer in the transport equations. Additionally, the requirement of various constants as input parameters for solving the governing equations creates dependencies on experimental results.
The concluding sections of the thesis provide an overview of the findings in this study and offer a concise examination of the significant research domains in bicarbonate electrolysis. These areas could establish integrated capture and conversion technology as a feasible solution to close the carbon cycle and foster a sustainable future for future generations.","Electrochemical CO2 reduction; Continuum model; Bicarbonate Electrolysis; Porous electrode flow cell; Bipolar membrane/BPM","en","master thesis","","","","","","","","2025-08-31","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:3c4402b1-bfab-4112-a215-925487cb3a3a","http://resolver.tudelft.nl/uuid:3c4402b1-bfab-4112-a215-925487cb3a3a","Improving passenger waste separation behaviour through design at Schiphol Airport: Enabling and motivating passengers of Schiphol Airport to dispose of passenger solid waste correctly to support Schiphol’s goal to become zero waste","den Ouden, Nika (TU Delft Industrial Design Engineering)","Mugge, R. (mentor); Persaud, S.M. (graduation committee); Tschavgova, E.T. (graduation committee); Delft University of Technology (degree granting institution)","2023","This graduation project focuses on the problem of solid waste, specifically passenger waste at Schiphol Airport. The project aimed to enhance passenger separation behaviour to reduce the amount of residual waste that would be incinerated.
The project began by exploring the FF3 bin's context, including its attributes, pilot tests, waste mapping, and user ecosystem. Design conclusions were drawn to align bin elements with Dutch recycling standards, enhance signage visibility, accommodate waste types, prioritize separation, and consider user perspectives. Insights were gained through stakeholder interviews, observations, and engagements with relevant organizations like airports and bin manufacturers. Design adjustments included organic waste separation, cultural diversity accommodation, and communication enhancement. Behavioural analysis using the FOGG model led to strategies for improved separation ability and motivation, accounting for cultural differences. These conclusions formed the problem statement, which could be translated into a roadmap. The roadmap guides actions to enhance waste separation ability and motivation. The iterative design process utilized this foundation, leading to the 'Time To Waste' proposal integrating an FF3 bin skin, campaign, and projectors. This project offered Schiphol insights into how to tackle its zero waste and circular goals, along with a strategic plan for others to achieve similar objectives.","Waste Management; Sustainability; Waste Separation; Behaviour Change; Schiphol Airport","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:a421bf0d-9fa4-4ef8-b60e-fcb2e72d3040","http://resolver.tudelft.nl/uuid:a421bf0d-9fa4-4ef8-b60e-fcb2e72d3040","Modeling the Natural Decay of Delight in Product Attributes and its Impact on Customer Satisfaction","Kalia, Vaibhav (TU Delft Technology, Policy and Management)","Smit, A.C. (mentor); Hartmann, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis explores the dynamics of customer satisfaction and its relationship with product attributes while modeling the natural decay of delight associated with these attributes. The research analyzes online reviews of Philips Airfryers to provide valuable insights for businesses aiming to enhance customer satisfaction and remain competitive in a dynamic marketplace.
The study offers several insights into the concept of the natural decay of delight, which refers to the diminishing satisfaction experienced by customers over time. It reveals that the availability of alternative products influences this decay, indicating that as more alternatives become available, the initial delight associated with a product tends to diminish. This understanding underscores the importance of managing customer satisfaction throughout the product life cycle.
The thesis delves into the impact of product attributes like capacity, repurchase intention, and value for money on customer satisfaction \footnote{Keywords: Capacity, Value for Money, Repurchase Intention, Total Alternatives, Airfryer, Customer Satisfaction, and Natural Decay of Delight.}. It identifies these attributes as significant factors affecting customer satisfaction levels. Capacity, when aligned with customer expectations, enhances satisfaction. A positive repurchase intention fosters greater satisfaction and brand loyalty. Moreover, customers perceiving good value for money report higher satisfaction. The study also explores the moderating role of external variables, such as the number of alternatives, in shaping these relationships, providing valuable insights into customer preferences and behavior.
Moreover, the research incorporates external factors, including the impact of the Covid-19 pandemic, sales channels, and regional variations. These external influences are crucial in shaping customer satisfaction and require consideration in product management and marketing strategies.
The practical implications of the findings are significant for businesses. They emphasize the importance of understanding the natural decay of delight and managing product attributes to optimize customer satisfaction. By strategically considering moderating variables, companies can enhance their investments and overall customer satisfaction. Additionally, the study highlights the significance of adapting to changing market dynamics by incorporating external factors in product strategies.
In conclusion, this thesis provides a comprehensive analysis of customer satisfaction dynamics, product attributes, and external influences. The insights gained can guide businesses in developing effective strategies to manage customer satisfaction, adapt to market changes, and maintain a competitive edge. By understanding and addressing customer preferences and factors influencing satisfaction, businesses can optimize their efforts and drive success in today's fiercely competitive marketplace. Businesses should prioritize actively managing product attributes, continuously seeking customer feedback, and proactively adapting to evolving customer demands with the support of their data analytics teams. These actions aim to enhance overall customer satisfaction and foster loyalty.","CS - Customer Satisfaction; D2C - Direct-to-Customer; B2C - Business- to- Consumer; KANO - The KANO Model; KPI -Key Performance Indicator; WoM - Word of Mouth; RI - Repurchase Intentio; CL - Customer Loyalty; VoM - value for Money; UID - Unique Identification; SKU - Stock Keeping Unit; SA - Sentiment Analysis; Text Mining","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:5453c9dd-6a9b-4443-a4cf-c6b9db2f4c10","http://resolver.tudelft.nl/uuid:5453c9dd-6a9b-4443-a4cf-c6b9db2f4c10","End-to-End Chess Recognition","Masouris, Thanos (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gemert, J.C. (mentor); Verwer, S.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Chess recognition refers to the task of identifying the chess pieces configuration from a chessboard image. Contrary to the predominant approach that aims to solve this task through the pipeline of chessboard detection, square localization, and piece classification, we rely on the power of deep learning models and introduce two novel methodologies to circumvent this pipeline and directly predict the chessboard configuration from the entire image. In doing so, we avoid the inherent error accumulation of the sequential approaches and the need for intermediate annotations.
Furthermore, we introduce a new dataset, Chess Recognition Dataset (ChessReD), specifically designed for chess recognition that consists of 10,800 images and their corresponding annotations. In contrast to existing synthetic datasets with limited angles, this dataset comprises a diverse collection of real images of chess formations captured from various angles using smartphone cameras; a sensor choice made to ensure real-world applicability. We use this dataset to both train our model and evaluate and compare its performance to that of the current state-of-the-art. Our approach in chess recognition on this new benchmark dataset outperforms related approaches, achieving a board recognition accuracy of 15.26% (≈7x better than the current state-of-the-art).","Chess recognition; Chess dataset; Computer vision; Deep learning","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:9f13f01b-68e9-4fb3-be4c-9460026a1e8a","http://resolver.tudelft.nl/uuid:9f13f01b-68e9-4fb3-be4c-9460026a1e8a","Battery Containers and Charging Stations Optimization and Scheduling for a Single-Port Electrified Inland Shipping System","van Eig, Stijn (TU Delft Electrical Engineering, Mathematics and Computer Science)","Janssen, T.M.L. (mentor); Kuijsten, W. (mentor); van den Hoogenband, T. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis introduces a model designed to provide port operators with insights into necessary infrastructure and adequate scheduling approaches when ships use electricity as their main power source. The operator manages a shipping port equipped with charging stations and provides a selection of electricity-powered freight cargo ships with container batteries. The model is designed for an arbitrary single-port waterway system. The focus is directed toward inland waterway systems, a choice influenced by the limited capacity of container batteries. Optional external revenue streams in the form of grid balancing and two core uncertainties of the maritime sector are incorporated into the model, namely energy consumption and arrival time uncertainty.
Optimization approaches are formulated on the model to find the optimal number of batteries, charging stations, and grid balancing stints. The following six approaches are employed: approximation algorithm, MIP formulation, rolling horizon, probabilistic constraint, extreme value analysis, and value at risk. The strategies all produce a schedule that guides the operator in managing the infrastructure optimally in a different context. The approaches are tested on a simulated waterway system. The approximation algorithm is a great first step. The MIP formulation provides a valuable next step in insight into the complexities of the system. However, it scales too poorly to extend to bigger data cases or to integrate uncertainties.
In scenarios involving uncertainty, the rolling horizon approach is recommended due to its adaptability and realistic modeling. Valuable insights about the limits of the system can be obtained by implementing values at risk and extreme value analyses. The probabilistic constraint approach is a suitable alternative if normally distributed uncertainty is inherent to the uncertainty data.
The model with these optimization methods provides port operators with essential insights into the system they are managing.
In this thesis, I cover the design, implementation, and evaluation of an innovative low-power gaming research platform, in the shape of a portable gaming console. The console’s uniqueness is derived from its key characteristics: an interactive nature, a lack of traditional batteries, and a robust system for handling intermittent power. The term “interactive” has been redefined in the context of a battery-free console, creating a dynamic user-console relationship that allows real-time responses to diverse user inputs and environmental factors. For example, one of the energy harvesting methods of the console, a crank, can also behave as an input to the system, allowing the mapping of in-game actions to crank movements.
The architecture of the gaming console, both in hardware and software aspects, is designed from the ground up to support key characteristics such as ease of use, future expandability, and app portability. Due to the intermittent nature of the console, the software implementation has been designed around a seamless checkpointing system to handle power interruptions gracefully, where, from the point of view of the user, the game is resumed after a power failure without any apparent loss of progress.
Finally, in order to facilitate user experiments and future work, the console also features an efficient logging system to capture user interaction and system performance data during experiments, alongside an easy-to-use interface that facilitates porting of existing software to this platform.","battery-free; Checkpointing; Low power; console","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:eeb5c1ff-95cc-43c4-b300-27e3fb328187","http://resolver.tudelft.nl/uuid:eeb5c1ff-95cc-43c4-b300-27e3fb328187","Investigating the Chemical Nature of Cathode Coatings under Electrochemical Cycling","Ashokkumar, Kartik (TU Delft Electrical Engineering, Mathematics and Computer Science)","Wagemaker, M. (mentor); Ganapathy, S. (graduation committee); Brück, E.H. (graduation committee); Al-Kutubi, H.A.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The advancements in the field of e-mobility today far outpace all prior projections, and the rate of progress is quick. Due to their high power density and energy density, Lithium-Ion Batteries (LIBs) have grown to be an increasingly appealing alternative for use in electric vehicles. However, over extended use, these batteries frequently experience problems with capacity loss. Additionally, the battery’s current collectors are challenging to scrape off from the cathode, which results in erroneous measurement results under spectroscopic observation. Furthermore, current collectors have a propensity to corrode with repeated use, which reduces the battery’s power output.
In this study, the cathodes are manufactured without a current collector, i.e. a Free-Standing (FS) cathode, to prevent the issues brought on by the current collector. To assess how well these cathodes function in comparison to cathodes with an aluminium current collector, they are cycled both for long term and at different charging rates. In this investigation, the cathode materials examined include NMC 532, NMC 811, and LCO. The cycling behaviour of the FS cathodes was found to be quite comparable to that of the cathodes on current collectors. Using Electrochemical Impedance Spectroscopy (EIS), X-Ray Diffraction (XRD), and X-Ray Photon Spectroscopy (XPS), their cycling behaviour was further assessed in order to ascertain the chemical changes that occurred while cycling. The findings showed that an unstable cathode-electrolyte interface layer caused the cathodes to develop cracks on their surface during long-term cycling. Consequently, the electrolyte started to decompose, depositing impurities on the cathode surfaces. This behaviour produced a high impedance and prevented charge transfer over the cathode surface, leading to quick capacity fading and a subpar electrochemical performance.
To address the issue of capacity loss, the cathodes under investigation are coated with Al2O3 using Atomic Layer Deposition (ALD). Investigation of these cathodes after cycling revealed that the electrolyte decomposition had been greatly decreased, resulting in a virtually impurity-free surface. Additionally, it was discovered that the thicker the ALD coated layer is, the lower its cycle performance is likely to be, due to the increased charge transfer resistance caused by the thick layer. As a result, it is suggested to keep the coating as thin as possible to gain superior performances. The chemical differences between the coated and uncoated cathodes in this work were examined through EIS, Scanning Electron Microscopy coupled with Energy Dispersive X-Ray Spectroscopy (SEM-EDS), XRD, XPS and Nuclear Magnetic Resonance Spectroscopy (NMR). In order to examine the chemistry of the coating layer more effectively, it is recommended to carry out NMR measurements at high magnetic fields. Overall, this thesis effectively illustrated the benefits of coating the cathodes with Al2O3. Additionally, it offered a fascinating route for FS electrode-specific research.
In this research, the feasibility of combing PEO, Mg-alginate and MgCl2 to design a solid polymer electrolyte (SPE) for Mg-ion batteries has been assessed. The SPE membrane shows a conductivity of ~10-5 S·cm-1 at 60 °C and an increased value up to ~10-4 S·cm-1 at 80 °C and above. The XRD results have suggested there is no real interaction in between the two polymers which can cause reconstruction of the polymer structure. Moreover, this electrolyte material is highlighted with an excellent cycling stability of up to 150 hours. At last, a possible model which could explain the Arrhenius behavior of temperature-dependent ion conduction is proposed for this SPE material. Overall, this research demonstrates the potential application of Mg-alginate for Mg energy storage in terms of developing a polymer electrolyte, despite further modification in the future is needed.
An extensive market analysis for services comparable to Kollekt (and their vision for the new proposition) reveals a dense competition space with several well-established players with a global presence, indicating that providing a comparable service and competing on standard business metrics (like cost) may prove to be futile. (Kim & Mauborgne, 2005). On exploring Kollekt's client stories, an enticing new opportunity is revealed: employee involvement and well-being. Employees in businesses are a key stakeholder in its music ecosystem, yet all the services explored in the market research give sole control (of music curation, scheduling, and playback) to the business owners or managers, effectively sidelining the staff in the journey causing unhappiness and possible conflict between stakeholders. Contextual Inquiry done in small businesses in the Netherlands also reveals interesting insights. Small business employees have power, autonomy, and often are in-charge of the music (often with restrictions placed by the managers). However, there are no services that enable them to do so well, and they find themselves relying on personal use streaming services like Spotify. This is not ideal as these services are illegal to use in businesses, and not designed for commercial use.
TRUNE, an end-to-end SaaS background music services for businesses, aims to fill this gap and create a more inclusive business music ecosystem by recognising staff as a key stakeholder. It also aims to make background music accessible to more businesses by expanding Kollekt's roster of value propositions. The essence of the concept lies in leveraging businesses' human capital (instead of expert curators in Kollekt's current service) to create a unique background music identity. This empowers the employees and creates a better working environment for them, which in turn positively impacts the customer experience and business performance. Different aspects of the solution are also tested and validated, resulting in directions for further iterations.
The thesis ends with discussing the relevance of this concept within the context of Kollekt and its strategic fit with the company, and gives recommendations for its implementation. In conclusion, employee involvement in the background music (via the solution offered or other directions) has high potential in furthering the commercial background music industry and can simultaneously provide Kollekt with a blue ocean to grow to new heights. Scope for further research and development is also discussed, both within the company and externally.
The aim of this thesis was threefold. Firstly, to provide an overview of the current distribution of ES in the city; secondly, to test for a potential correlation between ES availability and socio-economic opportunity; thirdly, to test for differences in ES availability between an area where the GSI had been implemented, and areas without the GSI. Specifically, the ES of stormwater retention, annual water retention, heat mitigation, and nature access were assessed.
For quantifying ES, the Urban InVEST models from the Natural Capital Project were used. Results from the models were combined to form a heatmap of ES. A correlation between socio-economic opportunity and the availability of ES was assessed with a Spearman correlation test on the neighbourhood deprivation index (NDI) and the ES. The NDI measures income, education, population receiving social benefits, and employment. Differences between the areas with and without GSI were tested for with t-tests. All statistical analyses were conducted in RStudio.
The analysis revealed that the ES in Stockholm are available less in the city centre and increase towards the outskirts. Economic opportunity in a district is negatively correlated with stormwater retention, annual water retention, and nature access – the higher the socio-economic opportunity, the less of these services is available.
Where the GSI had been implemented was significantly different from all the areas it was compared to. Due to different types of land use both water retention services are lower in the GSI area compared with the situation prior to redevelopment, the residential area, and one of the three other areas that had been redeveloped without the GSI. For heat mitigation, the GSI area performed worse than two of the redeveloped areas.
While the outskirts seem to be better provided with ES, this does not mean that the demands are met everywhere. Large parts of the construction in the coming decades are planned to take place in these less well-off areas, potentially threatening the natural elements providing ES and affecting populations that are less well equipped to make up for the loss of ES. The GSI can be a powerful tool to combat this. However, the research uncovered some shortcomings that should be addressed: striving for a high GSI score is not sufficient, measures with which the GSI is achieved must be suitable for the needs of the location. A process focussed on uncovering these needs first, or splitting up the GSI into several assessment categories, could aide in this.
Future research should continue assessing the GSI, either in a scenario analysis, or by monitoring. With these findings, the present thesis hopefully contributes to an improved understanding of ES in Stockholm.","ecosystem services; stockholm; green space index; socio-economic opportunity; neighbourhood deprivation; stormwater retention; water retention; heat mitigation; nature access; urban","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:434f13a9-ee4a-4417-ba36-87b17b1f76df","http://resolver.tudelft.nl/uuid:434f13a9-ee4a-4417-ba36-87b17b1f76df","A possible solution to the shared mobility problem: An exploration of a shared bicycle system for different user groups","Bruggink, Maude (TU Delft Technology, Policy and Management)","Scholten, L. (graduation committee); Annema, J.A. (mentor); de Bok, Mirjam (graduation committee); Delft University of Technology (degree granting institution)","2023","The number of cars is increasing in the Netherlands, while the need for space and environmental regulations is increasing as well. The need for new mobility solutions is high. A shared bicycle system could be an indirect solution to these problems, by strengthening the chain journey and getting more people out of their cars. This research uses a mixed-method approach to find the user groups and the circumstances when people would switch to a shared bicycle system. The method uses the panel data of Mobycon, interviews, and a focus group. The results show that familiarity, knowledge, and design of the system play a role in the openness to use a shared bicycle system, but that it is also dependent on the specific group and their circumstances. Another result is that a high number of participants were not open to using a shared bicycle system at all. Different recommendations are given for research, policy, and for Mobycon.","Shared mobility; Shared bicycle system; the Netherlands; UTAUT2; travel behaviour","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:f5acf471-69e6-4299-9d6e-2794b1dd06ea","http://resolver.tudelft.nl/uuid:f5acf471-69e6-4299-9d6e-2794b1dd06ea","Modelling of Laser Powder Bed Fusion processes in non-convex geometries with a semi-analytical approach","Arrieta Rodriguez, Ana (TU Delft Mechanical, Maritime and Materials Engineering)","Ayas, C. (mentor); Delft University of Technology (degree granting institution)","2023","Laser Powder Bed Fusion (LPBF) is a metal additive manufacturing process in which a three-dimensional object is obtained by selectively melting and fusing a metallic powder with a heat source, such as a laser beam, in successive thin layers. This process allows to create lightweight parts with complex geometry. However, parts created by LPBF processes may present poor surface quality and can be prone to high residual stresses and deformations that arise during manufacturing. To be able to understand the process and investigate the relation between the thermal history of the part and the deformations that arise, researchers have proposed different thermal models in literature. Most of these models are purely numerical methods in which the temperature history is predicted by implementing finite element and finite difference schemes. However, a finer discretization is required to capture the steep temperature gradients that arise at the vicinity of the laser spot, which results in computational expensive models.
In the Precision and Microsystems Engineering (PME) Department at TU Delft, a semi-analytical approach was proposed to study the thermal history of LPBF processes. This method combines an analytical solution that captures the high spatial gradients of the laser beam and a numerical solution that corrects the analytical solution and enforces the boundary conditions. When the laser beam is near the boundary, the analytical solution is corrected first with the method of images, which dramatically reduces the computational cost when obtaining the solution. However, this approach is only valid for convex polyhedrons. If the domain is non-convex, the method of images cannot be applied. Therefore, the high spatial gradients have to be dealt with finer discretization, and the numerical correction's computational cost becomes prohibitive.
In this thesis, a thermal model is developed for LPBF processes using the semi-analytical approach with a modification in the method of images for concave polyhedrons. In this case, a correction to the method of images, denoted as anisotropic mirror sources, is introduced. This method allows to apply image sources to concave geometries. With this modification, the numerical correction in the semi-analytical method can be implemented with a coarse discretization with high computational efficiency.
Predictions of the proposed thermal model are compared to the predictions of a semi-analytical method without using the method of images. This comparison allows us to validate the proposed thermal model's computational efficiency as it can obtain the thermal history of concave polyhedrons in a computationally inexpensive manner. More importantly, the thermal model proposed demonstrates the possibility of using the method of images in concave polyhedrons.","Additive Manufacturing; Laser Powder Bed Fusion; Thermal modelling; Semi-analytical model; Superposition principle; Method of Images; Non-convex geometries","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:f0696df0-7c50-43eb-842a-a6d96aa674ea","http://resolver.tudelft.nl/uuid:f0696df0-7c50-43eb-842a-a6d96aa674ea","Identifying Linear Parameter- Varying State Space Models: Estimating System Dynamics and Scheduling Variables From State Sequences and Input-Output Measurements","Lende, Knut Roar (TU Delft Mechanical, Maritime and Materials Engineering)","Verhaegen, M.H.G. (mentor); Noom, J. (mentor); Van de Plas, Raf (graduation committee); Ferrari, Riccardo M.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Linear Parameter-Varying (LPV) systems can be used as a bridge to extend the well studied model based control methods of Linear Time-Invariant systems to certain nonlinear systems. Despite significant attention in literature over the last two decades, finding an efficient global state space identification algorithm remains an open problem. Furthermore, a common assumption has been that the scheduling signals governing the time-varying dynamics are known or measured exactly. These drawbacks are found to inhibit the number of use cases for model based LPV control. This thesis explores new ways of identifying LPV systems for more general nonlinear systems with limited information on the optimal scheduling variable and scheduling dimension.
To this end, two novel rank constrained least squares problems are presented to identify system matrices and scheduling signals from input and full state measurements, and a dictionary of possible scheduling signals. The use of the methods is demonstrated in a simulation experiment, and the results are compared to existing full state measurement methods.
At last, the full state measurement methods are coupled with a state sequence estimation method from literature in order to obtain a method for identification of quasi-LPV systems from Input-Output measurements. Here ""quasi"" indicates that the scheduling signal is dependent on the system state and input. The scheme is flexible, and a proof of concept is given on a small nonlinear system.","LPV Systems; System identification; Full State Measurement; Input-Output Data; State Space Model","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:4f27400a-70fb-40cd-b70b-fe06e6996b77","http://resolver.tudelft.nl/uuid:4f27400a-70fb-40cd-b70b-fe06e6996b77","From Bio-Oil to Gasoline: a Novel Integrated Process for Sustainable Fuel Production: A techno-economic assessment of a Power and Biomass to Liquid plant, integrating electrolysis, bio-oil gasification, direct DME synthesis, and DME to gasoline","Beragnoli, Matteo (TU Delft Mechanical, Maritime and Materials Engineering)","de Jong, W. (mentor); Cutz, L. (graduation committee); Ramdin, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The intensification of human and industrial activities since the Industrial Revolution has led to a significant increase in global greenhouse gas emissions, posing a threat to life on our planet. As the transportation sector contributes to 23% of global CO2 emissions, it is imperative to reduce its carbon footprint. Developing a worldwide sustainable biofuel production chain is crucial for this purpose. The Biomass4transport project, a collaboration between TU Delft and the Biomass Technology Group, aims to achieve this by focusing on the production of second-generation biofuels in the Dutch context.
In this context, a techno-economic analysis of a Power and Biomass to Liquid (PBtL) plant that incorporates water electrolysis, pyrolysis oil gasification, and synthesis gas upgrading for gasoline production is presented.
The PBtL plant processes 5000 kg/h of pine wood-derived pyrolysis oil, which undergoes gasification in an oxygen-blown entrained flow gasifier. Subsequent purification steps include cyclones, filters, for the removal of particulate matter and solid ZnO sorbents for the removal of H2S. A solid oxide electrolysis cell produces hydrogen and oxygen streams; the former adjusts the H2:COx ratio before syngas upgrading, while the latter serves as an oxidizing agent in the gasifier. The synthesis gas is converted to dimethyl ether (DME) in a one-step direct conversion membrane reactor, enabling in-situ water removal and enhanced conversion performance. In a subsequent reactor, DME is upgraded to a hydrocarbon mixture, which is further processed to obtain gasoline and LPG.
The process has been modelled by integrating Aspen Plus, Matlab - where an isothermal plug flow membrane reactor model has been coded - and Excel. Material recycling and heat integration strategies have been employed to enhance the plant’s performance in terms of product yield and energy efficiency.
Finally, an economic analysis entailing the calculation of the Net Present Value (NPV) of the plant has been conducted, to assess the conditions under which the plant becomes profitable.
The process has an energy efficiency of 51.8% but could potentially rise to 61.8% with an optimized strategy for hydrogen extraction from the sweep gas of the DME membrane reactor. Due to the absence of CO2 extraction along the process, the carbon efficiency of the process is 95.7%. Both values are higher than the ones of PBtL processes based on hydrogen-enhanced methanol-to-gasoline processes found in literature.
Additionally, the economic analysis showed that the plant is not profitable in the current market conditions. However, with a decline in the price of electricity and/or a reduction in the taxation rate for gasoline, the plant could become profitable, as shown by the sensitivity analysis on the NPV.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:1fa6462d-3749-4019-952b-4accb7b210ae","http://resolver.tudelft.nl/uuid:1fa6462d-3749-4019-952b-4accb7b210ae","The Influence of Porosity on the Dynamic Characteristics of Porous Air Bearings","Burghoorn, Rick (TU Delft Mechanical, Maritime and Materials Engineering)","van Ostayen, R.A.J. (mentor); Nijssen, Joep (graduation committee); van der Sanden, Jack (graduation committee); Delft University of Technology (degree granting institution)","2023","Air bearings are a vital component to high tech machines and have been in widespread use since the 1960s. They provide near frictionless motion, have high stiffness and a near infinite lifetime. When looking at existing literature the influence of the porosity on the dynamic characteristics has not been researched in depth. Especially when looking at porous air bearings with a surface restrictive layer, a discrepancy can be defined between the ideal surface restrictive layers considered in literature and layers that can be realized in reality. This report presents a model to accurately predict the dynamic characteristics of both bearings with and without a surface restrictive layer. An alternative intuitive
approach is presented to explain the dynamic behaviour at low frequencies for these types of bearing based on the amount of stored air inside and underneath the bearing. This model is then used to investigate the influence of the porosity on the dynamic characteristics of a uncoated bearing. Followed by a study on the mechanics behind the surface restrictive layers and the sensitivity of the porosity of the surface restrictive layer. An existing dataset from an experiment is used to asses the viability of the model.
This thesis presents a new phase noise filter, which can filter both the DLL and PI phase noise. The proposed phase noise filter is inspired by the noise transfer function from the phase detector’s input to the delay locked loop(DLL) output of a type-II DLL, which shows a first-order low-pass transfer function. The noise suppression pole frequency is adjustable and can be modified by changing the
gain of each component in the circuit. In addition, by carefully placing the frequency of the LDO’s pole, second-order noise filtering can be realized.
During design, a 10-bit DTC is constructed first and the proposed filter is placed behind the DTC to verify the effectiveness of the filter. The design achieves the post-layout level. The simulation results show that the DTC’s phase noise drops from 1.099 psrms to 315.9 fsrms with the filter. The area is 695 μm × 693.5 μm. The design consumes 42.3 mW with 1.8V supply in 180nm BCD technology.","DTC; Phase noise filter; DLL; PI","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:e14aad2b-0187-4cc6-a6b7-b52df4657a8e","http://resolver.tudelft.nl/uuid:e14aad2b-0187-4cc6-a6b7-b52df4657a8e","Radar-based heartbeat estimation for indoor healthcare applications","Chen, Huixuan","Fioranelli, F. (mentor); Hunyadi, Borbala (graduation committee); Delft University of Technology (degree granting institution)","2023","With the aging population, the demand for healthcare and related services is increasing and, for this reason, technologies for remote patient monitoring are developing, aiming at indoor scenarios. Remote patient monitoring can help capture the clinical data of patients at home, which can save time and money, specifically reducing the need for hospitalization by potentially detecting health-related issues before they become too serious.
The non-contact radar-based technology can be applied in the remote patient monitoring system for detecting vital signs. Radars are suitable for applications at home because they are non-invasive, robust in changing lighting and temperature, and suitable for patients with skin irritation.
Heartbeat and respiration are critical clinical data for the diagnosis of the disease. The study of respiration frequency estimation was explored by previous work, such as the MSc thesis in \cite{Maxthesis}. Building on that work, this project proposes a pipeline to measure the heartbeat frequency and cancel the random body movement. The impact of different orientations is also studied. The phase history difference of the chest displacement due to vital signs is extracted, and the wavelet transform is used to separate heartbeat and respiration signals. Different methods are tested to calculate the heartbeat frequency in the time and frequency domain. The RBM is detected by the energy threshold of the phase difference, and the intervals with the RBM are discarded.
The simulation and experimental results indicate that the proposed processing pipeline can work on the radar data.
The state-of-health is affected by degradation mechanisms that cause a fade in capacity and power over time, which eventually leads to end-of-life. A common way to track capacity fade is to compare state-of-charge values with changes in charge across a time period. The change in charge can be found by accumulating current, while state-of-charge can be tracked using estimation frameworks that use equivalent circuit models to mirror battery behavior. These models require a relationship between state-of-charge and open-circuit voltage to link the cell's internal state with the observed voltage. However, establishing this relationship is a time-consuming process.
Furthermore, the battery voltage partly depends on the direction of current, i.e. whether the battery is being charged or discharged. This hysteresis effect is highly nonlinear and persists when no current is applied. In past lithium-ion cell chemistries, voltage hysteresis was often neglected but recent developments have introduced silicon doping, which improves energy density, leading to a higher range for electric vehicles, but also increases the magnitude of the hysteresis effect. This degrades the accuracy of model-based estimation frameworks that neglect hysteresis. To improve state-of-charge estimation accuracy for silicon-doped cells, battery models can be extended with additional complexity to match the effect of hysteresis and improve the accuracy of state estimates.
This work combines the Plett hysteresis model with a single RC-pair equivalent circuit, the RC-H model, and uses a joint unscented Kalman filter to identify model parameters and estimate the state-of-charge during different dynamic testing profiles performed on an NMC/Si-C lithium-ion battery. A second version of the model replaces the predetermined OCV function with a simplification of the SOC-OCV relationship that is adaptively estimated using the Kalman filter, the A-RC-H model. Both models are benchmarked against a single RC-pair model, with a predetermined SOC-OCV relationship and no hysteresis component.
The results show that the RC-H model produces lower SOC errors, but comes at the cost of additional complexity and lower robustness to initial SOC error. While the A-RC-H model produces reasonable SOC estimations and can reconstruct the main OCV trend, the RMSE and MAE are only close to the RC model when the initial SOC error is small.
Future work could be aimed at understanding typical initial SOC errors and uncertainties in practical scenarios, improving the filter tuning by adapting noise covariances online, and reducing computational cost by separating states and parameters into two filters running at different frequencies. Furthermore, the different frameworks should be combined with capacity estimation methods to confirm the hypothesis that higher SOC accuracy leads to improved estimation accuracy of the total capacity.","lithium-ion batteries; state estimation; Kalman filter; system identification","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:dffed3f3-c92b-43a1-a639-dbaa1bd8ad4f","http://resolver.tudelft.nl/uuid:dffed3f3-c92b-43a1-a639-dbaa1bd8ad4f","Influence of coherence time drift on the secret key rate","Stephens, Emlyn (TU Delft Applied Sciences)","Wehner, S.D.C. (mentor); Avis, G. (mentor); Delft University of Technology (degree granting institution)","2023","Quantum communication provides a plethora of new possibilities compared to the realm of classical communication. Since the channels used are noisy, losses are unavoidable, and quantum repeaters are needed to transmit a signal over longer distances to overcome these exponential losses. To increase the performance of these repeaters, cutoff times can be introduced. These cutoffs limit the amount of time a qubit can be stored in the quantum memory. Based on previous work done by Avis et al., this work analyzes how a variation in the initial coherence time, called a drift in coherence time, affects the optimal cutoff, the optimal secret key rate, and the loss in secret key rate. The main conclusions are that the greater the coherence time, the less the need for accurate cutoff times. This is due to losses in the secret key rate being inherently smaller at larger coherence times. Furthermore, the loss in secret key rate can be approximated using the derivations found in this thesis. Suggestions for further work are introduced; implementing these is beyond the scope of this thesis.","Quantum communication; Quantum information; Quantum internet; Quantum repeaters; Cut-off","en","master thesis","","","","","","","","","","","","Applied Physics","",""
"uuid:e8ac1aba-34dd-4ca0-af52-99d85cb104be","http://resolver.tudelft.nl/uuid:e8ac1aba-34dd-4ca0-af52-99d85cb104be","MAPL: Model Agnostic Peer-to-Peer Learning","Mukherjee, Sayak (TU Delft Electrical Engineering, Mathematics and Computer Science)","Jamali-Rad, H. (mentor); van Gemert, J.C. (graduation committee); Leus, G.J.T. (graduation committee); Simonetto, Andrea (mentor); Delft University of Technology (degree granting institution)","2023","Current methods in Federated and Decentralized learning presume that all clients share the same model architecture, assuming model homogeneity. However, in practice, this assumption may not always hold due to hardware differences. While prior research has addressed model heterogeneity in Federated Learning, it remains unexplored in fully decentralized or peer-to-peer settings. Therefore, in this paper, we investigate a real-world yet challenging situation involving model heterogeneity in a fully decentralized context. Furthermore, we introduced a Model Agnostic Peer-to-peer Learning (MAPL) framework, which allows simultaneous learning of heterogeneous personalized models. Additionally, we define a graph learning objective to infer optimal collaboration weights based on task similarity. Experiments reveal that even in this challenging scenario, MAPL delivers competitive results while being communication efficient owing to the sparse collaboration graph in both model homogeneous and heterogeneous settings.","Decentralized learning; Federated Learning; Deep Learning","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:2ad2f3a8-2524-43cd-8fed-e748d36258db","http://resolver.tudelft.nl/uuid:2ad2f3a8-2524-43cd-8fed-e748d36258db","Clearing the Air: An Exploration of Pulmonologists' Needs and Intents in XAI Solutions for Respiratory Medicine","Oltmans, Rembrandt (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lofi, C. (mentor); Yang, J. (mentor); Corti, L. (mentor); Jung, Jiwon (mentor); Delft University of Technology (degree granting institution)","2023","Despite the low adoption rates of artificial intelligence (AI) in respiratory medicine, its potential to improve patient outcomes is substantial. To facilitate the integration of AI systems into the clinical setting, it is essential to prioritise the development of explainable AI (XAI) solutions that improve the understanding of the AI predictions. These XAI solutions empower clinicians to collaborate effectively with AI systems, thereby enhancing the overall outcomes for patients in respiratory medicine. Unfortunately, the lack of user-centric studies in this domain has made it challenging to identify the specific aspects of explainability that are most effective in improving the adoption of AI in the real-world environment. To address this gap, we conducted a mixed-methods study of clinicians in respiratory medicine to identify the most relevant and crucial aspects of XAI solutions. Our study focused on understanding how XAI can be effectively translated into clinical practice by leveraging the expertise of doctors in the field. Because of the lack of knowledge about XAI concepts among pulmonologists a different approach is taken to regular user-centric XAI research and no direct examples of state-of-the-art XAI solutions are used. Rather the expertise of doctors is used to make them implicitly identify their needs and intents. Our findings reveal that the successful adoption of XAI solutions in respiratory medicine requires tailored solutions that address communication barriers, promote patient-centric care, and overcome AI adoption challenges. The study highlights the significance of task-specific visualisations, comprehensive explanations, preferred granularity, and the ability to mimic human judgement in successful XAI solutions. Trust and collaboration between clinicians and AI systems are essential for effective adoption, wherein AI is perceived as a colleague rather than a replacement. This ensures that clinicians can easily understand and work with the model predictions, ultimately leading to improved patient outcomes. By aligning XAI design with the needs and intents of pulmonologists, we established the importance of Co-designing solutions with domain experts and embedding XAI within clinical workflows emerged as key strategies. Our research underscores the imperative of transparency, extended validation, and continuous alignment of AI technologies with medical values. By following these principles, XAI solutions can be developed to enhance the diagnosis and treatment of respiratory illnesses, ultimately improving patient outcomes in respiratory medicine.","","en","master thesis","","","","","","","","2024-01-01","","","","Computer Science | Artificial Intelligence","",""
"uuid:e1f08e5a-0df3-497f-97fc-e11a43722bba","http://resolver.tudelft.nl/uuid:e1f08e5a-0df3-497f-97fc-e11a43722bba","Structural load analysis and optimization of the VAWT design","Senden, Nienke (TU Delft Aerospace Engineering)","Ferreira, Carlos (mentor); Kim, Taeseong (mentor); Donkers, Jeroen (graduation committee); Delft University of Technology (degree granting institution); Technical University of Denmark (degree granting institution)","2023","The increasing global electricity consumption requires a corresponding increase in energy generation. Wind turbines generate electricity without depleting natural resources or emitting greenhouse gases, offering a straightforward solution to the energy dilemma. Wind power, because of recent technology breakthroughs, is a financially viable, clean, and quickly expanding source of energy. Traditional horizontal axis wind turbines (HAWTs) have been favored over the years due to a lack of research and development on vertical axis wind turbines (VAWTs). However, as wind turbines are deployed further offshore, conventional HAWTs begin to make less sense for floating platforms, as their high center of gravity can cause major tilting concerns.
VAWTs can be considered instead, as the rotor design can provide numerous possibilities to make them more commercially competitive than HAWTs. There is currently a lack of understanding of how specific design parameters influence the rotor configuration of modern VAWTs. This thesis attempts to identify design drivers for the VAWT design while accounting for their aerodynamic behavior and aeroelastic stability.
The VAWT is modeled in an aeroelastic analysis tool named HAWC2. First, the cross-sectional parameters of the blades and struts are determined using the BECAS software, which can be used as an input file for HAWC2. The original model is based on the reference model of Schelbergen, which is then verified. After that, several design factors are found and investigated to see how they affect the performance and behavior of the wind turbine. These design parameters include elements, such as the thickness of the laminate, the placement of the struts, and an additional diagonal strut. The study carries out a parametric analysis, to understand what can benefit an optimal VAWT design.
The verification phase shows that the reference model has significantly lower power output, most likely due to implementing a dynamic stall model in the aeroelastic analysis. The significance of proper modeling of the dynamic stall effects is highlighted. As with the reference model, a range of laminate thicknesses is provided, therefore, multiple models with different laminate thicknesses are examined. The assessment reveals that opting for thinner laminates can lead to reduced mass and expenses without significant power output compromise but with less structural integrity. Moreover, the optimal placement of the struts for increasing power output while preserving structural integrity can be identified through strut placement analysis. Besides, an additional diagonal strut shows enhanced structural stability but could be more expensive. The upscaling of the model is done by increasing the aspect ratio (with the blade length). The power generation is increased, but it is crucial to pay attention to the structural integrity and aeroelastic stability, as the deflection of the blades increases significantly. The study also looks into the Huisman VAWT design, which is compared to the company's own findings. The design is simplified but still shows good power output and blade behavior.
In short, this study examines the design drivers of the VAWT design, paving the way for advancing VAWTs in practical applications and future research.","","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:07ffde04-ab60-4b71-81a5-b3c3631e944d","http://resolver.tudelft.nl/uuid:07ffde04-ab60-4b71-81a5-b3c3631e944d","The maximum influence of wind on wave overtopping at mildly sloping dikes with a crest element","Dijkstra, Sam (TU Delft Civil Engineering & Geosciences)","van Gent, M.R.A. (mentor); Wüthrich, Davide (mentor); Wolters, Guido (mentor); Delft University of Technology (degree granting institution)","2023","Due to the rising sea level in combination with land subsidence there is a need to reinforce the dikes. The use of a crest element to increase the height of the dike is an effective and relatively cheap way to reinforce the dikes (Hogeveen, 2021). When a crest element is used, the jet generated by wave breaking on the dike slope impacts on the crest element, leading to an upward spraying motion of the water. This upward sprayed water can potentially be transported by the wind over the crest element and therefore contribute to the wave overtopping discharge. Small scale physical model tests were performed on a smooth dike slope of 1:6 to gain more knowledge about this influence of the wind on wave overtopping. Studying the influence of the height of the crest element, a promenade in front of the crest element and the slope of the dike was part of the research.","coastal engineering; wind influence; wave overtopping; Crest elements; Promenade; Hydraulic Engineering","en","master thesis","","","","","","","","2024-08-31","","","","Civil Engineering | Hydraulic Engineering | Coastal Engineering","",""
"uuid:87d03ca7-4517-48e9-a05c-500eb9fc0850","http://resolver.tudelft.nl/uuid:87d03ca7-4517-48e9-a05c-500eb9fc0850","New Building for the Museum of Contemporary Art in Antwerp (M HKA)","Ligtvoet, Julie (TU Delft Architecture and the Built Environment)","De Vocht, S. (mentor); Parravicini, M. (mentor); Zeinstra, J.S. (mentor); Pietsch, S. (graduation committee); Rosbottom, D.J. (graduation committee); Pimlott, Mark (graduation committee); Delft University of Technology (degree granting institution)","2023","The Museum of Contemporary Art Antwerp (M HKA), is in search of a new building. Influenced by the anti-museum philosophy of the ICC, M HKA aspires to integrate itself into a network of regional museums while concurrently positioning itself within a broader global context. The institution has identified a promising site within a suburban neighbourhood in Antwerp, strategically positioned between the Scheldt quays and the city park, the site commands a central and strategic position, seamlessly connecting two crucial urban spaces.
Recognizing the global trend towards the increased need for financial independence among museums, M HKA is adapting its approach to ensure long-term sustainability. This adaptation involves enhancing visitor experiences and diversifying revenue streams while maintaining to enricht cultural engagement.
The redesign of the museum is informed by this growing need for financial stability. Interactive displays will be integrated to facilitate visitor engagement and bridge the gap between art and the public. Additionally, the inclusion of a publicly accessible roof terrace, known as the forum, will offer panoramic views of Antwerp and can be used for a range of cultural events.
A notable architectural feature of the museum’s redesign is the suspended white cube which is exclusively dedicated to art exhibitions. The suspended construction enables the creation of unobstructed floor plans, affording significant flexibility in exhibition layout design.
By this redesign the museum seeks to inspire, educate, and engage visitors while strengthening its ties with the city of Antwerp.
Theoretical findings are validated in simulation and in the real world. We show that the framework exhibits reactiveness in different scenarios, including battery charging, push-pull among obstacles, and pick-place with disturbances. We show that our framework outperforms an off-the-shelf RL method in the reactive pick-place task in terms of position error and orientation error. We also show that M3P2I is generalizable in combining different constraints, such as generating hybrid motions of push and pull for the mobile robot, and grasping objects with different grasping poses. The real-world experiments show that the system exhibits reactiveness and robustness against human disturbances in a variety of manipulation tasks.
The supporting videos can be found at https://sites.google.com/view/m3p2i-aip.","Task and Motion Planning; Active Inference; Model Predictive Path Integral Control","en","master thesis","","","","","","","","2023-11-30","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","AIRLab Delft",""
"uuid:5f838875-32a3-4e9e-b289-c7ebb5dfc823","http://resolver.tudelft.nl/uuid:5f838875-32a3-4e9e-b289-c7ebb5dfc823","Inertial and Frequency Response of an Offshore Wind Turbine: Effect on Extreme and Fatigue Loads","Douma, Laurens Jan (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Wind Energy)","Zaaijer, M B (mentor); von Terzi, D.A. (graduation committee); Cvetkovic, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The replacement of conventional generation units with variable renewable energy sources could have a negative impact on the balance of supply and demand of electricity. Moreover, since the variable renewable energy sources are inverter based and therefore decoupled from the grid, the overall grid inertia will decrease. To solve this problem, the traditional mechanical frequency response can be re- placed by synthetic inertial response and fast frequency response (FFR) alternatives from wind turbines. However, there is uncertainty about the order of magnitude of these ancillary services and how these ancillary services will affect the ultimate and fatigue loads on the wind turbine. The aim of the study is to gain an understanding of the extent to which an offshore wind turbine can provide synthetic inertia and fast frequency response and how this affects the fatigue and ultimate loads on the wind turbine.
Simulations were performed in FASTTool to study the response of the IEA 15MW offshore wind turbine using the baseline controller, synthetic inertia controller and FFR controller. The moments at the blade roots and tower base were used to perform a fatigue and ultimate load analysis. Three different grid frequency data sets were used for the grid frequency inputs of the synthetic inertia controller and FFR controller. In addition, the response of the wind turbine was studied at two different response sizes for both the synthetic inertia controller and the FFR controller.
This study showed a significant increase in fatigue damage equivalent load and maximum stresses due to an increase in the response size of the synthetic inertia and FFR controller. The results therefore showed that the extent to which an offshore wind turbine can provide synthetic inertia and FFR depends mainly on the magnitude of the controller response and not on the size of the wind turbine.","Synthetic Inertia; Fast Frequency Response; Offshore Wind Turbine; Fatigue Analysis; Ultimate load","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:6683152d-d355-4cc5-9e75-17821f705f73","http://resolver.tudelft.nl/uuid:6683152d-d355-4cc5-9e75-17821f705f73","Exploring Hybrid Intelligence for Topic Interpretation in Colorectal Cancer Research: A Comparative Study of GPT-3.5 and Human Expertise","Patandin, Ayush (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lofi, C. (mentor); Yang, J. (mentor); Jung, Jiwon (graduation committee); Pouwelse, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Colorectal cancer is a widespread disease that significantly impacts the health of individuals worldwide. Understanding the needs and concerns of those affected by this disease is crucial for improving patient outcomes and enhancing the quality of care. Patient web forums have emerged as valuable platforms for individuals to openly share their experiences and thoughts related to colorectal cancer, providing unique insights into the social, physical and emotional aspects of their patient journey. These forums offer a more comprehensive and authentic portrayal of patient experiences compared to traditional patient data collection methods, such as questionnaires and interviews, which may not capture the full scope of patients experiences in the colorectal cancer carepath.
However, analyzing the vast amount of unstructured data within these patient web forums presents a significant challenge. Traditional manual analysis by human experts is time-consuming, labor-intensive, and limited in scalability, making it impractical to analyze the sheer volume of patient-generated content. This is where the application of natural language processing (NLP) techniques becomes crucial. NLP enables the automated processing and analysis of textual data, allowing for efficient extraction and interpretation of the large amounts of patient forum posts.
Nevertheless, relying solely on machine intelligence, such as topic modeling and natural language generation, for interpreting patient forum data carries inherent risks, including the potential for disseminating misleading information. While these machine-driven techniques offer efficient and scalable ways to analyze and generate insights from the large amount of diverse and unstructured patient forums, they may lack the necessary contextual understanding and domain expertise to ensure the accuracy, relevance, and ethical implications for interpreting colorectal cancer patient experiences.
To close this gap between human experts and machine intelligence, this thesis explores the potential of hybrid intelligence (HI) for topic interpretation in colorectal cancer research. The main research question is: ``How can topic modeling, GPT-3.5 language generation and human expertise be combined to explore the interpretation of patient web forums in colorectal cancer (CRC) research?""
To address the research question, three human studies were conducted. The first study employed NMF topic modeling to compare topic interpretations created independently by medical workers and GPT-3.5. This comparative analysis discovered unique observations that differentiate human-written and AI-generated interpretations on online patient stories. In the second study, it was investigated how medical researchers collaborate with GPT-3.5 to develop hybrid interpretations on patient experience topics generated by the BERTopic model. A Flask web application served as the interactive platform for combining their knowledge with the AI model. Finally, the third study made professional human evaluators assess the topic relevance of the interpretations generated by medical researchers and GPT-3.5 to determine whether the combination of GPT-3.5 and human expertise leads to improved topic interpretations compared to individual interpretations.
The proposed solution to the research problem is to explore a hybrid workflow that compares, combines and validates GPT-3.5 language generation and human expertise, aiming for enhanced interpretations of topics extracted from colorectal cancer patient forums. The three studies provide opportunities for researchers and medical professionals to integrate machine intelligence from topic models and GPT-3.5 in their field of work. The hybrid workflow has conclusively demonstrated that human experts were successfully able to compare and enhance the relevance of human and GPT-3.5 interpretations of colorectal cancer patient experience topics. This allowed human experts to efficiently reach a more comprehensive understanding of patient forum data, which is essential for improving patient health in colorectal cancer research.","Human Machine Interaction; Colorectal Cancer; Generative AI; Topic Modeling; Natural Language Processing (NLP)","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:8058f786-c737-4143-887a-ca97decd4a5f","http://resolver.tudelft.nl/uuid:8058f786-c737-4143-887a-ca97decd4a5f","WaveTune: Millimeter Wave Radar based Hand Gesture Recognition for Musical Applications","Juneja, Suchdeep Singh (TU Delft Electrical Engineering, Mathematics and Computer Science)","Zuniga, Marco (mentor); Wang, Q. (graduation committee); Vaidya, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the ever-evolving field of music technology, new solutions continue to emerge that enhance musical expression and creativity. This thesis introduces WaveTune, a novel lightweight hand gesture recognition system that enables real-time control of musical composition and performance through natural hand motions.
WaveTune utilizes Millimeter Wave radar technology to capture gesture data, combined with optimized deep learning techniques for real-time recognition. This provides an accessible and non-intrusive platform for gesture control that enhances privacy since no visual data is recorded. Users can dynamically select tracks and control musical parameters in real-time using expressive hand motions, integrating seamlessly with music software.
A key innovation of WaveTune is the development of an optimized gesture recognition model that achieves high accuracy for real-time music interaction while minimizing complexity. This is accomplished through novel optimizations to a state-of-the-art point cloud classification architecture, resulting in an efficient and tailored model for fluid musical control.
Furthermore, WaveTune promotes open-source collaboration by providing full access to code, configurations and datasets, inviting the community to build upon this system.","mmWave; Hand Gesture Recognition; Music technology; Machine Learning (ML); FMCW Radar; Music","en","master thesis","","","","","","","","2025-09-01","","","","Electrical Engineering | Embedded Systems","",""
"uuid:7ddf82a2-23f0-415e-a257-82457104eb9e","http://resolver.tudelft.nl/uuid:7ddf82a2-23f0-415e-a257-82457104eb9e","K-th order Hydrodynamic limits","van Tol, Berend (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Delft Institute of Applied Mathematics)","Redig, F.H.J. (mentor); Delft University of Technology (degree granting institution)","2023","In this thesis, we study stochastic duality under hydrodynamic scaling in the context of interacting particles on a grid. The approach is inspired and motivated by the relation between duality and local equilibria. We identify duality relations in terms of the expectation of the density field for which the hydrodynamic limit is recovered. This is initially done both for symmetric inclusion and exclusion processes as well as for independent random walkers. We continue with the independent case and generalize to particles that also possess a, possibly scale-dependent, internal energy state. The results in this context assume generator convergence under scaling and are illustrated using run-and-tumble systems. This work also includes examples concerning instances of run-and-tumble processes that do not have convergence on a generator level. Apart from run-and-tumble processes, we examine the effect of reservoirs on the relevant duality relations and macroscopic profiles. The reservoirs are found to correspond with boundary conditions for the macroscopic profile.","Interacting Particle Systems; Run-and-Tumble Particles; hydrodynamic limit; Duality; Non-Equilibrium; Statistical Physics; Markov theory","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:647705e1-413b-4d68-ae30-a1a62ba05b52","http://resolver.tudelft.nl/uuid:647705e1-413b-4d68-ae30-a1a62ba05b52","Segmentation techniques for noisy MRI scans","Slepova, Ksenia (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gijzen, M.B. (mentor); Delft University of Technology (degree granting institution)","2023","Every year, 180000 new cases of hydrocephalus are diagnosed among infants in Sub-Saharan Africa. Unfortunately, more than two-thirds of the population in this region lacks access to essential medical imaging technologies, such as magnetic resonance imaging (MRI). To address this issue, a collaborative effort between the TU Delft, Leiden University Medical Center, Penn State, and Mbarara University of Science and Technology has led to the development of a low-cost, portable, low-field MRI system. However, images obtained from this scanner are often noisy and distorted and might contain artefacts, therefore, need preprocessing before they can be utilized in diagnostics. The enhancement of their quality can be achieved through both hardware calibration and optimization, as well as the application of filtering, enhancement, and segmentation techniques. In this master's project, we propose a two-step PDE-based segmentation approach. Additionally, we compare it with the modified approach where presegmentation in the initial phase of the standard algorithm is introduced. Both approaches yield segmentation results comparable to the ground truth or manually performed segmentation. Nonetheless, there remains room for further improvement in both denoising and segmentation techniques.","low-field MRI; segmentation; image enhancement","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:7873d188-6af9-466f-8889-976bcc5986fb","http://resolver.tudelft.nl/uuid:7873d188-6af9-466f-8889-976bcc5986fb","Life cycle analysis of PERC architecture for production in Europe","Kommers, Saskia (TU Delft Electrical Engineering, Mathematics and Computer Science)","Vogt, M.R. (mentor); Delft University of Technology (degree granting institution)","2023","The worldwide energy need is increasing and the share of renewable energy sources is too. To generate electricity from renewable sources, no harm to the environment is done. But producing a PV panel is not without emissions. The amount of emissions during production is researched with a life cycle analysis. In the current PV market 75% of the installed capacity is Passivated Emitter and Rear Cell (PERC), so this is the cell type that will be analysed. The majority of PV panels are produced in China, but for this study Europe is chosen as the location of production. When panels are produced in Europe the electricity mix of Europe is used, which consists of less fossil fuels and more renewable sources. Production in Europe also Previous LCA studies on solar panels are performed on PERC panels but in Asia, or on Al-BSF panels in Europe. One study is found on a PERC panel produced in Europe, and its inventory is used for this study. The goal of this study is to calculate the impact of producing a PERC PV panel and compare it to other studies on PV panels and to other energy sources. The functional unit is 1 kWh, and the system boundary includes the phases cradle-to-gate. Two inventories are used, one for Al-BSF produced in 2018 and one for PERC produced in 2021. The PERC inventory is then altered to represent a panel produced in 2022, 2023, and 2030. The assessment of the panels is done using IDEMAT and Ecoinvent 3.8.
The results for the PERC panel produced in 2022 are: climate change 1.09E-02kcCO2/kWh, ozone depletion1.09E-08 kg CFC11/kWh, ionising radiation 2.50E-05 kBq U-235/kWh, photochemical ozone formation 4.12E-05 kg NMVOC/kWh, particulate matter 2.69 disease/kWh, non-cancer human health 7.37E-11 CTUh/kWh, cancer human health 2.35E-11 CTUh/kWh, acidification 4.40E-05molH+/kWh, freshwater eutrophication 5.39E-07 kg P/kWh, marine eutrophication 5.12E-06 kg N/kWh, terrestrial eutrophication 5.50E-05 mol N/kWh, ecotoxicity 1.06E-02 CTUe/kWh, land use 1.24E-03 pt/kWh, water use 5.25E-05 m3/kWh, resource use fossil 1.59E-01 MJ/kWh, resource euse mineral & metals 1.29E-06. In terms of climate change a PV panel has lower emissions than wind power and the Europe electricity mix, but higher emissions than nuclear power and hydro power. PV has lower particulate matter emissions than nuclear, wind power, and the Europe electricity mix, and higher than hydro power. For noncancer human health, PV is lower than nuclear and wind power, but higher than hydro power and Europe electricity mix. PV power has a lower amount of acidification than wind power, nuclear power and the Europe electricity mix, but higher than hydro power. For ecotoxicity PV has a lower value than wind power and nuclear power, but higher than hydro power and the Europe electricity mix.
Based on the information, common relations between organizational structures and EDI-supporting structures are found. Furthermore, a taxonomy between the different organizational structures is created, and important factors within organizations are identified. Additionally, a taxonomy in EDI-supporting methods is identified. The thesis finds that the freedom of communication and autonomy within an organization relates to whether a structure is necessary to facilitate the ability of employees to communicate their ideas. The second most important factor is the importance of building community. In organizations where community building is a priority, the employees should be involved in the innovation process as much as possible. In organizations where community building is not a priority, events or innovation managers who preside over the innovation process are the better practice to support EDI.","Organizational Structures; Employee-driven innovation; Innovation","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:f851aed6-4764-4c07-bf31-34ee97b122ab","http://resolver.tudelft.nl/uuid:f851aed6-4764-4c07-bf31-34ee97b122ab","POI Position and Force Control in Industrial Wire Bonders","Puthukattil, Rahul (TU Delft Mechanical, Maritime and Materials Engineering)","Hassan HosseinNia, S. (mentor); Kostić, Dragan (graduation committee); van Eijk, Luke (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis investigates the application of force control techniques to enhance industrial wire bonding processes. It entails the design of a simulation model for the Z-axis interaction between bondhead and environment, followed by the implementation of a Parallel Force Control architecture in Simulink. The study evaluates different force and position control methods, selecting those suitable for single-degree-of-freedom wire bonding. Simulation model validation through system identification and inclusion of an impact transition phase ensures accurate bondhead-substrate interaction representation. Results show that the implemented controllers effectively eliminate force overshoot, mitigating substrate damage risks, even with suboptimal controllers. This thesis contributes to the understanding of force control's potential in industrial wire bonding processes. The developed simulation model serves as a platform for evaluating controller effectiveness, optimizing process outcomes, and aiding future research and experimentation in this domain.","Wire bonding; Force control; Bondhead contact modeling; POI contact simulation; Dynamic modeling; Impact modeling; Parallel force control","en","master thesis","","","","","","","","2025-09-01","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:fac79fc2-1000-48a1-8d1e-6aeb71bcd547","http://resolver.tudelft.nl/uuid:fac79fc2-1000-48a1-8d1e-6aeb71bcd547","Testing Intermittent Battery-free Systems","Fijneman, Mark (TU Delft Electrical Engineering, Mathematics and Computer Science)","de Winkel, J. (graduation committee); Pawełczak, Przemysław (mentor); Delft University of Technology (degree granting institution)","2023","Existing tools for debugging battery-free applications are limited to specific architectures or require code changes of the Device Under Test (DUT) to function. These tools also cannot measure the efficiency of the application designed for battery-free systems. Currently, there is a lack of independent broad comparisons of intermittent systems. Our work, therefore, evaluates state-of-the-art frameworks and their artifacts and finds shortcomings in reproducibility and their performance. To overcome these shortcomings, we introduce DIPS+, a multi-platform debugger and measurement platform for intermittent systems with ARM and MSP430 support. DIPS+ introduces new methods to analyse applications for battery-free systems. One method finds the minimum energy budget required for forward progress, crucial for determining the minimal capacitor size for intermittent systems. Furthermore, DIPS+ offers functions to perform automatic profiling tests, like code start-up time, which gives valuable insights into the system's efficiency. DIPS+ achieves significant improvements in debugging performance, with 11 times faster connection time and reduced code execution by 157 times on the DUT before full reconnecting after intermittency occurs. The evaluation of selected frameworks reveals substantial overheads caused by the additional overhead of saving and restoring of system's state. This causes certain benchmarks to take up to 110 times longer to complete than their uninstrumented counterparts. These findings raise concerns about the viability of task-based approaches as an effective solution for managing intermittency in battery-free Internet of Things devices.","battery-free; Intermittent Devices; Internet of Things (IoT); debugger; debugging; framework","en","master thesis","","","","","","https://github.com/TUDSSL/DIPS Github repository for the software of the debugger","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:fe6af8a3-a309-49e9-ac31-c92cbff82aa6","http://resolver.tudelft.nl/uuid:fe6af8a3-a309-49e9-ac31-c92cbff82aa6","Developing a level-1B qualifiable CNN for in-situ ultrasonic damage classification of aerospace composite structures: An in-depth evaluation on the end-to-end process of developing a data-driven tool","Schmidt, Stephan (TU Delft Aerospace Engineering)","Groves, R.M. (mentor); Delft University of Technology (degree granting institution)","2023","This paper examines the end-to-end development process for a Convolution Neural Network (CNN) based damage classification tool for ultrasonic inspection of aerospace-grade composite structures. The recent advent of Artificial Intelligence (AI) and Machine Learning (ML) has piqued the interest of the aerospace industry since it has the potential to improve performance and alleviate the burden on personnel. The big question in the industry right now is how and where to introduce this technology while assuring the safety and reliability of its implementation. Guidelines drafted by the European Aviation Safety Agency (EASA) for the development of AI showed that maintenance and training were the most accessible points of entry for this technology as it did not have the same stringent requirements that a flying system would have. This paper proposes a research methodology which allows for the cost-effective development of ultrasonic data for the training and testing of data-driven tools. This was partly achieved by using a novel eFlaw technique which has been implemented for the first time in composite structures. The method allows for significant augmentation and generalisation of datasets, resulting in a model with the ability to detect features potentially smaller than one-quarter of a wavelength. This improved performance paves the way for more sensitive low-frequency ultrasonic inspection in thick composites. To evaluate these models, various evaluation techniques were compared and showed that Receiver operator curves and confusion matrix-derived metrics provided comparable results. Explainable methods found that the GradCam and the inspection of feature maps showed the most interpretable results on the features that were being identified. Using the feature maps it was possible to generate a new type of C-scan, called an F-scan (Feature-scan) which provides an inspector with a view of the C-scan from the perspective of a feature map from the model providing an interpretable view of the model’s classifications. In addition to these positive results, this thesis provides readers with a cost-effective methodology for developing data-driven tools for maintenance applications within the aerospace industry.","Machine learning; AI; Maintenance; Ultrasonic inspection; NDT; Non-Destructive Evaluation Techniques (NDT); Non-destructive testing; Composites; Qualification","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:b7301745-e503-4a76-83d9-aea7d2c19437","http://resolver.tudelft.nl/uuid:b7301745-e503-4a76-83d9-aea7d2c19437","Lift Coefficient of an Accelerating Wing with Ground Effect","Zhou, Shanwei (TU Delft Mechanical, Maritime and Materials Engineering)","Westerweel, J. (mentor); Overmars, E.F.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","A F1 car is always in a dynamic flow when racing. The most common case would be acceleration, deceleration and turning a corner. Due to the new rule by FIA since 2021, ground effect is much more significant for F1 car. Limited by the experimental set-up of F1 teams, flow under the car and accelerating flow are hard to be measured quantitatively.
This research is on an accelerating wing with ground effect. The airfoil representing the front wing is from a real F1 car, the Tyrrell 026. Prediction of downforce and flow field in steady phase was done by conformal mapping (potential flow theory), SST k−ω and 2D-DES CFD simulation.
A force and PIV measurement was done to investigate the dynamic flow behaviour.
The connection between force and flow field was discussed, considering the measured residual force and the added mass force. A conclusion is drawn with suggestion on setting the optimal clearance of an F1 car’s front wing on track, to improve the racing performance of the car.","PIV (particle image velocimetry); Ground Effect; Accelerating Flow","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:63181625-c37b-4015-a08a-611d016be933","http://resolver.tudelft.nl/uuid:63181625-c37b-4015-a08a-611d016be933","Adaptive design of the Wide Green Dike with multivariate uncertainty","Veldman, Chris (TU Delft Civil Engineering & Geosciences)","Morales Napoles, O. (mentor); van Gent, M.R.A. (graduation committee); Mares Nasarre, P.M.N. (graduation committee); Vrinds, Thijs (graduation committee); Delft University of Technology (degree granting institution)","2023","The uncertainty paired with the effects of climate change impacts the design aspects of hydraulic structures. To comprehend the uncertainty of the evolving conditions, methods and models that differ from the traditional ones could provide a better understanding of the uncertainty and potential risks associated with a design.
Hydraulic structures are designed to withstand loading conditions related to extreme environmental scenarios. Such natural hazards are characterized by the shared dependence of several random variables. Multivariate probabilistic design clears the way for exploring dependencies between design variables. A multivariate approach models the joint occurrence of a combined condition, revealing new insights e.g., the correlation between wave height, wind speed and water level. Next to incorporating new models that estimate the uncertainty and changing conditions related to climate change, there could be a leap forward could in design methodology. Adaptive design strategies can provide a way to mitigate over-designing hydraulic structures. To cope with the uncertainty of sea level rise, this methodology aims at handling the uncertainty in long-term decisions by emphasizing adaptivity in a design.
This thesis aims to develop a multivariate probabilistic tool to provide insight into incorporating adaptive design strategies, and to gain extra information that contributes to assessing the feasibility of the Wide Green Dike concept given the uncertainty of sea level rise.
A multivariate model using a vine copula is created to approximate the joint behaviour of the extreme hydraulic boundary conditions of the Wide Green Dike project. The results showed that by accounting for the joint behaviour of the extremes and the uncertainty and variability of design conditions, significant reductions in the design could be realised compared to designing in a deterministic manner. The deterministic design increased the cross-sectional area of the dike by 150% compared to the multivariate probabilistic design with a sight year of 2150.
To explore the adaptive design strategies, several strategies are created using the multivariate probabilistic design approach. The strategies consist of an initial design with a certain sight year and one or multiple adaptions during its lifetime up to 2150. The strategies are assessed based on the business case, up-scaling of the clay refinery in the Ems-Dollard estuary, the impact on the Natura 2000 area and feasibility. It was found that incorporating an adaptive strategy could promote the business case and help mitigate the risk of over-designing.","Multivariate analysis; Extreme Value Analysis; Adaptive Design; Vine copulas","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","Brede Groene Dijk","53.241224, 7.125166"
"uuid:3628acac-9ee9-4084-b0be-2ae6eaeb2cac","http://resolver.tudelft.nl/uuid:3628acac-9ee9-4084-b0be-2ae6eaeb2cac","Protecting CAN XL Protocol from Denial-of-Service Attacks","Li, Fanyuan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Taouil, M. (mentor); van de Burgt, Rolf (mentor); Gaydadjiev, G. (graduation committee); Pawełczak, Przemysław (graduation committee); Delft University of Technology (degree granting institution)","2023","Over development of the past three decades, control systems in automobiles have undergone a significant transformation, shifting from mechanical devices to a multitude of interconnected computers that oversee sensors, drivers, and passengers. In today's modern vehicles, 50-80 independent computers, commonly referred to as Electronic Control Units (ECUs), form complex in-vehicle networks to facilitate communication among themselves. A widely adopted communication protocol in modern in-vehicle networks is the Controller Area Network (CAN). Security wasn't considered when the CAN protocol was designed in the mid-1980s, leaving it susceptible to cyber-attacks. In 2015, Charlie Miller and Chris Valasek successfully demonstrated a remote attack against a Jeep Cherokee, compromising critical components such as the steering wheel and braking system. This event prompted significant concern about the security of CAN networks in both academic and industrial circles.
In reaction to these challenges, researchers have formulated a variety of attacks targeting the CAN bus, encompassing Denial-of-Service (DoS) attacks, spoofing attacks, and more. Furthermore, significant efforts have been directed towards enhancing the security of CAN networks. These endeavors encompass the design of security architectures and intrusion detection systems. NXP developed the TJA115x secure CAN transceiver family, which offers protection against various types of attacks for Classical CAN and CAN FD communication without resorting to cryptography. Nonetheless, all existing researches are directed towards the Classical CAN and CAN FD protocols, leaving a notable gap in the exploration of security aspects concerning the latest CAN XL network.
This thesis focuses on enhancing the design of the TJA115x secure transceiver to support CAN XL protocol. The research comprises two main aspects: flooding detection and flooding prevention. To achieve flooding detection, a leaky buckets tree is introduced, enabling differentiation between normal frames and flooding frames, along with dynamic traffic control for each frame type. Additionally, the leaky bucket parameters are derived in formulaic form. For flooding prevention, two distinct strategies are devised to prevent flooding frames from dominating the bus. These strategies are compared in terms of throughput, latency, and availability. Finally, the proposed secure CAN XL transceiver is validated through software simulations and hardware experiments. The results demonstrate the exceptional performance of the CAN XL secure transceiver in blocking flooding attacks and safeguarding communications. These accomplishments are achieved with minimal memory overhead, and notably, without introducing any additional latency or bandwidth demands.","CAN XL; Vehicle Security; DoS attack; Zonal Architecture","en","master thesis","","","","","","","","2024-08-29","","","","Computer Engineering","",""
"uuid:1b5f0236-87f2-41f0-8af8-0911cb3b4d54","http://resolver.tudelft.nl/uuid:1b5f0236-87f2-41f0-8af8-0911cb3b4d54","Efficient Execution of User-Provided Graph Algorithms in a Graph Database","de Graaf, Daan (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Deursen, A. (mentor); Chakraborty, S.S. (graduation committee); Yakovets, N. (graduation committee); Al-Ars, Z. (graduation committee); Delft University of Technology (degree granting institution)","2023","Graph databases are systems to efficiently store and query large graphs. As graph databases grow in popularity, they are used to answer increasingly diverse and complex queries. However, graph databases typically have a very limited query language that cannot express arbitrary algorithms. As a result, many users treat the database as a storage layer to export data from and develop algorithms in external tools, wasting computation power and storage space.
We present graphalg, a high-level, domain-specific language for writing graph algorithms embedded into traditional graph queries. Our language is based on linear algebra, with a syntax resembling GraphBLAS, and implemented in the AvantGraph database.
We implement a compiler for graphalg that can target an interpreter built on top of a GraphBLAS implementation. Alternatively, our compiler can transform graphalg programs into a relational algebra with loops, unifying the representation of query and algorithm. We evaluate the programmability and performance of our system on the GAP Benchmark Suite for graph algorithms. Our language is expressive enough to concisely represent all GAP benchmark programs, with the majority of programs achieving performance comparable to an optimized C implementation.
We conclude that graph algorithm support can be integrated into graph databases to increase their programmability. Running graph algorithms inside of the database increases performance and reduces memory consumption compared to using external tools for the analysis. Rather than thinking of graph databases as limited tools for answering simple queries, we demonstrate that they can instead be a programmable framework for efficient large-scale data analysis.","graph databases; domain specific languages; graph analysis; Compilers","en","master thesis","","","","","","","","","","","","Computer Engineering","",""
"uuid:97476b13-f285-4cdb-bf05-767cbac4c550","http://resolver.tudelft.nl/uuid:97476b13-f285-4cdb-bf05-767cbac4c550","A SiGe BiCMOS amplifier for spin-qubits low-frequency readout: Towards a scalable quantum computer","Costantini, Andrea (TU Delft Electrical Engineering, Mathematics and Computer Science)","Sebastiano, F. (mentor); Delft University of Technology (degree granting institution)","2023","The concept of quantum computing is gaining increasing popularity in the last years due to its potential for running certain classes of algorithms much more efficiently than classical computation. These algorithms span from simulation of quantum mechanical effects to factorization of large number, from simulation of molecules for drug discovery to encryption of data.
One of the challenges of the current state-of-the-art of quantum computing is related to the scalability of this technology since, in order to solve the aforementioned problems, the required number of logical qubits in a quantum processor is in the order of millions. Qubits are very fragile systems and, to maintain their encoded information intact over time, it is necessary to keep them (most of the existent qubit classes) at very low temperature in dedicated dilution fridges; spin qubits in particular (the type of qubits that this work will focus on) need to be kept at around 2-300mK in most of the technologies available nowadays. Since having millions of big wires coming out of a fridge to control the qubits is nor feasible nor reasonable, it has been
proposed that a big part of the interface electronics is moved from room temperature to cryogenic temperature, close to the qubits.
The readout of a qubit consists in translating its state into a piece of information that can be used for computation in quantum algorithms. In the specific case of spin qubits, the information is encoded in the spin of electrons or quantum states of multiple electrons, when those are subjected to a magnetic field. The weak magnitude of the signal encoding this type of information, together with the temperature at which the system operates result in very strict requirements for power and noise of the integrated circuit.
This work presents a review of the readout process together with the design and simulation of a low frequency readout circuit. Many readout techniques are addressed and pros and cons of each one are discussed before diving into the actual circuit design. The SiGe BiCMOS technology from IHP is analyzed due to its potential for realizing low noise readout circuit. This technology is used in Cadence for simulating and assessing the performance of some proposed readout circuit architectures, namely the Current amplifier, the Voltage amplifier, the Transimpedance amplifier and the Charge amplifier. Eventually, the Voltage amplifier, which shows the more promising results in preliminary simulations, is designed at transistor level and combined with other blocks to realize the whole front-end readout circuit. From the simulation results, it is believed that the circuit can meet the target specification of 10dB SNR and achieve a functional reading at cryogenic temperature with a power consumption lower than 10µW at a speed of 1Ms/s.","Quantum Computing; Quantum Circuit Design; Quantum dots; readout; dc readout; Spin qubits; Analog integrated circuit design; Integrated circuits; Analog design; SiGe BiCMOS; Cryogenic electronics","en","master thesis","","","","","","","","2025-08-30","","","","Electrical Engineering","",""
"uuid:f59c77d8-005a-412a-82cb-81ff2dd08edd","http://resolver.tudelft.nl/uuid:f59c77d8-005a-412a-82cb-81ff2dd08edd","Uncertainty quantification for solar sails in the near-Earth environment","Garcia Bonilla, Juan (TU Delft Aerospace Engineering)","Heiligers, M.J. (mentor); Visser, P.N.A.M. (graduation committee); Carzana, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Solar sailing is a promising propellantless propulsion method that employs large reflective surfaces to harness solar radiation pressure for spacecraft propulsion. Despite the fact that several solar-sail near-Earth missions will launch in the coming years, there is notable lack of published studies on the uncertainties associated with missions of this kind. This thesis addresses this gap in knowledge by quantifying uncertainties related to the solar sail's optical coefficients, structural deformations, and attitude profiles. Through two uncertainty propagation methods, namely Monte Carlo simulations and the Gauss von Mises method, the study reveals the significant impact of the optical coefficient uncertainties on mission performance. The results indicate a worst-case 3-sigma uncertainty of 8.1% in altitude gain and 16.5% uncertainty in inclination gain for the NEA Scout solar sail model. Specularity coefficient uncertainty emerges as the primary driver of performance uncertainty among the analyzed optical coefficients. Structural deformation, on the other hand, exerts minimal impact. Uncertainty in the attitude profile is modelled through Ornstein-Uhlenbeck processes and is found to impact mean mission performance as well as introduce performance uncertainty. Overall, this work demonstrates the critical importance of characterizing uncertainties and provides insights crucial for mission planning and decision-making.","Solar sailing; near-Earth environment; Uncertainty quantification; Gauss von Mises; Stochastic Differential Equation","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:a71340c5-ab2f-45dd-bff9-0383f6b5da67","http://resolver.tudelft.nl/uuid:a71340c5-ab2f-45dd-bff9-0383f6b5da67","Neonatal Monitoring with FMCW Millimeter Wave Radar","Lyu, Jialin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Fioranelli, F. (mentor); Spirito, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","According to the World Health Organization, approximately 15 million neonates are born prematurely each year, which is more than 1 in 10 newborns. Premature birth disrupts the normal development of the neonate in the womb, which can result in various complications and health issues, necessitating continuous monitoring of vital signs to assess their health status.
Currently, the predominant monitoring approach involves the use of contact-wired sensors, which offer precise readings but are accompanied by specific drawbacks. One notable limitation is the utilization of strong adhesives to attach the sensor to the neonate's skin, causing pain and stress during removal. Additionally, the wired sensor hinders skin-to-skin contact care, which is proved to be crucial for the neonate's growth and development.
To address these drawbacks, a non-contact wireless approach using FMCW radar is proposed in this thesis project. The main aim is to develop a processing pipeline to monitor the vital signs of neonates with the radar. The core concept involves estimating the vital signs from the phase information corresponding to the chest movement of the monitoring target. This will be achieved through the implementation of an algorithm based on the short-time Fourier transform. Furthermore, this study also explores an approach to detect body movement, select near-steady state data segment and determine the range bin that is most relevant for the vital signs estimation.
The proposed pipeline aims to provide a more comfortable and efficient monitoring system for neonates, potentially overcoming the limitations of conventional contact-wired sensors and promoting better care for their overall well-being and development.","Vital Sign Monitoring; FMCW Radar; STFT; Body movement detection; Range bin selection","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:f45f85a0-b634-43d9-bd18-2bd9398d2c13","http://resolver.tudelft.nl/uuid:f45f85a0-b634-43d9-bd18-2bd9398d2c13","FROSTDAO: Collective Ownership of wealth using FROST","Klabér, Rahim (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Distributed Systems)","Pouwelse, J.A. (mentor); Yang, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The introduction of Blockchain technology has led to the idea of Decentralized Autonomous Organizations.
(DAOs). DAOs enable decentralized and transparent collective wealth, allowing groups of individuals to pool their funds for collective management. DAOs rely on complex smart contracts to function. Despite Bitcoin being the most popular Blockchain, its simple smart contracts make DAO unfeasible. This thesis presents a DAO structure that addresses the challenge of DAOs on Bitcoin. The system utilizes threshold signatures to allow anyone to create Bitcoin wallets jointly controlled by a group. The system is implemented as an Android application and relies on no central party, allowing the system to be used by anyone worldwide. Our experiments show that our system is practical for real-world use for groups of 50 members. Joining an organization in the system and creating a transaction to spend funds can be done in under a minute. Further research is required to determine how far the system can scale while being practical.","Decentralized Autonomous Organization; Blockchain; Digital Signatures","en","master thesis","","","","","","","","","","","","Computer Science | Software Technology","",""
"uuid:7f6e5e97-2bdd-4951-9900-f6332ec34290","http://resolver.tudelft.nl/uuid:7f6e5e97-2bdd-4951-9900-f6332ec34290","Enhancing the Experience of Pregnancy Detection: Design and Embodiment of a reusable pregnancy testing device","Dhar, Bhavika (TU Delft Industrial Design Engineering)","Dekker, M.C. (mentor); Persaud, S.M. (graduation committee); Mattsson, Alice (graduation committee); Delft University of Technology (degree granting institution)","2023","Pregnancy tests are the most used diagnostic test in the world where the market is expected to be worth $1.5 Billion. However, since its introduction to the market in 1976, it has experienced limited innovation. Despite its importance in providing crucial information about a significant life event, women continue to rely on outdated and limited options. The existing tests are often expensive or of poor quality, and their single-use nature fails to address the diverse need for sustainable practices. This gap in the market arises from a reluctance among established players to disrupt their stable revenue streams and a lack of investment in female health.
This master thesis intends to present a comprehensive investigation into enhancing the user experience of pregnancy detection and attempting to enhance its overall experience for its intended and unintended users. The project aims to address the limitations of existing single-use pregnancy tests and present an alternative option which would fit the current and future scenarios.
Given the costs associated with essential feminine hygiene products, such as sanitary pads, tampons, and other menstrual care items, as well as fertility products including contraceptives and potential expenses related to fertility treatments, women face a considerable financial burden that accumulates over time.
SureSign aims to disrupt the testing experience for women, providing them with a clear and user-friendly solution while trying to minimize the financial barrier associated with repeated testing. By offering an affordable and inclusive testing option, the product intends to empower women to make informed decisions about their reproductive journey. It envisions a future where women can test multiple times without worrying about the financial implications and sustainability impact, enabling them to confidently navigate their next steps based on accurate and reliable results.
The thesis is carried out in collaboration with Pharmista Technologies. Their role in this master thesis extends to providing initial market insights and to provide expertise in the technology behind potentially making the testing process sustainable - by making it reusable. They provide their existing knowledge about a patent sensor they develop, which in theory allows one to take multiple tests from a singular device. Their goal is to make this action of reusability take place at least 10 times.
The project is divided in two main phases: the research phase of the current scenario and subsequently a development phase for the future scenario. The research phase involves conducting user interviews to gain insights into user needs and challenges related to pregnancy tests. This phase also establishes if the requirement of reusable test actually exists. The project then delves into the embodiment phase which involves form factors related to the overall product experience. Drawing from the gathered insights, a user feedback oriented design process is employed to develop prototypes of the new pregnancy test, considering factors such as usability, accuracy, and ergonomics. The prototypes are evaluated through user testing, allowing for continuous loops of refinement.
The outcome of this master's thesis aims to not only push the field of pregnancy detection forward but also forge a significant stride towards sustainability within the femtech industry. This will be realized through the presentation of fresh perspectives aimed at enhancing the user experience, need for accuracy, and dependability of these devices. The findings will provide valuable recommendations for design refinements and the eventual market launch of this product for Pharmista. This holds the promise of uplifting the experiences of couples navigating their fertility path and women embracing sustainable approaches throughout their reproductive journey.","Embodiment design; Healthcare; Femtech; Sustainability; User research","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:6732fdff-0d76-4cb2-bb7e-3b521b11b413","http://resolver.tudelft.nl/uuid:6732fdff-0d76-4cb2-bb7e-3b521b11b413","A High-Efficiency 2-Switch Bipolar Boost Converter with PV-assisted Startup Voltage for the Bipolar Thermoelectric Energy Harvesting","Gong, Haoran (TU Delft Electrical Engineering, Mathematics and Computer Science)","Du, S. (mentor); Gao, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the great application potential of the Internet of Things (IoT), a reliable power supply solution for IoT plays an important role during the development of IoT and other advanced applications. Among all the options including the electricity grid, batteries, and energy harvesting systems, the energy harvesting systems are considered with priority for the following advantages such as longevity and maintenance-free, environmental sustainability, integration with other electronics, continuous Operation, etc. Among all the energy harvesting systems with different energy sources from the ambient environment, thermoelectric energy harvesting systems have attracted much attention from researchers due to the qualities of ubiquitous temperature difference and stable power supply. Another reason for choosing thermoelectric energy is that with a bipolar temperature difference across the material, an input voltage of either positive or negative polarity is generated to be harvested during the day and night. This is to say, with a bipolar input thermoelectric energy harvesting system, the IoT devices can be powered for a super long period of 90\% of the whole day. However, the system has to solve the problem of low input voltage from the thermoelectric generator(TEG).
To design a real thermoelectric energy harvesting system, there are several indispensable blocks including the start-up block, maximum power point tracking(MPPT), zero current switching(ZCS), and polarity detection. The start-up block is necessary to be implemented to provide a higher voltage available to turn on the transistors and help the system get rid of the cold state. The MPPT block is exploited to track the maximum power point where the equivalent resistance of the DC-DC converter is equal to the internal resistance of the TEG. The ZCS block is implemented is make sure after the discharging of the inductor inside the DC-DC converter, the power switch is precisely turned off to minimize the synchronization loss. The polarity detection block makes sure that the system always works in a proper state to harvest either positive or negative input voltage.
This article presents a 2-switch bipolar boost converter with 40 mV Photovoltaic(PV)-assisted startup voltage for a thermoelectric energy harvesting system. The power stage is configured as a bipolar boost converter for the bipolar input with the implementation of complementary transistors. And a buck-boost converter is configured for the input polarity transition. The proposed system was fabricated in a 180-nm BCD process. The measurement results show that the system can start up from the cold state with a TEG voltage as low as 40 mV. It boosts input voltages ranging from 40 mV to 0.3 V and from -40 mV to -0.3 V to a 1.2 V output voltage across the load. It achieves a peak end-to-end efficiency of 93.0\% with a 0.26V input voltage or 89.0\% with a -0.27V input voltage. Moreover, end-to-end efficiencies are higher than 80\% for input voltages from 120 mV to 0.3 V and from -120 mV to -0.3 V.","Thermoelectric energy harvesting; Photovoltaic cell; Cold-start-up; Bipolar input; DC-DC converter; Maximum power point tracking(MPPT); Zero current switching(ZCS)","en","master thesis","","","","","","","","2024-12-31","","","","Electrical Engineering","",""
"uuid:6654ffca-9584-42b8-bc0a-ec3138bf789c","http://resolver.tudelft.nl/uuid:6654ffca-9584-42b8-bc0a-ec3138bf789c","Asymmetric Cessna Citation II Stall Model Identification using a Roll moment-based Kirchhoff method","de Fuijk, Dirk (TU Delft Aerospace Engineering; TU Delft Control & Simulation)","de Visser, C.C. (mentor); Pool, D.M. (mentor); Hulshoff, S.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Adequate modeling of the unsteady aerodynamics during flow separation is critical for effective pilot training in Flight Simulation Training Devices. Over the years, a stall modeling method rooted in Kirchhoff's theory of flow separation has gained popularity due to its relative simplicity and suitability for parameter identification from flight data. This method describes the lift using a single internal flow separation point variable. A major drawback of Kirchhoff's method comes from the one-dimensionality of the flow separation point, limiting the representation of asymmetric flow separation. The goal of this work is to improve the existing Cessna Citation II dynamic stall model fidelity by applying Kirchhoff's method for each wing surface, separately. The main contribution is the identification of asymmetric flow separation development, using the flight-derived roll moment and a roll moment model based on the differential flow separation between the wing surfaces. Transformations of the flow separation variables were chosen by a Multivariate Orthogonal Functions selection algorithm to capture the stall-related nonlinearities of the roll moment, yaw moment, and lateral force. The longitudinal model structures are adopted from the existing, validated baseline stall model. The lateral-directional model outputs are in good agreement with the validation flight data, showing an average reduction of 48\% in Mean Squared Error (MSE) compared to the baseline stall model. In contrast, the longitudinal model output results in an average MSE increase of 88\%, suggesting that the estimated asymmetric flow separation parameters are unsuitable for longitudinal stall modeling. A promising way to incorporate the benefits of the proposed method is suggested, by adopting a hybrid approach that combines separate sets of flow separation parameters --- symmetric and asymmetric variants --- for the longitudinal and lateral-directional models, respectively.","Stall; Aerodynamics; Flow separation; System identification; Stall Modeling; Optimization; Parameter Estimation; Regression; flight data; Kirchhoff's theory of flow separation","en","master thesis","","","","","","","","2025-08-30","","","","Aerospace Engineering","",""
"uuid:93eb6a09-4896-4a65-b3a1-1d9e5bebbf4b","http://resolver.tudelft.nl/uuid:93eb6a09-4896-4a65-b3a1-1d9e5bebbf4b","Diversity in Outdoor play","Magnano, Lea (TU Delft Industrial Design Engineering; TU Delft Human-Centered Design)","Gielen, M.A. (mentor); Stappers, P.J. (mentor); Bouman, Marlies (graduation committee); Delft University of Technology (degree granting institution)","2023","Outdoor play among children of the new generations is shifting, and not necessarily for the better. A considerable number of kids are missing out on spending their free time outdoors, and those who do engage often lack diversity. For instance, in the Netherlands, only about one-third of children playing outdoors are girls. These observations have prompted numerous studies aimed at observing and understanding children’s play behaviors and preferences in outdoor environments. However, these studies often overlook an important group – the children who are not outside.
This graduation project focuses on children aged 8 to 11 who are currently less engaged in outdoor play. A specific approach is used in analyzing children’s play preferences, which is to examine the link that exists between outdoor play and their personalities.
The project involves several research activities: literature research on outdoor play and personality models, consultation of experts, direct observations of children, and contextmapping sessions with outdoor play stakeholders. By combining the results of the research, a design framework for outdoor play emerges. The framework features five distinct characters, each representing a different type of child who is often overlooked when designing outdoor play spaces.
Leveraging this framework, a series of design concepts is developed to cater to these five character profiles. These concepts are presented in a booklet, which aims to inspire designers, urban planners, and decision-makers in the field of outdoor play to create more inclusive and engaging play environments for all children.","Outdoor play; Diversity; Children; Personality","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:b16d7930-7c9e-40a5-a9b3-84e309e6ad9e","http://resolver.tudelft.nl/uuid:b16d7930-7c9e-40a5-a9b3-84e309e6ad9e","Performance Prediction of Cavitating Hydrofoil Sections","Delaporte, Cyril (TU Delft Aerospace Engineering)","Hickel, S. (mentor); van Zuijlen, A.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Hydrofoils can under certain circumstances cause a phase change from liquid water to water vapor. This phenomenon is called cavitation and is caused by the low pressure over the hydrofoil when the vessel exceeds a certain velocity though the water. Cavitation can take different forms depending the angle of attack α and the flow conditions which are characterized with the cavitation number σ . The different types of cavitation can have different effects on flow and loads.
Computational Fluid Dynamics (CFD) is widely used in aero and hydrodynamic design, with (U)RANS most commonly used for CFD in the industry due to its relatively low computational cost while providing sufficiently accurate results. Cavitation models in URANS simulations need a multiphase framework in order to model the liquid/vapor interface of the cavitation bubbles. The Schnerr-Sauer cavitation model uses simplified bubble dynamics equations for relatively fast calculation while providing accurate results. In current project, a Volume of Fluid method is used the Schnerr-Sauer cavitation model is used with URANS CFD simulations to improve and enhance the behaviour and performance prediction of hydrofoils sections under cavitating conditions. Given the industrial context of this project, the simulations are conducted using constrained computational resources.
Validation is performed for a non-cavitating test case using a NACA-0012 section, followed by validation for a cavitating test case using a modified NACA-66 section. Mesh convergence studies have been performed, turbulence models have been compared and the turbulent viscosity has been modified. The final set-up uses the SST turbulence model with a modified turbulent viscosity exponent n = 2.3.
To assess the flow behavior and hydrofoil section performance under cavitating conditions, a comparison is made in CFD using cavitation models, relative to the current practice. This study shows that the lift and drag results for a simulation without cavitation model are underestimated compared to the simulation with cavitation model in conditions of stable cavitation. For conditions with unstable cavitation, strong unsteady disturbed flow and loads are found that are not captured by the simulation without cavitation model. The transition from stable to unstable cavitation is studied by investigating cavitation bubble length and its
corresponding fluctuation as a function of the stability parameter
ps = σ/2(α−α0) . The conditions found for the transition from stable to unstable cavitation are consistent with reference value at about ps = 4. The inception of stable cavitation is found at about ps = 7 which is considered to be more optimized to delay the formation of cavitation compared to the NACA-0012 at ps = 8.5.
The lift, drag and performance polars are studied for several values of σ . The lift and drag polars for lowerσ , i.e. higher cavitation rate, show a stronger increase in both lift and drag for stable cavitation cases. The performance (or Lift over Drag) is slightly increased at α = 4◦ for σ = 1.2 and 1. For higher angles of attack, the increase in drag surpasses the increase in lift and the performance decreases. These finding only hold the stable cavitation cases (α < 8◦ for all tested σ , and α = 6◦ for σ = 1) since the unstable cavitation results are
inconclusive.
The main limitation of the set-up developed in the current project is that the predictions show significant discrepancies in capturing the unstable bubble shedding characteristics, with respect to the reference data. As a result, the cloud cavitation shedding frequency is not accurately captured, resulting in an inadequate representation of vibrations and loading due to cloud cavitation.
The proposed design was a result of the insights gathered throughout four phases of the project: theory research, context research, co-creation, and research through Design. The effect of the product on creativity was measured with a predictor of creative performance—self-efficacy.","mind-wandering; cognition; creativity; research through design","en","master thesis","","","","","","https://filesender.surf.nl/?s=download&token=68e8a650-8a7c-42ca-adb6-5ae3bb6bf712 video file","","","","","","Design for Interaction","",""
"uuid:0a04bc7c-ac00-42c5-9d8a-0fc8c9242f79","http://resolver.tudelft.nl/uuid:0a04bc7c-ac00-42c5-9d8a-0fc8c9242f79","Hotspot formation in polymer-based lightweight Solarge PV modules","KODALI, RAHUL (TU Delft Electrical Engineering, Mathematics and Computer Science)","Manganiello, P. (mentor); van den Donker, Menno (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis presents experimental research on a phenomenon that has been widely observed in photovoltaic systems in recent years. Hot-spots, most commonly reported as areas of extreme temperature within PV modules, have been identified as a critical issue in the industry. This is primarily due to the fact that solar cells are subjected to various mismatches and time-varying stresses in real-world operation, affecting their long-term reliability. Moreover, hot-spots are mostly invisible to the naked eye and require special inspection such as EL and IR imaging for detection, making them challenging to solve. The use of low-temperature lamination techniques on the front sheet and encapsulation increases the risk of hot-spots within Solarge’s polymer-based lightweight modules, with permanent damage being observed after exceeding temperatures beyond 160°C. Therefore, robust design criteria were needed to pass the hot-spot certification test, which was developed keeping in mind the thermal limits of failure for the polymer module. This study provides an overview of contemporary cell technologies, encompassing Passivated Emitter and Rear Cell (PERC) and Interdigitated Back Contact (IBC), in the context of reverse bias characterization. The methodology involves comprehending hot-spot behavior across various configurations, beginning from single-cell laminates to strings, followed by module-level testing. The experiments include the assessment of various commonly used industry wafer sizes to evaluate cell breakdown properties and performance using IV curve analysis. Notably, two electrical processes contribute largely to the power dissipation in a solar cell in reverse: zener and avalanche breakdown. The investigation revealed cell breakdown voltages of -5.2V for IBC cells and -19.6V for PERC cells. These findings were consistent with values provided by manufacturers, reinforcing the widely accepted nature of the cells. The main objective of the investigation of a string or a module of cells in series without bypass diodes was to identify cells with lower performance, which increases the vulnerability to the emergence of hot-spots. The hot-spot endurance test was conducted on a standard polymer module, in accordance with the IEC 61215 guidelines, revealing essential parameters that significantly impact the resulting temperatures. A notable observation that emerged was the linear correlation between string length and power dissipation. This trend became evident when temperature values exceeded 165.84°C, accompanied by power dissipation of 150–200 watts and heat flux (Q˙) ranging from 0.52 to 0.69 W/cm2 for string lengths up to 24 cells in series. This led to irreparable damage to the module and the failure of the endurance test. Therefore, to pass the test, the string length was confined to less than 20 to stay below the thermal threshold. In addition, the findings included broader observations delving into intricate topics of hot-spot characteristics such as shape, frequency of occurrence, location, shading ratios, orientation, and various other relevant parameters. Hot-spot detection can be used to assess module health and enable proactive maintenance strategies. Understanding the phenomena of cell breakdown and power dissipation assists in the development of safer modules. Finally, this study aims to emphasize the importance of design considerations and offers the potential for optimized module architectures for the industry.","Hotspot; Partial Shading; PV Modules","en","master thesis","","","","","","","","2025-08-30","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:8f675f6b-ff18-4c7b-aa89-29eb5c3a90aa","http://resolver.tudelft.nl/uuid:8f675f6b-ff18-4c7b-aa89-29eb5c3a90aa","Eclipse: Creating a ritual for women to grief through reconnecting with their bodies after experiencing pregnancy loss","van Giffen, Jikke (TU Delft Industrial Design Engineering)","Sonneveld, M.H. (mentor); Brand-de Groot, S.C.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Pregnancy loss, a prevalent yet often hidden phenomenon, affects one in five pregnancies, triggering emotional challenges like depression and post-traumatic stress disorder in women. This research seeks to shed light on the unaddressed experiences of couples dealing with pregnancy loss and proposes a design solution to foster positive coping mechanisms. The overarching goal is to create tools that enhance the pregnancy loss journey for affected couples.
The research journey commences with an exploration of how partners navigate pregnancy loss individually and collectively. A comprehensive investigation incorporating literature study, expert consultations, and context-mapping sessions with five couples was conducted. The grief experienced both, as a couple and individually, was analysed, revealing the absence of established grieving patterns and rituals specific to pregnancy loss. Additionally, the dynamics and communication within romantic partnerships were impacted, highlighting the need for tailored coping strategies. Lastly, there seemed to be a difference between the perceived experience of loss between the pregnant and the non-pregnant partner, related to the physical act of being pregnant.
The absence of the physical component of pregnancy emerged as a distinct factor influencing the difference in the perceived experience of loss between partners. For the pregnant partner, the mind-body connection becomes disrupted, as a result of the emotional distress such as guilt, blame, and detachment. This disconnection also hindered effective communication between partners and their surroundings, necessitating the creation a design facilitating support through providing the space and the tools to reconnect to the physical body.
The subsequent design phase explored various mediums for achieving the design goal, ultimately culminating in the conception of Eclipse, a transformative theatrical ritual. This ritual consists of three sessions, each centred around a distinct theme, employing elements like warm water, hot stones, cocoons, experience stories, and humming singing to facilitate the reconnection process.
Throughout the design process, numerous evaluation activities were undertaken, including ideation sessions, prototyping, and expert consultations. Eclipse was evaluated through a trial with three experience experts, confirming its alignment with the established interaction qualities and design goal.
In reflection, this thesis not only challenges the conventional Western medical approach but also advocates for a more holistic consideration of mind-body connections. By incorporating non-traditional elements into the coping process, this research counters assumptions regarding the topic of spirituality in research. The envisioned future involves a society that actively listens to the narratives of pregnancy loss survivors, granting them the space and time to grieve without judgment. The now silenced experience stories will be heard.
In conclusion, this research journey navigates the uncharted territory of pregnancy loss experiences, unveiling the complexities faced by couples. The design solution, Eclipse, stands as a testament to the power of transformational rituals in facilitating the healing process. This work contributes to destigmatising pregnancy loss grief, fostering a compassionate and empathetic environment for individuals and couples on this challenging journey.","Rituals; Experience Design; Pregnancy loss; Miscarriage; Sensitive Design; Ritual of grief; spatial design; Aesthetic experience; Theatre","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:66d1f61a-4cd9-4690-affd-aa6aa3f43c50","http://resolver.tudelft.nl/uuid:66d1f61a-4cd9-4690-affd-aa6aa3f43c50","Noise Analysis for Biomolecular Signal Differentiators","Chen, Shuxin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Laurenti, L. (mentor); Mazo, M. (graduation committee); Boskos, D. (graduation committee); Jafarian, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Noise's impact on biochemical systems has long been a focal point of investigation, given its potential to compromise signal accuracy and disrupt system functionality. This paper conducts a comprehensive exploration into the noise characteristics within a set of signal differentiators recognized for their high precision. Noteworthy for their modularity, swift computation, and ease of implementation, these differentiators play a pivotal role in computing concentration changes and bear the potential to regulate the dynamics of biological systems.
This study establishes a comprehensive simulation framework to examine the noise characteristics of these differentiators across diverse input signal scenarios. Furthermore, we also apply noise suppression techniques such as noise filters to mitigate excessive noise and enhance noise performance.
Our findings reveal that these differentiators significantly amplify the system noise level, surpassing both the Poisson level and the original system noise level. Moreover, while noise filters demonstrate notable success in noise reduction, achieving Poisson-level noise without compromising signal integrity remains a challenge.
This investigation yields invaluable insights into the noise properties of biochemical differentiators, shedding light on their inherent limitations. Additionally, it presents a viable pathway to enhance noise behaviour, thereby extending the scope of applications for these differentiators.","noise; biochemical; differentiators","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:bd52894f-76fa-4cb2-803f-000d9ce0a136","http://resolver.tudelft.nl/uuid:bd52894f-76fa-4cb2-803f-000d9ce0a136","The impact of micro-cracks on Solarge lightweight PV module","Paul, Alonzo Joseph (TU Delft Electrical Engineering, Mathematics and Computer Science)","Manganiello, P. (mentor); Isabella, O. (graduation committee); van den Donker, Menno (mentor); Natarajan, Vignesh (mentor); Rivera, Sebastian (graduation committee); Delft University of Technology (degree granting institution)","2023","This study explores the effects and severity of microcracks within Solarge’s innovative lightweight polymer-based photovoltaic modules, aiming to recommend a grading criterion specific to the company’s product range. Unlike the traditional PV modules which predominantly employ a glass and aluminum frame, Solarge utilizes lightweight, fully recyclable polymers. The uniqueness of Solarge’s composition presents a novel landscape in terms of durability and degradation trajectories. A pivotal concern arises from the introduction of microcracks, which inherently diminish a PV module’s power output. Leveraging Solarge’s extensive database of current-voltage measurements and electroluminescence images, an initial analysis discerned the emergence of small diagonal and v-type cracks post-production, predominantly after the lamination process. Subsequent experimental methodologies, encompassing mechanical loading and temperature cycling on these modules, demonstrated the growth of these existing cracks into diverse and more complex formations. This evolution of stressors significantly compromised module performance. A categorization system classifying cracks into noncritical (Category 1), critical (Category 2), and very critical (Category 3) was developed, with associated power losses identified for each. Using linear regression, predictive models for crack progression in each category were established. The study culminated in the formulation of a grading criterion proposed to Solarge, aiming to refine their quality assurance.","Micro-cracks; Lightweight PV; Degradation","en","master thesis","","","","","","","","2025-08-29","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:bebb325d-b2b1-4088-a0fe-163dc50d60c2","http://resolver.tudelft.nl/uuid:bebb325d-b2b1-4088-a0fe-163dc50d60c2","Color Equivariant Object Detection: Integrating Color Equivariance into the Faster R-CNN Object Detection Architecture","Lieuw A Soe, Devin (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gemert, J.C. (mentor); Delft University of Technology (degree granting institution)","2023","This paper studies the effect of integrating color equivariance and invariance into object detection, in particular into the Faster R-CNN architecture. To better understand the influence of this integration, we introduce modifications to the traditional convolutional layers of the standard Faster R-CNN model. By employing group theory in a similar way as Group Equivariant Convolutional Networks (G-CNNs), we replace the convolution operations with operations that are equivariant to hue transformations. The modified models are tested on several different datasets in which variations and imbalances in color distributions are present. Our toy experiments demonstrate that the replacement of the convolutional layers can lead to significant improvements in performance, especially in scenarios where the data contains a substantial amount of color variation. The findings of this work suggest that incorporating color equivariance and invariance into the design of convolutional layers can enhance object detection, proposing interesting possibilities for future research on real-world tasks.","Object detection; Color equivariance; Color invariance","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:69786c4e-ed12-4301-9841-b1d3b24c4ef7","http://resolver.tudelft.nl/uuid:69786c4e-ed12-4301-9841-b1d3b24c4ef7","CardioSync: Heartbeat-Based BLE Synchronization for Batteryless IoT Devices","Senthil Kumar, Arunjunai Rajan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pawełczak, Przemysław (mentor); de Winkel, J. (mentor); Tömen, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","Batteryless Internet of Things (IoT) devices powered by energy harvesting enable sustainable and maintenance-free operation, but face challenges in achieving synchronised bidirectional communication between intermittently-powered nodes. This thesis presents CardioSync, a novel framework that leverages the human heartbeat as a shared clock to synchronise Bluetooth Low Energy (BLE) connections between battery less devices. CardioSync integrates a low-power optical heart rate sensor to capture real-time heartbeat data. A peak detection algorithm identifies distinct heart rate peaks, establishing synchronisation points for connection-setup events. By scheduling BLE advertising and scanning activities timed with detected peaks, CardioSync aligns connection attempts between intermittently-powered devices. This heartbeat-based synchronisation is integrated into the existing FreeBie architecture for intermittent BLE communication. Experimental evaluations demonstrate CardioSync's ability to successfully establish synchronised connections between batteryless nodes, reducing average connection setup time by up to 1.8x compared to an asynchronous FreeBie system. However, these gains incurred increased power consumption due to the integrated sensor. CardioSync enhances FreeBie's capabilities, enabling efficient intermittent-to-intermittent BLE connections. The proposed technique shows promise for advancing body sensor networks through sustainable and maintenance-free operation. Further work should optimise sensor utilisation and explore adaptive synchronisation to improve energy efficiency.","bidirectional; intermittency; battery-free; heart rate monitor; synchronisation","en","master thesis","","","","","","","","2024-09-01","","","","Electrical Engineering | Embedded Systems","",""
"uuid:f1f9b8b6-8536-4a38-91c8-86621386380e","http://resolver.tudelft.nl/uuid:f1f9b8b6-8536-4a38-91c8-86621386380e","Cryogenic Digital-Analog Converter for Two-Qubit Gates in Spin Qubits","Polat, Ilker (TU Delft Electrical Engineering, Mathematics and Computer Science)","Sebastiano, F. (mentor); Delft University of Technology (degree granting institution)","2023","br/>As the number of qubits increases, controlling qubits at cryogenic temperatures with electronics at room temperature becomes infeasible due to the vast number of cabling. This necessitates controlling quantum operations on the qubits with a cryogenic interface, which is low power, scalable and maintains qubit fidelity.
In pursuit of this interface, this thesis investigates the two-qubit DAC specifications of both the adiabatic and nonadiabatic CPHASE gate. The DAC specifications are identified using simulation models of spin qubits. The adiabatic signal requirements are found to be lower than the nonadiabatic signals in both sampling frequency and quantization resolution. A new method using spectral analysis on the unitary of the adiabatic operation is used to infer the minimum sampling frequency by applying the Nyquist Criterion and shows why they lose their fidelity as gate time decreases.
A novel two-stage current-switching DAC architecture is proposed, which maintains fidelity operating at 140MHz sampling rate with less than 75 uW of power consumption. The first 6-bit stage maintains CPHASE fidelity for different qubit pairs, while the second 5-bit stage creates the adiabatic pulse. Finally, the DAC is implemented in the Intel 16-nm finFET process.
Efforts to develop dynamic collision avoidance techniques in unknown environments have proliferated in recent years. While these methods exhibit impressive and reliable performance in simpler environments, their efficacy in more challenging settings remains an area ripe for enhancement. The difficulty of these environments arises from a multitude of factors, and currently, no standardized approach exists to quantify this complexity. Additionally, to fairly compare different dynamic collision avoidance strategies, it's essential to assess them in environments with a similar degree of difficulty. Therefore, devising a metric capable of accurately gauging the intricacy of dynamic environments becomes imperative.
Building on this context, this master's thesis endeavors to fill this critical gap through three contributions: 1) The establishment and validation of map difficulty metrics that represent the difficulty of dynamic environments, 2) The introduction of a robust benchmarking pipeline to critically validate the representativeness of the proposed metrics and evaluate various collision avoidance strategies, and 3) The provision of a framework for comparative analysis of different planning strategies, utilizing the introduced map difficulty metric.
The proposed survivability metric effectively captures environmental complexity. Its validity is evidenced by a notable correlation with the success rates of typical collision avoidance methods, with over 1.7 million collision avoidance trials on over six hundred maps, securing a Spearman's Rank correlation coefficient (SRCC) of over 0.9. This metric serves as an indispensable tool for facilitating fair comparisons in this dynamic research domain. More importantly, it offers valuable insights for the future refinement and improvement of dynamic collision avoidance strategies, making a contribution to the continuous advancement of autonomous systems.","Dynamic Collision Avoidance; Benchmark Study; Measurement","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:e8f11bd7-4441-4866-bdae-aaf9bab6744c","http://resolver.tudelft.nl/uuid:e8f11bd7-4441-4866-bdae-aaf9bab6744c","Neural Network for Temperature Monitoring Deployed on a Low-power CubeSat Onboard Computer","Maununen, Rudolf (TU Delft Aerospace Engineering)","Speretta, S. (mentor); Delft University of Technology (degree granting institution)","2023","Multiple in-space experiments with deep learning have shown promising results in applying deep neural networks for automation of satellite fault detection tasks. However, the deployment of such neural networks on small satellites with low-power onboard computers is hindered by the highly limited computational resources of these devices. In this thesis, an effort has been made to create a lightweight neural network solution for satellite temperature monitoring, to explore whether such networks can improve the quality of fault detection even when deployed on low-power devices. The designed neural network solution tested on the MSP432P401R microcontroller uses only 29.5 kB of RAM and 65.2 kB of flash memory and can detect small-sized deviations in the satellite temperature sensor readings long before they grow into anomalies that exceed the safe operating range.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:0357e3a1-d705-49ec-a6df-99c650776ddf","http://resolver.tudelft.nl/uuid:0357e3a1-d705-49ec-a6df-99c650776ddf","Hybrid Beamforming Zero-Crossing-Based Noise-Shaping SAR ADC for Ultrasound Imaging","Dai, Jie (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pertijs, M.A.P. (mentor); Delft University of Technology (degree granting institution)","2023","In ultrasound imaging systems, a charge-sharing successive-approximation-register (SAR) ADC has benefits over a charge-redistribution SAR because the charge-sharing SAR is organically compatible with the subarray beamformer which is deployed to reduce the large channel count. Besides, the noise-shaping (NS) SAR is a promising candidate for developing an ADC with high resolution and power efficiency for the next generation of ultrasound imaging systems. However, most of the published NS SARs are based on charge-redistribution SARs.
This thesis presents a hybrid beamforming zero-crossing-based (ZCB) NS SAR for ultrasound imaging. By reusing the zero-crossing-based circuitry in a hybrid SAR/single-slope (SS) ADC to build up a second-order error-feedback (EF) loop filter, a multi-stage noise-shaping (MASH) 2-0 architecture is formed. Thereby, the noise-shaping characteristic is successfully integrated into a beamforming charge-sharing SAR.
The hybrid beamforming ZCB NS SAR is implemented by 180 nm BCD technology. The ADC achieves an SNDR of 72.9 dB within the bandwidth of interest ranging from 3 MHz to 5 MHz. The power consumption per channel is 1.42 mW at the sampling rate of 24 MHz. The ADC achieves a Schreier figure-of merit (FOMs) of 164.39 dB which is comparable with the prior work using the same technology node. The estimated area of the ADC core including 2 channels is 0.156 mm².
Flume experiments were conducted to measure the influence of bivalve shells on the threshold of motion of sand grains and current-driven bed load transport. The sediment-shell bed composition was altered in both experiments by varying the volumetric percentage of shell content. The bed compositions consisted of two distinct bivalve shell species: Ensis leei (elongated) and Spisula subtruncata (rounded) bivalve shell species.
It is proposed that the presence of shells initially disrupts the flow, leading to an increase in turbulence intensities. As shell content increases, a second effect becomes increasingly prevalent, which is sediment stabilization. The smaller sediment grains are hidden from the flow by larger, more exposed shell valves and shell fragments. Consequently, a higher bed shear stress is needed to mobilize the sand grains. The relative importance of these processes varies depending on shell content, shell species, and the potential of the shell to enhance turbulence intensities. The elongated shape of the E. leei bivalve shell enhanced turbulence intensities significantly and thereby influencing the threshold for sand grain motion. Bed load transport rates are reduced with increasing shell content. Initially, at a shell content of 10%, the rates only decreased marginally compared to a situation without shells, and no clear difference between shell species was observed. At a shell content of 20%, bed load transport rates decreased further. Thus, when predicting sediment transport, considering the presence of shells alone is insufficient, and consideration of shell shape and size is crucial.","Sediment; Shells; Bivalve shells; Bed load transport; Flume; Experiment; Experimental research; Sediment transport; Threshold of motion; Transport predictor","en","master thesis","","","","","","","","2024-08-30","","","","Civil Engineering | Hydraulic Engineering | Coastal Engineering","",""
"uuid:b485934f-eabe-48d8-a571-0b9d91505846","http://resolver.tudelft.nl/uuid:b485934f-eabe-48d8-a571-0b9d91505846","Cross-View Camera Pose Estimation by Matching Local Features in 3D","Voloshyn, Sviatoslav (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Intelligent Vehicles; TU Delft Cognitive Robotics)","Xia, Z. (mentor); Kooij, J.F.P. (mentor); Gavrila, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","This work addresses visual localization of intelligent vehicles as an alternative to traditional GPS- of HD map-based localization options. Specifically, the problem of Cross-View Pose Estimation (CVPE) is explored, which involves estimating the vehicle pose within an encompassing aerial patch, given a ground image from the on-board camera feed. The aerial patch containing the ground truth pose can be obtained through a rough localization prior, such as GPS. We find that existing CVPE methods start with a location prior that is too coarse given both the GPS performance and the required localization error. Therefore, we define a fine-grained localization setting and propose three approaches, targeting performance, interpretability, and data efficiency. Furthermore, the approaches have a unique capacity to predict a 6-DoF camera pose. Two approaches involve matching point-level local features in 3D space using a novel point cross-attention, while the last one aims to tailor an existing dense feature matching method to the fine-grained setting. Despite quantitative performance of the local feature matching approaches being inferior to the state-of-the-art, we establish a new state-of-the-art on the fine-grained setting with the improved dense-feature baseline. Nevertheless, we show the key limitations of the local feature matching, namely the influence of the “unmatchable” queries. Furthermore, using a 6-DoF projective transformation we discover severe issues with the ground truth quality on the KITTI dataset, commonly used in CVPE literature, potentially accounting to the large degree to the substandard performance of most available CVPE methods. Finally, our local feature matching methods demonstrate the capability of predicting pitch and roll angles of the camera, estimating which has not yet been attempted in CVPE.","cross-view matching; vehicle localization; visual localization; Fine-Grained; KITTI dataset; autonomous vehicles","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:ced33477-c5ce-421a-a68a-9a93b2e8f07d","http://resolver.tudelft.nl/uuid:ced33477-c5ce-421a-a68a-9a93b2e8f07d","One model, denoise them all!: A Comprehensive Investigation of Denoising Transfer Learning","Mullaj, Dajt (TU Delft Electrical Engineering, Mathematics and Computer Science)","Kayhan, O.S. (mentor); van Gemert, J.C. (mentor); de Weerdt, M.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Deep convolutional neural networks (CNNs) have achieved current state-of-the-art in image denoising, but require large datasets for training. Their performance remains limited on smaller real-noise datasets. In this paper, we investigate robust deep learning denoising using transfer learning. We explore the impact of dataset sizes, CNN parameter updates, and noise distribution similarity. Our findings demonstrate that finetuning the decoder while fixing the encoder of an encoder-decoder network architecture avoids overfitting during transfer learning. Moreover, we introduce the concept of noise similarity in transfer learning, showing that reducing the similarity distance between pre-training and finetuning noise significantly enhances CNN performance in denoising. Our results are demonstrated on multiple datasets and various noise camera models. Finally, we validate the robustness and applicability of our approach on real-noise images. All our results and analyses hold and generalize across different datasets, highlighting our insights into the potential of transfer learning for image denoising.","Image denoising; transfer learning; CNN","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:4dddec27-ccc4-4652-8e46-0d322bfa73fb","http://resolver.tudelft.nl/uuid:4dddec27-ccc4-4652-8e46-0d322bfa73fb","Modelling and optimization of insertion maneuvers at Mars","Riti, Cristina (TU Delft Aerospace Engineering)","Noomen, R. (mentor); Delft University of Technology (degree granting institution)","2023","Insertion maneuvers are used to move a spacecraft from an open orbit (parabolic or hyperbolic) into a closed orbit around a target body. These maneuvers are key components in any space mission considering orbiting a body for a large amount of time, for exploration or landing; the hyperbolic orbit will be the one that will be used to transfer between Earth and the target, while the closed orbit will be the one on which the spacecraft will station. In preliminary mission studies, insertion maneuvers are often assumed as being performed at pericenter, and with the two velocity vectors (before and after the maneuver) having the same direction. However, this method does not account for the relative orientation of the two orbits, which are often constrained by separate optimization studies, which may not grant the necessary conditions for a tangential insertion. This study aims to provide a simple method to perform preliminary studies on insertion maneuvers, while ensuring the continuity between the two trajectories, even when those are subject to shape or orientation requirements. The objective is to optimize the insertion maneuver for a crewed mission to Mars, and via this case study gain insight in the best maneuver available (instead of assuming a pericenter, tangential insertion), as well as the best shape and orientation of the trajectories before and after the maneuver.","insertion maneuver; Astrodynamics; Mars; maneuver; insertion; Trajectory Design","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:c7b42f04-dad9-405e-a740-2fb78b5c44c2","http://resolver.tudelft.nl/uuid:c7b42f04-dad9-405e-a740-2fb78b5c44c2","Development of an Eulerian multiphase CFD model for simulating a liquid organic hydrogen carrier dehydrogenation reactor","Yañez Diaz, Daniel (TU Delft Mechanical, Maritime and Materials Engineering)","Padding, J.T. (mentor); Leenders, Wiard (graduation committee); Van Rheenen, E.S. (graduation committee); Hinderink, E.B.A. (graduation committee); Nijssen, Tim M.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Hydrogen has emerged as a promising candidate for energy storage, offering an alternative to fossil fuels as a primary fuel source. It can be safely stored in a liquid organic hydrogen carrier (LOHC) and recovered upon demand through the reversible hydrogenation/dehydrogenation of the LOHC. Voyex, a technology-driven startup, is developing a novel LOHC that can be loaded onto ships and dehydrogenated onboard, providing hydrogen for ship propulsion as an alternative to fossil fuels. The successful implementation of this new technology requires a dehydrogenation reactor that may provide the conditions necessary for LOHC dehydrogenation to meet the ship's power demand.
This work develops a computational fluid dynamics (CFD) model to simulate the LOHC dehydrogenation reaction within a cocurrent upward-flow fixed-bed reactor. While lab-scale studies have examined the effect of operating conditions on the dehydrogenation of specific LOHCs, only a few works have studied LOHC dehydrogenation reactors and the inner flow details have yet to be understood. A CFD model will allow for a better understating of the flow, temperature, and species distribution within the reactor, and help gain more insight into the design and operating parameters on the reactor performance as measured by conversion and hydrogen yield.
This thesis delves into the distinct phenomena at play within an LOHC dehydrogenation reactor including hydrodynamics, heat transfer, species transport, and reaction kinetics, discussing ways to incorporate them into a numerical model. These effects are brought together in an Eulerian multiphase CFD model, as this approach is found to provide a good balance between modelling accuracy and computational demand.
The result of this research is a computationally inexpensive Eulerian multiphase CFD model capable of adapting to various LOHC systems and reactor configurations. Through multiple sensitivity analyses, the impact of design variables including reactor dimensions, temperature, flow rate, and catalyst pellet diameter on reactor performance is explored. These analyses yield valuable insights and design parameters to enhance reactor efficiency. Additionally, these analyses lead to several design improvement proposals such as raising the reactor inlet temperature, using a low inlet LOHC mass flux, incorporating gas-liquid separation methods, adding internal heating mechanisms, and employing internal baffles to disrupt flow and enhance heat transfer. The findings underscore potential for reactor design improvements, thereby proving the CFD model as a research and design tool for packed-bed multiphase reactors.","Eulerian multiphase CFD model; LOHC; Packed bed reactor","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:06f8137d-cf06-4cd9-93c3-c6401e2ca9fe","http://resolver.tudelft.nl/uuid:06f8137d-cf06-4cd9-93c3-c6401e2ca9fe","Sub-micrometre texturing of silicon-heterojunction bottom cell for Perovskite-Silicon tandem application","Pusapati, Narasimha Raju (TU Delft Electrical Engineering, Mathematics and Computer Science)","Isabella, O. (mentor); Zhao, Y. (mentor); Kovačević, K. (mentor); Delft University of Technology (degree granting institution)","2023","The photovoltaic (PV) market is currently dominated by crystalline silicon (c-Si) technology, which accounts for 95% of the production. However, the record single-junction c-Si solar cell has achieved a record efficiency of 26.81% approaching the theoretical efficiency limit of 29.43%. In an effort to overcome this barrier, multi-junction devices are being investigated, and perovskite/Si tandem technology is attracting a lot of interest. This is because it is compatible with well-established c-Si technology and is comparatively less expensive. Recently, two-terminal (2T) monolithic tandem devices with a c-Si bottom cell and a perovskite top cell have achieved an impressive 33.7% efficiency. By utilizing a solution-based process it allows for fabricating high-quality perovskite layers. However, problems develop when the bottom cell’s light trapping features exceed the thickness of the perovskite layer. To ensure uniform coverage of high-quality perovskite layer, the light trapping features must be lowered to sub-micrometre (< 1 μm) height.
This thesis investigates three methods for creating sub-micron features on the c-Si bottom cell. The first two approaches include wet-chemical processing from the top-down and bottom-up techniques. Using a poly-Si etch solution, the top-down approach aims to lower the height of large surface features from 3-5 μm to less than 1 μm. This method resulted in substantial reflection losses and poor passivation due to surface-induced roughness, even though the peak height was lowered to 0.9 μm. In order to tackle this issue, an additive was added to the mixture, producing a morphology with a 1.1 μm lower peak height, compared to an initial peak height of 3 μm. Further, the passivation has seen a two-fold increase in minority carrier lifetime. This increase is attributed to the reduced surface roughness that was inspected through SEM images. However, the surface still contained nano-scale features whose origination could be related to the cleaning procedure followed or the (i)a-Si:H layer growth. The second method is a bottom-up approach and uses KOH, K2SiO3, and a surface additive to create sub-micron features on a flat Siwafer. Once the chemical concentrationswere optimized, the peak height was effectively lowered to less than 0.8 μm at an F-ratio of F = 2.0. But, this experiment is conducted at a temperature of 80◦ C. Many non-uniformities in pyramid distribution were observed as well as nano-scale roughness for F-ratio of F=0. This seemed to be resolved with better pyramid homogeneity and reduced nano-scale roughness by lowering the temperature to 70◦ C. While, maintaining a peak height below 0.8 μm at F=2.0. Moreover, this approach also exhibited lower reflection losses which is close to reflection observed from large pyramidal features (˜3μm).
The last method includes the use of lithography to produce 2D periodic inverted nano pyramids. This process flow showed that patterns with critical dimensions close to 100 nm may be transferred using high-throughput nano-imprinting lithography. By using a much rigid soft mold that did not showcase any pattern distortion. However, the limitations in mask opening created issues with the nano-pyramid formation. This further requires the optimization of suitable hard mask layers. To sum up, these methods demonstrate the possibility of producing effective sub-micron features, which is promising for perovskite/Si tandem technological advancements.","","en","master thesis","","","","","","","","2024-08-31","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:8e092208-4aa4-4743-bac0-0c4919d81fbb","http://resolver.tudelft.nl/uuid:8e092208-4aa4-4743-bac0-0c4919d81fbb","Skinning of a musculoskeletal model and a feasibility study to apply pixel loss refinement to optimize joint angles","Veeravalli Hari, Akshath Ram (TU Delft Mechanical, Maritime and Materials Engineering)","van der Kruk, E. (mentor); Seth, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In biomechanics, human movement studies are carried out to assess the subject’s kinematic and kinetic variables for a healthy gait. Currently, marker-based systems are the standardized method to extract the kinematic variables of subjects. The marker-based systems pose some serious challenges like cost and portability, and the calibration and synchronization of multiple cameras and sensors are among the other practical challenges. The AI technique often referred as markerless pose estimation methods can overcome these challenges and aid biomechanists and clinicians. Thus, there is a need to develop new deep-learning models that can regress the musculoskeletal model directly from images and videos. However, the deep-learning models are dependent on the quality and quantity of training data. In the current scenario, training data for markerless pose estimation are dependent on the redundant marker-based systems and the challenges persist. To aid this, it is necessary to create a statistical human model or a skinned human animated motion from a biomechanical model to build more training data. From the skinned virtual data consisting of realistic movements, deep-learning models can be trained. Therefore, the aim of the research was to build a pipeline to develop a human-animated model from a musculoskeletal model i.e., the OpenSim model. Two different motions such as walking and running are illustrated as qualitative results. The gait pattern for walking and running motions are realistic from both the frontal and sagittal planes. Furthermore, the deep learning model (D3KE) built by Marian et. al was also evaluated on the animated human motions eg. walking motion from the above pipeline to validate the model. The performance of D3KE is evaluated from different planes of camera views and also a comparison between the upper and lower extremities. The evaluation and comparison are based on two metrics MAEangles (Mean Absolute Error of angles, in radians) and MPBLPE (Mean Per Bony Landmark Position Error, in cm). The MAEangles and MPBLPE are better when observed from the frontal plane rather than from the sagittal plane as the plane of view. Also, the joint angles in the upper extremity show better results compared to the lower extremity. Although, the predictions of the joint angles are way off from the ground truth. This opens the way to perform a feasibility study to optimize joint angles by a pixel loss refinement technique. The findings and remarks on the pixel-loss refinement is tabulated as results.","Markerless Motion Capture; D3KE; OpenSim; Musculoskeletal Modeling; Pixel-Loss refinement","en","master thesis","","","","","","","","","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:e95ad296-7666-4d87-888d-47c4c1d8e15b","http://resolver.tudelft.nl/uuid:e95ad296-7666-4d87-888d-47c4c1d8e15b","Unlocking the Potential of Document Recovery in Injection Attacks against SSE: Inject Less, Recover More","Zhang, Manning (TU Delft Electrical Engineering, Mathematics and Computer Science)","Liang, K. (mentor); Shi, Z. (mentor); Smaragdakis, G. (graduation committee); Decouchant, Jérémie (graduation committee); Delft University of Technology (degree granting institution)","2023","Searchable symmetric encryption (SSE) is an encryption scheme that allows a single user to perform searches over an encrypted dataset. The advent of dynamic SSE has further enhanced this scheme by enabling updates to the encrypted dataset, such as insertions and deletions. In dynamic SSE, attackers have employed file injection attacks, initially proposed by Cash et al. (CCS 2015), to obtain sensitive information. These attacks have shown impressive performance with 100% accuracy and no prior knowledge requirement. However, they fail to recover queries with underlying keywords not present in the injected files. To address these limitations, our research introduces a novel attack strategy that incorporates the idea of inference attacks relying on uniqueness in leakage patterns. The goal is to achieve an amplified effect in query recovery. Additionally, we propose a keyword classification based on their access patterns, which helps identify the current limitation of query recovery in reference attacks. With our proposed attack, we demonstrate a minimum query recovery rate of 1.3 queries per injected keyword with a 10% data leakage of real-life datasets. Furthermore, our findings initiate further research to overcome challenges associated with non-distinctive keywords faced by inference attacks.","Searchable Encryption; Attack; leakage; Access pattern","en","master thesis","","","","","","","","","","","","Computer Science | Cyber Security","",""
"uuid:70f2c9a4-f6ee-4780-ad22-3c14d6840658","http://resolver.tudelft.nl/uuid:70f2c9a4-f6ee-4780-ad22-3c14d6840658","Assessment and analysis of hook mounted compensation methods for offshore wind turbine blade installation","van der Bend, Marius (TU Delft Mechanical, Maritime and Materials Engineering)","Reppa, V. (mentor); Feith, Rick (mentor); Stofregen, Matthijs (graduation committee); Jovanova, J. (graduation committee); Schreier, S. (graduation committee); Lavidas, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","During single-blade offshore wind turbine (OWT) installation, wind disturbance results in blade root motion. The sensitivity to this wind disturbance is more significant for larger blades, reducing the allowable installation weather limit. A potential solution is a Hook Mounted Compensator (HMC) between the crane and the load that can provide high-precision compensation across multiple degree of freedom (DOF), and compensate for the influence of wind on the OWT blade. Several Actuation Method (AM)s have been identified that can be used in a HMC. However, these AMs have not been modelled dynamically and their effectiveness for the desired application is unknown. The aim of this study is to analyse and assess the compensation effectiveness of these AMs in a HMC. For this purpose, the AMs are simulated and analysed in various levels of complexity and DOFs. Initially, the system is considered in a 1DOF. A linear representation is formulated, based on which a Proportional-Integral-Derivative (PID) controller for each AM is formulated. This enables simulation of the Single-Blade Installation System (SBIS) in the time domain. Assessment criteria are used to quantify the compensation effectiveness and actuation input of the AMs. To simulate the SBIS for each AM, 3DOF numerical models are developed that describe the operation of the AMs in their respective operational planes. Six actuation methods are included in the assessment. Based on the assessment results, three AMs are combined in a HMC concept. A XY table is used to control blade root position in the x- and y-directions, gyroscopes for the z-direction, and COG shifting with counterweight to prevent gyroscope saturation. The PID controllers based on the linear 1DOF representation are used, operating in parallel, to control the blade root position in all DOF. The blade root motion is reduced by 96% along the blade axis and 86% radially. The blade root motion is sensitive to the mean wind speed, turbulence intensity, and angle of the incoming wind. The HMC shows robustness to variations in tugger line angle and pretension. The static blade pitch angle affects the magnitude and distribution of the wind-induced moment on the blade. For a pitch angle of -180, the moment around the z-axis is minimal, providing optimal HMC performance. The HMC concept can not directly actuate the blade around the z-axis. Instead, the XY table translates the blade at the COG, resulting in increased cylinder stroke and high power consumption for other pitch angles. Two AMs were assessed to actuate the blade around the z-axis, both showing poor performance. Further exploration of AMS that can directly control the blade rotation around the z-axis could lead to improvement of the HMC concept. Additional improvement can be made in optimization of counterweight and gyroscopes sizes, potentially reducing weight and improving system performance. Further suggestions for future work include; exploring the compensating for the now neglected hub motion using the HMC, examining the stability of the HMC once the blade is mated to the hub, and the impact of sensor noise and delay on the HMC’s performance.
The AI Act covers various AI applications, including machine learning, logical, statistical, and knowledge-based approaches. It provides a classification framework based on the purpose and risks posed by AI applications: Prohibited/Unacceptable risk, High-Risk, Limited-Risk, and Minimal/No risk. However, there are concerns about the clarity of the classification criteria mentioned in the AI Act. Some AI systems may fall into multiple classifications, leading to ambiguity. For example, a social robot used in patient treatment could be classified as High-Risk or Limited-Risk. This ambiguity is also observed in classifying AI systems in enterprise functions, where 40{\%} of the classifications remain unclear.
Therefore, these challenges provide an opportunity to improve the classification process of AI systems under the AI Act, facilitating the classification process and accommodating emerging AI technologies. The main research question addressed in this thesis is: \textbf{""To what extent can the process of AI systems classification under the AI Act be improved?""}
The research focuses specifically on AI systems classification. It explores specific provisions of the AI Act, including Prohibited Risk, Classification Rules for High-Risk AI systems, Transparency Obligations, and Annexes II and III.
To achieve the objective of improving the classification accuracy of AI systems based on the AI Act, the study adopts the Design Science Methodology. This methodology involves systematically studying existing AI systems classifications and challenges, extracting themes to develop a framework, and evaluating the framework through feedback from AI experts.
A decision tree is designed as the proposed framework. It is evaluated on 16 respondents from two different backgrounds: legal and non-legal. In order to obtain comprehensive insights, the evaluation is designed to incorporate an experiment where respondents are tasked to classify AI systems to the risk level with the AI Act only. Then in the second experiment, they have to classify AI systems using the proposed decision tree framework. It is important to note that the study acknowledges the possibility of overestimating or underestimating respondents' ability to classify AI systems due to their diverse backgrounds and levels of understanding of the AI Act. Furthermore, a semi-structured interview is conducted to strengthen the analysis.
Based on the evaluation, the decision tree's performance revealed higher accuracy than the classification approach without the decision tree. However, the overall accuracy remained low, indicating room for improvement. Challenges identified include the need for additional context and understanding of terms, definitions, and examples in the decision tree and the potential for misclassification due to vague definitions and assumptions. Respondents also expressed the need for more detailed information about AI system use cases to improve classification accuracy.
The decision tree's performance varied between obvious and non-obvious use cases, with non-obvious cases presenting challenges in accurate classification. The accuracy for obvious cases was higher, highlighting the difficulty of distinguishing between High-Risk and Unacceptable Risk categories. Lack of clarity in terms and definitions and limited contextual information contributed to the challenges faced in classifying non-obvious cases.
Legal experts demonstrated higher accuracy than non-legal respondents, indicating familiarity with legal terminology and the AI Act. However, legal and non-legal respondents encountered difficulties classifying non-obvious cases, emphasizing the need for clearer frameworks and tools to enhance clarity and streamline the classification process. Greater clarity in the AI Act and an interdisciplinary approach were recommended to address these challenges and facilitate understanding of the risks associated with AI systems.
Based on the analysis, several areas for improving AI systems classification under the AI Act have been identified. The current classification process faces challenges related to ambiguities in definitions, lack of contextual information, and difficulties in distinguishing between different risk levels.
To address these challenges and enhance the classification process, it is recommended to introduce clearer guidelines and refine the decision tree used for classification. The decision tree should incorporate additional criteria and features that provide more clarity and context. It is important to consider biases, subjective interpretations, clarity, and the dynamic nature of AI technologies in these improvements.
The study has certain limitations. The small sample size of respondents may impact the generalizability of the findings. The number of participants might not be representative of the entire population. Additionally, the limited number of use cases utilized in the research may limit the comprehensiveness of the classification framework. The study is based on the latest amendment of a policy proposal, and there is a potential for changes in the regulation's details, which may affect the effectiveness of the results. Finally, potential biases may exist in the development of the research, such as in making the decision tree and selecting the use cases.
Future research should explore the continuity of the decision tree's performance over time and its evaluation. There should be more research on non-obvious cases in specific domains or industries. It is crucial to focus on potential issues in classifying certain risk levels in the AI Act that hinder classification accuracy. Understanding the differences between legal and non-legal perspectives on the AI Act is also important to establish standardized understanding among stakeholders. Additionally, conducting quantitative research with larger and more diverse respondents from industrial backgrounds can further evaluate the proposed framework.","","en","master thesis","","","","","","","","2024-08-30","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:f0e9fc14-3555-4dd3-a41f-5c17bf1befcb","http://resolver.tudelft.nl/uuid:f0e9fc14-3555-4dd3-a41f-5c17bf1befcb","Eco-Urban Futures: A More-than-human Approach to Multi-Agent Simulation for the Digital Twin of Urban Forests","Nam, Seowoo (TU Delft Industrial Design Engineering)","Giaccardi, Elisa (mentor); Nicenboim, I. (graduation committee); Ozel, Bulent (graduation committee); Delft University of Technology (degree granting institution)","2023","Urban forests are now digitalized as smart green infrastructures to mitigate environmental change. Cities are proactively planting and replacing trees considering their future ecosystem benefits, such as carbon sequestration, urban heat reduction, and water retention. Digital twin simulation is a key technology enabling this particular mode of governance, which generates future scenarios under various management schemes. These data inform decisions on tree selection and placement, thereby shaping forests into uniquely efficient and responsive urban organisms. Despite its positive prospects, however, the underlying ontologies of these digital forests are rarely questioned, often lacking consideration for the flora and fauna inhabiting the forests. The models built upon anthropocentric biases and values pose a risk of selectively consolidating futures where the forests are optimized only for humans, which does not always guarantee the same good future for multi-species.
Acknowledging that humans coexist with other forms of life, Eco-Urban Futures takes a more-than-human approach in designing the computational model and a simulation platform. The notion of more-than-human bodies was constructed as a strong concept to explore alternative modes of making sense of and acting upon data toward more-than-human forest governance. The designed interface enables users to navigate the forest data across diverse temporal, spatial, and agential scales, thereby urging policymakers, urban planners, and citizens to reimagine healthier futures for us-with-the-forest.","More-than-human design; Multi-Agent Simulation; Urban forest","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:45a3bd5f-6861-4ca4-970f-be20294e9088","http://resolver.tudelft.nl/uuid:45a3bd5f-6861-4ca4-970f-be20294e9088","The Language of Reflection: A Linguistic Exploration of Reflection Written by Design Students","Kulkarni, Nupura (TU Delft Industrial Design Engineering)","Lloyd, P.A. (mentor); Chandrasegaran, R.S.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Reflective writing plays a vital role in the development of designers, enabling them to evaluate their experiences, enhance their learning, and foster professional growth. However, traditional methods of assessing reflective writing have limitations in terms of reliability and objectivity. To address this gap, this research project aims to analyze the reflections of design students in the Design Theory and Methodology (DTM) course using linguistic analysis. By exploring the linguistic elements and patterns within these reflections, the study seeks to facilitate a comprehensive analysis to understand and assess students’ reflective practices (Ullmann, 2019; Tausczik & Pennebaker, 2009).
The research project utilizes the Linguistic Inquiry and Word Count (LIWC) tool for linguistic analysis. This tool allows for the examination of linguistic patterns, word categories, and cognitive processes present in the reflective writings of design students (Tausczik & Pennebaker, 2009). Building upon Ullmann’s model of reflection detection, which encompasses eight categories (components) including experience, belief, difficulty, perspective, feeling, learning, intention, and descriptive (Ullmann, 2019), the study provides a comprehensive framework for evaluating reflective writing and serves as a basis for the analysis.
The key insights of this explorative research project are twofold. Firstly, the analysis of LIWC categories reveals that specific linguistic features are associated with different components of reflection. The study identifies two types of high-quality reflections: “Holistic narrators” that emphasize personal experiences, learning, intention, and positive outcomes, and “In-depth explorers” that focus on critical assessment, multiple perspectives, and descriptive text. These findings shed light on the diverse ways in which individuals engage in reflective writing and highlight the multidimensional nature of high-quality reflections.
Secondly, the research project explores the feasibility of using LIWC analysis as a tool for identifying high-quality reflections. While LIWC analysis provides valuable insights into certain linguistic features associated with reflection components, it has limitations in capturing the complexity and nuances required for accurate grading. Therefore, a comprehensive and multi-dimensional approach that integrates quantitative measures with qualitative assessments, expert judgment, and a well-defined rubric is crucial for a robust evaluation of reflection quality.
The implications of this research project are significant for design researchers and education. The identification of specific linguistic features associated with high-quality reflections can guide the development of interventions and instructional strategies aimed at fostering critical thinking skills, self-reflection, and diverse perspectives among design students. Additionally, the insights gained from this research can inform the development of automated tools or natural language processing techniques to assist educators in assessing and providing feedback on reflections, thereby enhancing the efficiency and effectiveness of reflective practice in educational settings.
In conclusion, this research project contributes to our understanding of reflective writing in the context of design. By analyzing the linguistic elements and patterns within the reflections of design students, the study provides insights into their reflective practices. The findings highlight the diverse nature of reflective writing and emphasize the need for a comprehensive assessment approach that considers contextual factors, qualitative aspects, and individual variations in reflection quality. The implications of this research extend to the development of instructional strategies and automated assessment tools, promoting the growth and development of design students’ reflective skills.
A straightforward, effective, and computationally fast backprojection imaging method is proposed that provides an indication of the regions in a given volume of cardiac tissue that exhibit prominent irregular atrial activity. The chosen volume is subdivided into non-overlapping voxels, and the standard electrogram signal model is discretized to a matrix multiplication form. The inverse problem of reconstructing the transmembrane current distribution in the volume is solved using the epicardial electrogram readings obtained from the surface and the inverse distances matrix containing the inverse of the distances from each electrode to each voxel within the volume domain. The generated backprojection images are visualized to estimate the location (x and y coordinate information) and depth of irregular atrial activity in the volume. To improve the accuracy of localization while maintaining the computationally fast nature of the proposed solution, Singular Value decomposition (SVD) of the inverse distances matrix is proposed for the transmembrane current reconstruction. The obtained visual estimates can guide ablation procedures, making them more targeted, and reducing the need for repeat ablation procedures due to AF recurrence.
To address the uncertainty of future patient characteristics, we consider the surgery durations and the downstream to the nursing wards in a probabilistic manner. For the latter, we follow the approach of Schneider et al. (2020). For the first aspect, we devised a column generation based approach in which, assuming that individual surgery durations follow a log-normal distribution, we employ the
Fenton-Wilkinson method to estimate the distribution of the total sum of individual surgery durations. When this distribution is known, it becomes feasible to identify pairs of specialties with corresponding surgery counts that can be scheduled within our overtime restriction. The resulting model that includes this incorporation is referred to as the Log-normal Column model.
For our research, we use historical data provided by the Sophia Children’s Hospital. The data included properties about the patients’ surgeries and bed assignments. Due to the presence of errors in the data, we conducted preprocessing before utilizing it as input in our modeling. Additionally, we conducted goodness of fit tests to assess whether adopting the log-normal distribution for surgery duration was genuinely superior to the normal distribution. Our analysis revealed that, for the majority of instances, the log-normal distribution outperformed the normal distribution. This was the case for individual surgeries, as well as the Fenton-Wilkinson approximation for the duration of multiple surgeries.
We compared the performance of our Log-normal Column model to two other models which assume normality for the surgery durations. One is, similar to the Log-normal Column model, created with the column generation based approach, while the other is the model described by Schneider et al. (2020). The two column generation based approach models performed significantly better than the model proposed by Schneider et al. (2020). Furthermore, we compared our Log-normal Column model to the real-life situation with the help of a simulation.","Optimisation in healthcare; Optimisation; Master surgery schedule; Operating room scheduling","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:7ca999fc-a886-4646-8f14-c334040d7500","http://resolver.tudelft.nl/uuid:7ca999fc-a886-4646-8f14-c334040d7500","Feature Engineering for Low-Thrust Trajectory Optimization: A Systematic Analysis Using Pontryagin Fuel-Optimal Earth-Mars Transfer Trajectories","Riha, Benno (TU Delft Aerospace Engineering)","Cowan, K.J. (mentor); Delft University of Technology (degree granting institution)","2023","Using low-thrust propulsion for interplanetary space missions has the potential to allow for more payload for the same mass put into orbit compared to what impulsive propulsion would allow for. The disadvantages are found in mission planning, however, as the continuous nature of the thrust yields a more complex problem. One potential solution to help in the early planning and discovery phase of mission design is to employ artificial neural networks (ANNs). This has been done in the past, yet only in a limited capacity. Specifically, the engineering of the feature space used with the neural network has never been investigated. This thesis attempts to provide a first look at the influence of different feature space compositions. This includes the use of nine different state representations but also an analysis of additional values in the feature space. Additionally, the effect of extraneous variables, one of them notably being the target of interest, on the neural network performance is analyzed. The dataset used is generated using indirect optimization, and the case investigated is a set of minimum fuel Earth-Mars transfer trajectories.
Low-thrust spacecraft trajectories are for space exploration what neural networks are for computer science: The vanguard of current trends with a lot of potential. Only recently have the two ideas, trajectory optimization and machine learning, been combined. In all the publications making use of machine learning for low-thrust optimization, a clear gap exists, however: Feature engineering has never been investigated. This thesis attempts to provide a first patch for that gap, limiting itself in scope to interplanetary Earth-Mars trajectories and feedforward neural networks. The data used as the basis to evaluate the performance of a number of factors having a potential influence on the choice of feature is obtained through indirect optimization. A novel method to generate those trajectories is implemented. The data is then used to investigate the effect different targets and network parameters have on the choice of features. On the feature side, a significant number of state representations are analyzed, both in dimensional and nondimensionalized form. Additionally, the feature space is expanded by additional variables, and various transformations are attempted.
Over the course of this work, the importance of properly scaled data has been demonstrated. It is also shown that using Keplerian state and costate as feature and target, respectively, reliably yields good results. When mass is estimated, fuel mass is preferable over total spacecraft mass. Finally, none of the additional parameters or transformations (besides nondimensionalization) attempted resulted in reliable improvements and are thus best avoided.","","en","master thesis","","","","","","","","2025-08-30","","","","Aerospace Engineering","",""
"uuid:04c19841-0bd0-42fc-adbf-454d587d48d7","http://resolver.tudelft.nl/uuid:04c19841-0bd0-42fc-adbf-454d587d48d7","Exploring the factors influencing the shared e-moped train combination","de Wit, Gert (TU Delft Civil Engineering & Geosciences)","Hoogendoorn, S.P. (graduation committee); van Oort, N. (mentor); Dijk, Cathelijn (mentor); Gavriilidou, A. (graduation committee); Ton, Danique (graduation committee); Delft University of Technology (degree granting institution)","2023","This studydives into factors influencing the shared e-moped usage in first- and last mile. Other studies show that the service area size, vehicle availability the shape of city and the temperature affect the shared e-moped usage. This study confirms the service catchment, temperature and vehicle availability factors. It adds to this that also factors such as the share of young people, bus frequency, shared bike availability, walking distance, visibility and points of interest have an influence. This study also provides a quantification to show which factor has the biggest influence. According to the model results, the vehicle availability at a station is the most important factor. Next to the factors, this study also shows what the usage patterns of shared e-mopeds in first- and last mile transportation are. This study, therefore, helps in understanding the usage of shared e-mopeds as a first- and last mile mode.","e-moped; train; Linear Regression; first mile; last mile","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:7189edb1-029a-47ad-b2fb-3d2c33973a41","http://resolver.tudelft.nl/uuid:7189edb1-029a-47ad-b2fb-3d2c33973a41","Strength development of soft soils: Prediction and verification of undrained shear strength for loaded soft soils","Nooteboom, Tim (TU Delft Civil Engineering & Geosciences)","Zwanenburg, C. (mentor); Muraro, S. (graduation committee); Mai Van, C. (graduation committee); Bol, J.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","The study delves into the crucial role of undrained shear strength in the stability of civil engineering projects involving soft soils. It explores the enhancement of this strength through (pre)loading in conjunction with Prefabricated Vertical Drains (PVDs). The Stress History and Normalized Soil Engineering Properties (SHANSEP) framework is employed to forecast changes in strength across various phases - before, during, and after loading. Validation is conducted using Cone Penetration Tests with pore pressure measurements (CPTu), and the Nkt strength factor is employed to correlate CPTu data with undrained shear strength.
The research combines laboratory experiments and field data to predict undrained shear strength via SHANSEP, which is subsequently validated through CPTu measurements and monitoring. Two distinct datasets are examined in the study. The first dataset involves dike projects at 'de Markermeerdijken' in the Netherlands, where PVD-assisted surcharges of 5 meters are implemented. SHANSEP is utilized to predict strength levels before surcharge, post-surcharge removal (after >90% consolidation), and post-removal phases. These predictions are cross-validated using CPTu data and laboratory tests. The second dataset focuses on a reclamation project involving Marine Soft Clay strength in the Philippines. Strength correlations with CPTu tests during consolidation are established, and comparisons are made with data from piezometers and SHANSEP predictions.
The analysis uncovers that SHANSEP's precision is contingent on several factors:
1. The uncertainty surrounding Pre Overburden pressure (POP), leading to inaccuracies in initial strength predictions.
2. The effectiveness of preloading being compromised by limited load area relative to depth.
3. Submergence of the surcharge beneath the phreatic surface, resulting in diminished preload and gained strength.
4. Incorporating creep into predictions necessitates a reduction in SHANSEP's S factor.
5. Accurate predictions rely on high-quality laboratory tests.
SHANSEP demonstrates its ability to effectively forecast undrained shear strength in soft soils, with its predicted surcharge strength increments aligning with CPTu values. However, challenges are identified in predicting and verifying strength during consolidation due to the dominating influence of excess pore pressure.
The study's key findings recommend the inclusion of adjustments for preload submersion, consideration of load distribution, and the evaluation of partially drained CPTu data using parameters such as Bq and qt to enhance the verification of SHANSEP-predicted strengths. The study emphasizes caution against relying solely on CPTu or piezometer data for ensuring reliability and accuracy.","SHANSEP; Soft soil; Undrained shear strength; CPT-based correlations","en","master thesis","","","","","","","","2025-07-01","","","","Geo-Engineering","",""
"uuid:b4010d87-a4cc-45ae-8c30-e37111cc81fb","http://resolver.tudelft.nl/uuid:b4010d87-a4cc-45ae-8c30-e37111cc81fb","Model-informed Charging Policymaking: How does modeling evidence influence EV charging infrastructure policymaking in the UK and the Netherlands?","GU, XIWEN (TU Delft Technology, Policy and Management)","Pfenninger, Stefan (mentor); Veeneman, Wijnand (graduation committee); Gusheva, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","The establishment of a well-developed charging infrastructure is imperative for the broader adoption of Electric Vehicle (EV) and necessitates the formulation of an effective charging infrastructure policy. To navigate the intricacies involved in the policymaking process, the incorporation of EV charging models can be advantageous. Existing research indicates that models have a significant impact on facilitating policymaking in the broader energy sector. Nevertheless, it remains unclear whether computer-based models exert a similar influence on the EV charging policies. Previous studies lack comprehensive insights into the practical application of models in EV charging policy processes and the resultant policy modifications due to the unique attributes of both EV charging models and policies. Furthermore, there exists a lack of systematic understanding regarding the utilization of charging infrastructure models. Given these gaps in knowledge, this research aims to investigate the following question: How does modeling evidence influence EV charging infrastructure policymaking in the UK and the Netherlands?
This study finds that EV charging models have exerted substantial influence across various stages of local policy cycles, significantly shaping decision-making processes. Such impact has pre- dominantly concentrated on the practical and operational aspects of the models, primarily concerning the optimal number and spatial distribution of charging points. However, there remains a noticeable lack of attention to strategic considerations pertaining to broader energy transition and green transport initiatives. This oversight is particularly evident in the insufficient exploration of how EV charging in- frastructure can be effectively integrated into a more extensive and long-term blueprint. This research highlights the need for a strategic-level approach to comprehend the interplay between EV charging networks and the larger energy transition agenda, encompassing themes such as renewable energy integration, smart grid compatibility and urban planning synergies. Consequently, policymakers and modelers should expand their planning of charging infrastructure to encompass the broader landscape and envision how EV charging models can harmonize with sustainable urban development, ensuring a cohesive and effective implementation within the overarching framework of environmental conservation and sustainable mobility.","EV charging; Model; Evidence-informed policymaking","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:5cd92363-33b9-456a-b6d1-93912000e0ba","http://resolver.tudelft.nl/uuid:5cd92363-33b9-456a-b6d1-93912000e0ba","Characterization of undrained shear strength in Dutch sites using Hierarchical Bayesian Modelling","Vinatselas, Theodoros (TU Delft Civil Engineering & Geosciences)","van den Eijnden, A.P. (mentor); Schweckendiek, T. (graduation committee); Mavritsakis, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis discusses the characterization of the undrained shear strength, S_u from the net cone resistance, q_net of clay in Dutch sites using Hierarchical Bayesian Modelling (HBM). The performance of the HBM is compared with the current practice methods of the site characterization which propose either the use of only site–specific observations (unpooled models) or the whole data simultaneously (pooled models). HBM can incorporate information from multiple sources such as prior knowledge of the engineers and behaviour met in the examined and the neighbouring sites. The use of different sources of information has been proposed by Eurocode-7 without providing a formal / mathematical procedure.
Literature studies have highlighted the potential benefits of incorporating the HBM into the characterization of the geotechnical parameter values. Therefore, this thesis aims to assess whether HBM can enhance the geotechnical decision-making by precisely quantifying the uncertainty in the geotechnical parameter values and making more accurate predictions of them. The impact of using input from the HBM results in a reliability analysis of a dike slope is examined as well.
First, a considerable number of paired q_net–S_u measurements is collected, and subsequently is divided into groups. Different statistical models are employed to describe this collected data. Two components characterize a statistical model; the functional form which is the relationship between S_u and q_net and the pooling family (pooled, unpooled and HBM), the method followed to train the statistical model parameters. The statistical models are applied in a comparative study to select the fittest one and to compare the behaviour of the HBM to the other pooling families. The comparative study is performed by applying the Bayesian Data Analysis (BDA) whose applicability is ensured by applying it in an artificial example using artificial data.
The first result of the BDA with real data is the comparison of the HBM with the current practice pooled and unpooled models suggesting the ln〖S_u 〗-ln〖q_net 〗 HBM as the fittest model. The HBM estimations for the statistical model parameters fall between the current practice’s methods and they experience lower uncertainty by borrowing information from the neighbouring sites to make site-specific estimations. Between the current practice and the HBM, the latter predicts the S_u with lower uncertainty.
The reliability analysis using input from the HBM yields different reliability indices than those proposed by the current practice models. This situation combined with the choice of the HBM after following the BDA workflow propose that the HBM can lead to safer and more economic design.
Overall, the use of the HBM for predicting the S_u from q_net with grouped data can be beneficial for the engineering practice. First, the HBM reduces the uncertainty of the statistical model parameters without inheriting extreme values and provides more certain prediction for the S_u accounting for the prior engineering knowledge and the behaviour met in neighbouring sites. Additionally, performing reliability analysis of a dike slope exhibits that the use of HBM derived values can suggest safer and more economic design over the standard approach.
Escherichia coli, Staphylococcus aureus, and Klebsiella pneumoniae, as well as in detecting antibiotic-resistant and -susceptible strains, achieving an accuracy of 98.57% in the latter. This research marks the first instance of ML integrated with nanomotion detection for bacterial species identification and antibiotic susceptibility testing. It provides a basis for advanced diagnostic tools, expediting the provision of vital data regarding bacterial identification and antibiotic susceptibility, contributing significantly to medical diagnostics.","Machine Learning (ML); Signal Processing; Short-Time Fourier Transform; Artificial Intelligence; Supervised Learning; Nanomotion Detection; Antibiotic Susceptibility; Bacteria Identification","en","master thesis","","","","","","","","2025-08-30","","","","Mechanical Engineering | Precision and Microsystems Engineering","",""
"uuid:00b69a76-b3d4-49b6-be0f-f31307b51db9","http://resolver.tudelft.nl/uuid:00b69a76-b3d4-49b6-be0f-f31307b51db9","A multivariate analogue of Ruijsenaars's generalised hypergeometric function: A quantum algebra approach","Ledoux, Rik (TU Delft Electrical Engineering, Mathematics and Computer Science)","Groenevelt, W.G.M. (mentor); van Neerven, J.M.A.M. (graduation committee); de Oliveira Filho, F.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","In this thesis, we derive a multivariate analogue of Ruijsenaars’s 2F1-generalisation R. We use Hopf algebra representation theory of the modular double of sl.2/, a Hopf algebra structure strongly related to quantum groups, to relate the function R to overlap coefficients of eigenfunctions. Using properties of the algebra and the representation, we derive an Askey-Wilson type difference equation. We moreover recover Ruijsenaars’s unitary transformation kernel E.
Expanding on the Hopf algebra structure, we extend our derivations to the multivariate version of R. Employing representation theory, we obtain multivariate difference equations. Furthermore, we demonstrate that the multivariate function enables the definition of a unitary transformation on multivariate functions in L2..0; ∞/N/.","quantum groups; Representation Theory; mathematical physics","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:32f1e43a-d335-4cb1-8de6-e0cf97f0a065","http://resolver.tudelft.nl/uuid:32f1e43a-d335-4cb1-8de6-e0cf97f0a065","Enhancing Merge Conveyor Utilization in a Line-Sorter Sortation System by Introducing a New Control Algorithm: A Case Study at Vanderlande","Yayavaram, Revanth Sai (TU Delft Mechanical, Maritime and Materials Engineering)","Pang, Y. (mentor); Jovanova, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The parcel transport industry utilizes automated parcel sorting systems to effectively manage incoming parcels. These systems typically comprise multiple infeed conveyors that converge onto a single merge conveyor for parcel merging. However, the conventional First-Come-First-Serve merging method results in reduced utilization of the merge conveyor and throughput. To address this, a novel approach is proposed in this study, combining a dynamic programming-based control algorithm with a maximum heap algorithm. This approach aims to enhance the utilization of the merge conveyor and achieve a balanced load among the infeeds. To optimize utilization, an additional imaginary segment is introduced ahead of the merge conveyor, synchronously moving with the merge conveyor's speed. This segment is intelligently populated with parcels using dynamic programming, eliminating unnecessary empty spaces. Results demonstrate that the dynamic programming approach outperforms other techniques in terms of utilization. This research presents an initial investigation into implementing dynamic programming as a control algorithm to improve merge conveyor utilization in the parcel transport industry.","Parcel Merging; Dynamic Programming; Max Heap; Throughput; Utilization; Line-Sorter Sorting system","en","master thesis","","","","","","","","2025-08-30","","","","Marine Technology | Transport Engineering and Logistics","",""
"uuid:a2b132e9-8d38-4553-8587-0c9e3341b202","http://resolver.tudelft.nl/uuid:a2b132e9-8d38-4553-8587-0c9e3341b202","BRiM: A Modular Bicycle-Rider Modeling Framework","Stienstra, Timo (TU Delft Mechanical, Maritime and Materials Engineering)","Brockie, S.G. (mentor); Moore, J.K. (mentor); Happee, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Bicycles have been studied extensively over the past 200 years, with mathematical models providing valuable insights into various aspects of bicycle dynamics and rider control. However, the lack of a common framework for creating and sharing bicycle-rider models hinders the development of advanced models, research reproducibility, and dissemination. This thesis addresses this gap by introducing BRiM: an open-source modular and extensible framework for creating Bicycle-Rider Models.
The modular setup of BRiM relies on a systematic approach to define a model and form the analytical equations of motion. For the involved analytical computations BRiM utilizes SymPy, a Computer Algebra System. The systematic approach consists of four stages. The first stage defines the objects in the system, such as symbols and bodies. Secondly, the kinematic relationships between the objects, such as angular velocities between reference frames, are established. The third and the fourth stages, which are order-independent, specify the loads and constraints acting upon the system. The decoupling BRiM required to achieve modularity is enabled through this systematic approach, because computations within a stage are mostly order-independent.
The core of BRiM employs the systematic approach within a unified framework for modeling mechanical systems in general. It describes a model using a tree representation, in which a model is defined as an aggregation of smaller submodels. The relationships between submodels are established by parent models, using interchangeable connections to accommodate complex relations, such as tyre models between the ground and a wheel. This application of submodels enables swapping and adding submodels, making the overarching model both modular and extensible. Actuation within BRiM can either be specified by attaching prespecified groups of loads to models and connections, or by utilizing the interface provided by the mechanics module in SymPy, which offers the flexibility to even manipulate equations in detail.
BRiM applies this generalized framework to create modular bicycle-rider models. Both a stationary bicycle and a modular bicycle based on Moore's convention of the Carvallo-Whipple bicycle have been constructed. These bicycle models are extensible to bicycle-rider models by including an upper and/or lower body. Within the rider models each joint can be actuated by a linear torsional spring-damper. BRiM integrates parametrization of models, which provides mappings between symbolic quantities used in equations and experimentally determined values, using the existing open-source BicycleParameters library. Additionally, SymMePlot, a visualization package for symbolically defined mechanical systems, has been developed and integrated within BRiM to visualize the created bicycle-rider models.
The effectiveness of BRiM is demonstrated through optimization and simulation tasks. Firstly, a real-time forward simulation of a torque-driven upper body bicycle-rider is performed. Secondly, an optimization problem is solved, involving the tracking of a rolling disc along a sinusoidal trajectory while minimizing the control torques. These demonstrations highlight the seamless integration of BRiM with other scientific tools and BRiM's potential for practical applications.
In conclusion, BRiM fills the gap in bicycle dynamics research by providing a modular and extensible framework for creating and sharing bicycle-rider models. Its systematic approach, unified framework, and integration capabilities enable efficient model development, research reproducibility, and further advancement in bicycle research.
The study focuses on investigating the primary resonance frequencies and understanding how pulsation frequencies near those resonance frequencies impact the stability of the system. Furthermore, we elaborate on special resonance cases where multiple oscillatory modes interact leading to even more complicated dynamics.
By building upon existing literature this research enhances our understanding of stability and dynamic behaviors under various flow pulsation frequencies. This study makes an important contribution to the present literature by exploring scenarios where multiple resonant modes interact, due to coinciding primary resonance frequencies, which has not been extensively discussed in the literature. Our findings suggests scepticism on the relevance of the existing solution methods and results in the literature for certain parameter values.","pipes conveying fluid; beam equations; Pulsatile Flow","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:90fee300-8978-4b3b-9ece-3af6b1ea6dc4","http://resolver.tudelft.nl/uuid:90fee300-8978-4b3b-9ece-3af6b1ea6dc4","Memorable moment detection using eye gaze in child-robot interactions","Nikkels, Lucile (TU Delft Electrical Engineering, Mathematics and Computer Science)","Neerincx, M.A. (mentor); Oertel, Catharine (mentor); Zhang, X. (graduation committee); Delft University of Technology (degree granting institution)","2023","Robots in classroom settings can help teachers with providing personalised attention to children's health and development. As part of this personalisation, robots should store and use (verbal or multi-modal) information about the children they interact with. One aspect that has been unexplored in existing literature is the detection of memorable moments during these child-robot interaction. Eye-gaze tracking is a low cost and non-invasive method applied widely to gain insight into human's inner processes. This study has found that several state-of-the-art time series machine learning models perform better-than-chance on the detection of memorable moments using gaze tracking.
In addition, a shapelet-based transform classifier also performed better-than-chance in distinguishing memories according to $3$ different levels of recall detail. Manual data analysis has identified significantly different gaze behaviour during memorable moments and not memorable moments as well as in the gaze behaviour for different levels of recall detail. The comparison of the results with related literature leads to the hypothesis that memorable moments are likely to be moments of both high levels of engagement and deep thinking. The data analyses also provided insight into children's gaze behaviour for different reasons for remembering a moment. The results show that these reasons, or `internal processes', are distinguishable by gaze patterns and thus provide insight into items or concept that draw the child's attention. This study shows that memorable moments detection for children is a developing and promising field that could potentially provide a lot of insight into children's situated thought processes.","memorable moments; cHRI; HRI; eye gaze detection; memorable moments detection","en","master thesis","","","","","","","","","","","","Computer Science","ePartners4All",""
"uuid:a1af7799-3e0a-4028-8470-b7fc0dc1c4fd","http://resolver.tudelft.nl/uuid:a1af7799-3e0a-4028-8470-b7fc0dc1c4fd","Bayesian deep learning: Insights in the Bayesian paradigm for deep learning","Schipper, Wieger (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Statistics)","van der Vaart, A.W. (mentor); Heinlein, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In this thesis, we study a particle method for Bayesian deep learning. In particular, we look at the estimation of the parameters of an ensemble of Bayesian neural networks by means of this particle method, called Stein variational gradient descent (SVGD). This method iteratively updates a collection of parameters and it has the property that its update directions are chosen such that they optimally decrease the Kullback-Leibler divergence. We also study gradient flows of probability measures and show how gradient flows corresponding to functionals on the space of probability measures can induce particle flows. We formulate SVGD as a method in this space. In the regime of infinite particles we show results about convergence of SVGD. An existing convergence result for SVGD can be extended by showing that the probability measures, governing the collection of SVGD particles, are uniformly tight. We give conditions under which this holds.","Stein variational gradient descent; Bayesian deep learning; Wasserstein gradient flows","en","master thesis","","","","","","","","","","","","Applied Mathematics | Stochastics","",""
"uuid:53175935-8322-4b20-bd2d-fddab83221a7","http://resolver.tudelft.nl/uuid:53175935-8322-4b20-bd2d-fddab83221a7","In-Depth Analysis of DRAM Cells During Rowhammer: And a Novel Protection Method","Lung, Stefan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Taouil, M. (mentor); Gaydadjiev, G. (mentor); Venkatesha Prasad, Ranga Rao (graduation committee); Delft University of Technology (degree granting institution)","2023","Rowhammer is a security exploit used to cause bit errors DRAM chips. Newer DRAM technologies are becoming more vulnerable to rowhammer attacks, and existing protec- tion methods are starting to reach their limits. This thesis provides methods for DRAM characterization by means of reverse engineering, an in-depth analysis of vulnerable cells during rowhammer and a novel protection method. First the DRAM module is characterized by performing a retention test and a one- sided rowhammer attack throughout the memory. The resilience to rowhammer of vul- nerable victim cells has been analysed by using various data patterns in the victim cell itself in combination with its direct and diagonal neighbours. The impact of the pro- posed protection method is measured by flipping the data in the attacker row during a simulated rowhammer attack. Various rowhammer sequences are investigated. The results following the DRAM characterization show that only a one-sided rowham- mer attack is possible. Rows that impact one another during rowhammer come in pairs of two, one attacker row impacts only one neighbouring row. The results of the in-depth analysis of cells during rowhammer shows evidence of negative horizontal impact com- ing from uncharged neighbouring cells in the same row and negative diagonal impact coming from charged cells neighbouring the attacker cell in the attacker row. The hori- zontal impact is mirrored in symmetrical cells whilst the diagonal impact is not. Results following the proposed protection method experiments show that using the protection method improves the overall resilience to rowhammer ranging from 50%, 65% to 100% depending on the hammer sequence.","Rowhammer; DRAM vulnerabilities; Protection Method","en","master thesis","","","","","","","","2023-12-31","","","","Electrical Engineering | Embedded Systems","",""
"uuid:f20ce65c-051e-4e1c-99cd-c22ab0f90a9b","http://resolver.tudelft.nl/uuid:f20ce65c-051e-4e1c-99cd-c22ab0f90a9b","Towards Acceleration of Re-Use Transition in the Infrastructure Sector: A practical framework for public client organizations to link the tactical level to the operational level in implementing the re-use strategy","ABOUTALEBI, NEGAR (TU Delft Civil Engineering & Geosciences)","Hertogh, M.J.C.M. (graduation committee); Molaei, M. (mentor); Lim, Y. (graduation committee); Verkade, Wilco (mentor); Kerpel, Lennart (graduation committee); Delft University of Technology (degree granting institution)","2023","The existing high level of greenhouse emissions and raw material consumption are the current concerns in the infrastructure sector. On the other hand, the programs for renovating the aged and overloaded infrastructures are currently under progress as substantial national programs with circularity targets in the Dutch context, involving various public-private networks. Implementing the circularity strategy of re-use in conducting the infrastructure projects will be beneficial to reduce the amount of emissions, minimize the raw material consumption, and facilitate the formulated national programs. Currently, there are just a few re-use projects, and running a higher number of these projects and accelerating the re-use transition in the infrastructure sector are urgent topics in the global and Dutch context. Public client organizations (PCOs) have determining role in this regard, as owners and commissioners of infrastructure projects. There are wider networks of actors and extra stages to follow in re-use projects, which can create barriers and make the organizational aspects of these projects extremely important. Most attempts are locked at the tactical level of PCOs, and a gap has emerged between the tactical and operational levels of these organizations in the implementation of the re-use strategy, which prevents acceleration of the re-use projects. There is less attention in the current studies to the existing gap between the tactical and operational level of PCOS in conducting re-use projects in the infrastructure sector, the required procedures to fill that, and accelerating the re-use transition, which are zoomed in and investigated in this research. The research is conducted through a qualitative method, following three different phases of theoretical study, empirical study, and solution development in the context of a PCO. This research recognized that the existing gap between the two levels is rooted in different fields, and the most urgent ones have been identified. It revealed that tackling such gaps requires following specific organizational procedures by actors within each of the two organizational levels and between them. Following such procedures to deal with the addressed gaps can be easier through using a framework. The required framework, provided in this study for public clients including organizational procedures and their relevant re-use implementation factors embedded in certain categories. Among the existing gaps in practice, three gaps are recognized as the most urgent ones, which are placed in the two top categories of ‘culture, communication and behavior’ and ‘contract, manuals and guidelines’. The top three gaps addressed by three re-use implementation factors of ‘target formulation, follow-up & evaluation’, ‘internal & external communication’, and ‘collaboration with external parties’. The provided organizational procedures are interrelated in implementing the re-use strategy, and the result of implementing some procedures should be followed by the work of actors at the same or another level. The strategic level should also intervene to fill the gaps specifically in formulating and re-visioning the targets. Structuring the communications, collaborations, and target formulation stages through implementing the procedures recommended in the presented framework in this research, and consistency with that, will prepare the organizational context, and link the tactical and operational level of PCOs in implementing the re-use projects. As a result, the re-use activities at the operational level of public client organizations will be more structured. With well-linked tactical and operational level and structured re-use activities, the re-use transition will be facilitated and can be accelerated at the operational level of the public client organizations. To address a circular solution for the national programs of renovating infrastructures, the active networks in them can consider the networks as the national context for re-use transition, and customize the framework to structure the inter-organizational procedures between the involved parties in the tactical and operational transition levels. Through structuring collaborations & communications between tactical and operational parties, developing clear and detailed targets, and evaluating them, the re-use transition can also be accelerated on the national scale.","Re-use; Tactical Level; Operational Level; Infrastructure Sector; Organizational procedures; Acceleration of transition; Public clients; Circularity strategy; Circular infrastructures","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:7185c2b7-8313-4dc9-8a10-f57a7cd5ca35","http://resolver.tudelft.nl/uuid:7185c2b7-8313-4dc9-8a10-f57a7cd5ca35","Potential sources of predictive skill of seasonal precipitation forecasts for Suriname: A study on the dynamics of seasonal precipitation in Suriname with the help of moisture tracking and Sea Surface Temperature correlations","Koole, Emma (TU Delft Civil Engineering & Geosciences)","Rutten, M.M. (mentor); Haarsma, Reindert J. (graduation committee); van der Ent, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study investigates the dynamics of seasonal precipitation in Suriname with the help of moisture tracking and Sea Surface Temperature correlations. Prolonged drought periods, heavy precipitation events and accompanying flash floods and landslides are expected to increase in Suriname in the coming years. Reliable seasonal precipitation forecasts are therefore of great value to the agriculture, livestock and energy sectors in Suriname, but also for drought and flood mitigation. The objective of this study is to look into the sources of the predictive skill for seasonal precipitation forecasts for Suriname.
First, Spearman rank correlation analysis between sea surface temperature anomalies and Suriname precipitation anomalies was performed to establish the potential for statistical seasonal precipitation forecasts from SSTs. Second, moisture tracking with the model WAM2layers was applied to find the origin areas of evaporation for precipitation in Suriname. At last, these patterns of correlation and moisture tracking were combined with composite data to determine which potential drivers are influencing the precipitation anomalies in Suriname.
The results show that the ASON season displays the largest SST correlations (0.4 for Nino3 and Nino3.4 index with a lag of 3 months) and the longest lag time at which correlations are visible. This is due to the significant influence of ENSO on the ASON season precipitation. The DJFM season shows a clear link towards the Tropical Atlantic Variability and especially the AMM mode. Positive (negative) SST in the tropical north Atlantic cause a northward (southward) shift of the ITCZ over
Suriname. The AMJJ season reveals the smallest skill to predict seasonal precipitation anomalies. This could possibly be enhanced by performing a sub-seasonal analysis.
The processes of potential skill found in this thesis report can be of help to forecasters for the improvement of seasonal precipitation forecasts for Suriname","Suriname; Precipitation; Teleconnections; Sea surface temperature; Moisture tracking; Intertropical convergence zone; Seasonal forecast","en","master thesis","","","","","","","","","","","","Civil Engineering | Environmental Engineering","","5.839398, -55.199089"
"uuid:4f36b55e-0ffb-4861-a05d-34ff597d5e37","http://resolver.tudelft.nl/uuid:4f36b55e-0ffb-4861-a05d-34ff597d5e37","Analysis and Modeling of the Hybrid Vessel's Electrical Power System: A study on Power Quality, Short-Circuit Currents and Protection & Coordination","Mosselaar, Matthijs (TU Delft Electrical Engineering, Mathematics and Computer Science)","Popov, M. (mentor); Lekić, A. (mentor); Malbasić, Zoran (graduation committee); Delft University of Technology (degree granting institution)","2023","class=""MsoNormal"" style=""margin-bottom:12.0pt"">Zero emission fuels and reducing emissions are important topics in all transport sectors and hybrid systems play a key role in the transition towards full decarbonization. This thesis studies the components that are found in hybrid maritime electrical power systems and their influence on power quality, short-circuit currents and protection & coordination. In order to help system integrators such as Alewijnse in the design of these hybrid systems, two typical models of actual vessels are created in simulation software ETAP. Both systems are low-voltage, high-power systems, based on either an AC or DC busbar.
Rules and standards related to power quality and short-circuit currents are studied as well as practical protection strategies. For the AC model, various studies have been successfully simulated including a load flow study, transient stability study including peak shaving and virtual generator simulations for the battery, a protection & coordination study and a harmonic study. Some challenges with ETAP regarding DC grid simulations are discussed, but is also demonstrated how to use the formulas and standard approximation function from the IEC 61660 to calculate short-circuit currents and I2t values and how to use these results in the protection & coordination study.","Vessel; Hybrid; Simulation; Power Quality; Short-circuit current; Protection; ETAP","en","master thesis","","","","","","","","2024-09-01","","","","Electrical Engineering","",""
"uuid:416051d3-97c0-4406-9673-f64b891c13f9","http://resolver.tudelft.nl/uuid:416051d3-97c0-4406-9673-f64b891c13f9","Enhanced Ethylene Production Using Proton-conducting Electrochemical Cells: A Techno-Economic Analysis","Steneker, Tijmen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Goetheer, Earl (mentor); Golombok, Michael (graduation committee); Delft University of Technology (degree granting institution)","2023","The petrochemical industry accounts for approximately 20% of global industrial CO2 emissions. Ethylene manufacturing is one of the major components and has a high carbon footprint. This study explores the use of proton-conducting electrochemical cells (PCECs) for ethylene production - the WINNER process. This non-oxidative dehydrogenation (NODH) reaction is still thermochemical, but the hydrogen is electrochemically removed, enhancing the conversion of ethane.
The electrochemically enhanced production of ethylene demonstrates substantial improvements over conventional steam cracking. It decreases Specific Energy Consumption (SEC) by approximately 20%, curtails thermal energy demand by 80% and enables operations at a lower temperature of 550°C. Some parameters are less favourable, but nonetheless, the reduction in SEC indicates a promising potential to decrease carbon emissions attributed to utility consumption.
Economically, the WINNER process outperforms the steam cracking benchmark, with a nearly doubled margin, almost tripled Net Present Value (NPV), and a seven-times higher Internal Rate of Return (IRR). The Minimum Selling Price (MSP) of ethylene reduces by roughly 30% in the WINNER process. Additionally, the WINNER process produces pure, pressurized hydrogen as a high-value byproduct, adding to the economic viability of the process. A sensitivity analysis indicates that the most influential parameters are the prices of ethylene, ethane, and fuel gas.
Under current U.S. grid conditions, the Product Carbon Footprints (PCFs) of the WINNER process and the steam cracking benchmark are approximately equal. An increased contribution from renewable energy sources would enable the WINNER process to lower the utility-based PCF of ethylene production.
In conclusion, the WINNER process exhibits superior techno-economic performance and potential environmental advantages over the steam cracking benchmark, making it a promising alternative for sustainable ethylene production. Therefore, this work lays the groundwork for a sustainable and profitable transition in ethylene production, leveraging advances in electrochemistry.
compared to the dose response of cells grown as a monolayer. Spheroid growth and viability, based on the cellular ATP levels, were used as biological endpoints. A different dose response of FaDu spheroids was found when compared to that of cells, however, no clear explanation was found and more research remains to be done. Overall, with some further research, this 3D-printed phantom, along with the tumour spheroids, can
be implemented to validate a pre-clinical proton therapy research line.","Proton Therapy; Phantom; Dosimetry; Validation; Spheroids","en","master thesis","","","","","","Double degree in Biomedical Engineering and Applied Sciences | Nanobiology","","2024-08-30","","","","Biomedical Engineering","",""
"uuid:fec8d24f-f856-43a4-8673-570cc6de9d01","http://resolver.tudelft.nl/uuid:fec8d24f-f856-43a4-8673-570cc6de9d01","Quantifying materials in household furniture: A case study of dynamic furniture stock in American homes","Ikeda, Yukiko (TU Delft Technology, Policy and Management; Institute of environmental sciences (CML), Leiden University)","Deetman, Sebastiaan (mentor); Sprecher, B. (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","Current cravings for more are taking a great toll on the Earth and its most vulnerable communities. The rise in ’fast furniture’ has contributed to this issue, popularizing the idea that furniture can be cheap, to the point of being disposable. To understand the size of this issue and how much more damage to the environment might be in store if these trends continue, we need to measure the amount of the furniture stock now and project what might be needed in the future. Currently, there is a knowledge gap in understanding how much furniture is in our homes, especially on a national scale. This thesis aims to quantify the stock and flows of household furniture materials in the US, distinguished by income and area type (i.e., urban or rural). To inform strategies toward circularity in household furniture, a second object of the study is to investigate the effects of repair and reuse – lifetime extension strategies – on the behavior of the American household furniture stock. To quantify the stock of furniture units, the average number of furniture units per m2 of residential floor space was collected using a bottom-up approach. The results were scaled to the national level using residential floor space data from the Integrated Model to Assess the Global Environment (IMAGE), scenario SSP2. Dynamic stocks and flows were calculated based on projected changes in residential floor space to understand how the stocks and flows might behave over time. The lifetime extension scenarios were simulated by extending the lifetime and adding mass (for repair) of a percentage of the furniture in the stock. Overall, demand for household furniture is not expected to saturate until the end of the 21st century. The highest-earning quintile owns half of the furniture stock mass, and material inequality is expected to remain until the end of the century in the base case. Urban residents own a majority of the household furniture and are expected to own a larger share as urbanization continues. About three-quarters of the furniture stock is wood-based, presenting opportunities for carbon storage but challenges in the volume of hard-to-recycle and low-durability particleboard. Circularity efforts to decrease furniture demand should target higher-income groups and separate strategies for lower-income groups should aim to increase affordability and access to more durable furniture. Urbanization also presents opportunities for local furniture circularity projects and hubs to attract skilled furniture repairers and secondhand distributors.","Furniture; Material quantification; Dynamic material flow analysis; Circular economy (CE); Lifetime extension; Industrial ecology","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:9e6e37c7-24f6-4cac-9528-26496430b388","http://resolver.tudelft.nl/uuid:9e6e37c7-24f6-4cac-9528-26496430b388","Call me Ishmael: Using Dynamic Analysis to Hunt Whales on the Internet","Pîrcălăboiu, Laura (TU Delft Electrical Engineering, Mathematics and Computer Science)","Conti, M. (mentor); Brighente, Alessandro (mentor); Panichella, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Docker has been one of the most widely used DevOps tools in the last decade, enabling fast development of personalized services. Indeed, the common practice is to reuse already available containers and customize them based on the developer's needs. DockerHub is the leading platform for uploading and downloading Docker containers. Unfortunately, reusing code and infrastructure exposes developers to security and privacy threats, as the original developer might have had malicious intent to collect sensitive data or create backdoors in a victim's system. The existing literature has raised concerns about this security and privacy threats, and performed a mass vulnerability scans of Docker images. However, currently existing studies are mostly based on static analysis, which has been proved to be insufficient for a complete security assessment.
In this thesis we present a novel framework for the en-masse identification of vulnerabilities in Docker Containers. Additionally, as part of the framework, we document and implement a component which sorts and downloads images based on their popularity, which improves on the current fuzzy-search based state-of-the-art. Using this framework we found vulnerabilities in 2.44% of the containers we scanned. The framework also succeeded in finding novel vulnerabilities, resulting in two new reserved CVE numbers in the social network software Friendica.
Thus the main research question that the thesis aims to answer is: How can leading indicators be used to monitor the performance of an infrastructure project during the engineering phase of infrastructure projects.
The leading indicators that have an impact on the cost and schedule performance in the engineering phase are change requests, decision-making by the client, communication, project size, resource allocation, project team turnover and team efficiency.
The research not only involves the identification of leading indicators but also extends to the development of a framework for monitoring these identified leading indicators.","key performance indicators; Leading Indicators; Lagging indicators; Performance Monitoring","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:0868f39c-71f0-4d3a-9eb4-df0eb6810fac","http://resolver.tudelft.nl/uuid:0868f39c-71f0-4d3a-9eb4-df0eb6810fac","Identifying audiovisual benefits in discrete head and arm localization tasks within an echoic environment","Mennink, Tessa (TU Delft Aerospace Engineering)","Pool, D.M. (mentor); Bremen, Peter (mentor); Pel, Johan (mentor); Delft University of Technology (degree granting institution)","2023","Although the roles of visual and haptic cues in motor tasks have been well studied, the benefits of audio cues in complex motor tasks have been underexplored. Audiovisual cues reduce reaction times and decrease the variance of endpoint responses in simple head-orienting localization tasks. The aim of this research is to explore the potential of audiovisual stimuli for motor tasks performed in a real-life environment. Participants performed simple head and arm localization tasks in an echoic room. Comparing audiovisual stimuli to unimodal stimuli, a decrease in reaction times was observed. For head responses, reaction times were reduced on average by 58 ms for visual stimuli and 35 ms for auditory stimuli. For arm responses, reaction times showed a decrease of 30 ms on average for both unimodal conditions. Likewise, we noted decreased endpoint variance in audiovisual responses in comparison to unimodal auditory responses. Moreover, we observed a modality-effector dependency in the weighting of auditory and visual signals in the audiovisual responses. These results show the potential of audiovisual stimuli for real-life applications in motor tasks.","Manual control; audiovisual integration; sound localization; human-machine interaction; audiovisual benefits","en","master thesis","","","","","","","","2024-08-30","","","","Aerospace Engineering","",""
"uuid:857ab6b9-b287-4acd-80ce-cea17d32a3fd","http://resolver.tudelft.nl/uuid:857ab6b9-b287-4acd-80ce-cea17d32a3fd","Finite element analysis of flow liquefaction in a tailings dam using two advanced constitutive soil models: A comparative study of CASM and NorSand","Miranda Challapa, Victor Hugo (TU Delft Civil Engineering & Geosciences)","Brinkgreve, R.B.J. (mentor); Laera, Anita (mentor); Muraro, S. (graduation committee); Lanzafame, R.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Tailings dam failures are one of the most destructive phenomena in terms of the number of victims and the environmental impact generated. Over the years, different causes have been identified, with flow liquefaction being a prominent factor to consider when assessing the stability of tailings deposits. Due to the complex nature of these events, numerical models are considered an important alternative for the analysis and design phases of tailings dams. These models require the application of appropriate advanced soil constitutive models to reproduce the relevant features of the soil behaviour and, thus, obtain results that are a valid representation of the observations in reality. In this work, the capabilities and limitations of the Clay And Sand Model (CASM), originally proposed by Yu (1995, 1998) and NorSand model (Jefferies, 1993) are assessed using the finite element software PLAXIS in the analysis of flow liquefaction. The criteria considered in the assessment consist of the accuracy of the models to predict the response of the soil under different monotonic loading conditions, the efficiency of the models for their application and the consistency of results obtained from boundary value problems compared with reality.
Both CASM and NorSand are models developed within the critical state soil mechanics framework and use the concept of the state parameter (Been and Jefferies, 1985). However, they present differences in their formulations and assumptions. The performance of the model is explored in this study at three different levels: the material point level, simple boundary value problem level and complex boundary value problem. Different laboratory tests are simulated for the material point level, analysing a single stress point. For the boundary value problems, the construction of an embankment and the well-known Tar Island Dyke flow liquefaction event are simulated. Before applying the models, the implementation of CASM into PLAXIS is widely verified, and additional verification exercises for the NorSand implementation are also presented. Parametric analyses are performed to have a better insight into the effect of the parameters in the response of the models. Moreover, CASM and NorSand calibrated for the same tailings materials are used for the simulations.
Given that most of the model parameters can be estimated through very well established procedures using experimental data, in principle, the calibration of both models is relatively straightforward if the required experimental data is available and when the data is limited, correlations can be used to estimate the parameters of CASM assuming normally consolidated conditions, which is the state at which tailings are often found. The results of the thesis, at the material point level, show that CASM presents limitations to quantitatively predicting the response of dense materials. This is associated with the formulation and assumptions of the model. In the analysis of the boundary value problems, lower strengths and higher stiffness in terms of stress-strain response are obtained with CASM compared with NorSand. Despite these differences, the results of this thesis demonstrate that both CASM and NorSand can successfully reproduce flow liquefaction at the different study levels.","Constitutive Model; Flow liquefaction; Tailings dam failure; Numerical model; Verification and validation; PLAXIS","en","master thesis","","","","","","","","","","","","Geo-Engineering","",""
"uuid:19060499-e1be-430b-b985-9ec60f137936","http://resolver.tudelft.nl/uuid:19060499-e1be-430b-b985-9ec60f137936","Time-of-flight measurements of single-layer tissue with a chip-based optical frequency comb","Li, Nan (TU Delft Mechanical, Maritime and Materials Engineering)","Zhang, B. (mentor); Bhattacharya, N. (mentor); Iskander-Rizk, Sophinese (graduation committee); Hunt, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Cerebral oxygen saturation is an important indicator that reflects the oxygen metabolism of the brain tissues in such patients. The utilization of near-infrared spectroscopy (NIRS) enables the identification of the oxygen saturation levels in nearby tissues by analyzing the distinct oxygenation states of hemoglobin-oxyhemoglobin (HbO2) and the distinctive molecular spectrum of deoxygenated hemoglobin (Hb). It is helpful to realize the objective of noninvasive continuous detection on cerebral oxygen saturation because near-infrared radiation can directly penetrate the skull to obtain the characteristics of the average oxygen saturation of the brain tissues. This also allows a noninvasive way to monitor blood flow in the brain. A frequency comb is a pulsed laser in the time domain and a sequence of discrete, uniformly spaced frequency lines in the frequency domain. Applying the frequency comb to NIRS has the potential to develop a high-speed and fs-level time-of-flight resolution blood flow measurement system. The objective of this thesis is to utilize a chip-based optical frequency comb as an illuminating source in order to conduct time-of-flight measurements of a single target, employing a Michelson interference experiment. The establishment of the time of flight in the single-layer case and the investigation of the non-ambiguity range form the fundamental basis for future experiments multi-layer.","Optical Frequency Comb; Time of Flight; Spectral interferometry; Spectral Analysis","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Micro-optics and Optomechatronics","",""
"uuid:e9177798-e532-48e2-937b-bd9c87f7ce6e","http://resolver.tudelft.nl/uuid:e9177798-e532-48e2-937b-bd9c87f7ce6e","Influence of Inertia on Inverse Fluidization in a narrow rectangular channel: An Experimental Study","Ourabi, Hamza (TU Delft Mechanical, Maritime and Materials Engineering)","Breugem, W.P. (mentor); Hogendoorn, W.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The presence of particles in a flow can change the flow behaviour in an unpredictable manner, that leads us to multiphase flow. Multiphase flows are present in nature and in everyday life around us. The most common example of a multiphase flow, that one can think of, is the treatment of drinking water using liquid-solid fluidization in softening processes. Multiphase flows can also have very high relevance in various practical applications ranging from sedimentation of particles for process industries, transport of a dense suspension (slurry) through a pipe and dredging applications for land reclamation. Even though a lot of research has been done on liquid-solid fluidization in inertial and viscous dominated regimes, it remains far from being understood completely.
Experimental studies were conducted on the fluidization of monodisperse solid spheres within a rectangular channel. This research saw the development of an experimental setup, to study this phenomenon. The bulk solid volume fraction was studied in three different regimes. At a dilute regime (Φ ≤ 4%), moderate regime (Φ = 8 − 14%), and a dense regime (Φ ≥ 15%). To study the impact of inertia, three distinct Galileo numbers in the inertial regime were examined: 𝐺𝑎 = 147, 196, and 252. At these values, a singular settling/rising sphere presents markedly varied wake and path characteristics.
Throughout the study, the particle/fluid mass density ratio remained constant at 0.87. Results indicate that within the range of Φ = 4 − 18%, both the microstructure and dynamics of the suspension are heavily influenced by the bulk solid volume fraction. This aligns qualitatively with previous literature. Enhanced fluidization was noticed in the experiments. This is usually driven by the formation of clusters.
Particle clustering within these regimes is driven by various mechanisms: trapping of particles in wakes, a drafting–kissing–tumbling (DKT) instability causing vertically aligned particles to swiftly realign horizontally, and multiparticle interactions with collisions playing a minor role. On the macrostructure level, intrinsic convection was captured in the fluidization column. To further study these characteristics, instantaneous snapshots were illustrated for varying cases. Profiles for both mean velocity and root-mean-square (RMS) velocity were obtained. Wall effects emerged as pronounced, diminishing in importance with increased bulk solid volume fraction and augmented 𝐺𝑎 values. Intrinsic convection is observed in these experiments, and the velocity profiles provided an indication. Probability density functions (pdf) for normalized velocities highlighted evident particle clustering.","Multi-phase flow; Fluidization; Inertia","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:42f611a2-ef79-4540-a43c-0ea827700388","http://resolver.tudelft.nl/uuid:42f611a2-ef79-4540-a43c-0ea827700388","Aerodynamic Load Modelling for Leading Edge Inflatable Kites","Watchorn, John (TU Delft Aerospace Engineering)","Viré, A.C. (mentor); Schmehl, R. (graduation committee); van Zuijlen, A.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","The purpose of this study has been to develop an aerodynamic load model for the energy harvesting (traction) phase of leading-edge inflatable (LEI) kites operating in an airborne wind energy (AWE) context. The load model stems from multivariate polynomial regression analyses expressing the airfoil lift, drag and moment coefficients as polynomial functions of the angle-of-attack and 2D non-dimensional (relative to the chord length) shape parameters: non-dimensional tube diameter, maximum camber magnitude and chordwise position of maximum camber. The regression analyses relied on numerical data attained from computational fluid dynamics (CFD) simulations of the 2D flow fields around parameterised LEI wing profiles. The RANS equations, closed by the k-ω SST turbulence model, have been used for this purpose. The parameterisation and subsequent geometric construction of LEI wing profiles has been a key aspect of this study. As such, the effects of the shape parameters on the flow field have been assessed.","AWE; AWES; Kite; LEI; Membrane; Tube; Regression analysis; Load model; CFD","en","master thesis","","","","","","The source code of the MATLAB-Pointwise-OpenFOAM toolchain developed in the frame of this graduation project is publicly available from https://github.com/awegroup/ML-PW-OF-toolchain","","","","","","Aerospace Engineering","",""
"uuid:4bbae4b8-4e46-41a4-9934-97962a23a188","http://resolver.tudelft.nl/uuid:4bbae4b8-4e46-41a4-9934-97962a23a188","Preliminary Design of Origami-inspired Deployable Structure","Joshika Sachithanandan, Joshika (TU Delft Aerospace Engineering)","Uriol Balbin, I. (mentor); Delft University of Technology (degree granting institution)","2023","In recent times, there has been a growing interest in spending extended amounts of time in outer space. To enable these endeavors, living and storage spaces will have to be much larger than current technologies can transport and set up in space. An interesting solution to this problem is the usage of a deployable structure that occupies a small volume in a launch vehicle but can be deployed to larger volumes in space.
This thesis explores the concept of origami–known for its compactness, ease of deployment, scalability, and structural integrity– to create a deployable structure by developing a technology demonstrator that fits in a 12U CubeSat. Engineering origami has been used across many fields such as medicine, architecture, and most recently, space. For space applications like instrument booms and antennas, it is used to save space in the satellite housing them. The current work aims to translate this space-saving strategy to a larger application by creating an origami-inspired small-scale deployable structure that, if successful, can be scaled up for different purposes.
Based off requirements set by the mission, research objective, and constraints, four design
concepts are proposed. For the chosen concept, suitable materials and a compatible manufacturing technique are chosen. The structure is then modelled and prototyped to test its feasibility and determine the configuration that provides maximum compactness and inner volume–two criteria that are critical for sizeable modules to be transported in rockets with limited space. Finally, the structural performance of the deployable unit during folding and deployment is studied.
This thesis work lays the foundation for developing Kresling origami-based deployable structures with insights into optimal configurations, material and manufacturing options, and the development of a parametric model to rapidly check the geometric feasibility of a proposed structure.","Origami; Deployable Structures; CubeSat; origami mechanisms; system design; 3D printing; dual extrusion 3d printing; Grasshopper","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:1454fd13-c35b-4f23-b881-b17e2ed3b90f","http://resolver.tudelft.nl/uuid:1454fd13-c35b-4f23-b881-b17e2ed3b90f","Improved CFAR Detector for Doppler-spread targets with Performance Evaluation Using Experimental Radar Dataset","XIAO, Jiaxuan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Fioranelli, F. (mentor); Zhu, S. (mentor); Alavi, S.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Autonomous driving can bring revolutionary advancements in transportation, by making vehicles navigate and operate independently without human intervention. To achieve this, autonomous vehicles are equipped with sensors, including cameras, Light Detection and Ranging (LiDAR), and radar, which provide them with a comprehensive view of their surroundings. Frequency-Modulated Continuous Wave (FMCW) radar is the most popular radar sensor employed on autonomous vehicles (AVs), for its long working distance, simultaneous accurate measurements of range and radial velocity of the target, and its robustness for all weather conditions. However, there are still many problems to be solved for FMCW radar for detecting vulnerable road users (VRUs), such as pedestrians. The Constant False Alarm Rate (CFAR) detector plays a crucial role in FMCW radar signal processing, for its adaptive capability to estimate multiple potential targets versus variable clutter and noise backgrounds, and it is often the first step of processing in many automotive radar pipelines.
There have been several published methods for detecting pedestrians. However, most methods hardly consider public real-world radar datasets for their performance evaluation. Meanwhile, conventional CFAR used in autonomous driving, such as Cell averaging CFAR (CA-CFAR) and Ordered-statistic CFAR (OS-CFAR) are not specially designed for Doppler-spread targets (DSTs), while pedestrians are typical DSTs which shows Doppler extension in range-Doppler-map (RDM). This is due to the movement of the arms and legs of pedestrians that make them not only extended targets at mm-wave frequencies but also present a spread Doppler signature.
Therefore, in this thesis a proposed CFAR detector for DSTs enhances the probability of detection compared to two-dimensional (2D) CA-CFAR and two-dimensional (2D) OS-CFAR. The parametric study is conducted on CA-CFAR and OS-CFAR detectors. Additionally, the computation time is reduced dramatically.","CFAR; FMCW Radar; Doppler spread targets; Performance Analysis","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:334c2ff8-af31-4984-bd4b-82835c84cd32","http://resolver.tudelft.nl/uuid:334c2ff8-af31-4984-bd4b-82835c84cd32","Scheduling of Periodic Event-Triggered Control to balance Control Performance and Average Inter-Sample Times","Looman, Menno (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Delft Center for Systems and Control; TU Delft Team Manuel Mazo Jr)","Mazo, M. (mentor); Batselier, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Traditionally, Event-Triggered Control (ETC) methods are sample-and-hold control schemes that implement a triggering condition in order to reduce the number of control updates. Given a decay rate of the Lyapunov function, they focus on minimizing the (average) Inter-Sample Time (IST). In this thesis, we focused on the scheduling of Periodic Event-Triggered Control (PETC) controllers. By dynamically switching between triggering conditions, we
are maximizing the average rate of decay of the Common Lyapunov Function (CLF) given a minimum Average Inter Sample Time (AIST) or burst condition.
Given the physical system, we construct a switched system which captures all possible
scheduling behaviors. The l-complete abstraction of the switched system is constructed by solving a conjunction of quadratic equations. By setting a minimum AIST or burst condition, a set of states in the abstraction is marked and a safety game is played to construct the Maximal Permissive Controller (MPC).
On the safe behaviors inside the MPC, the guaranteed minimum control performance is maximized for the infinite horizon problem, i.e. by maximizing the minimum weighted time average of the primitive cycles in the MPC. First, several energy games are played to estimate
the maximum control performance. Thereafter, a mean-payoff game is played to generate the strategy securing this maximum control performance, which is used to construct the infinite horizon controller.","Event-triggered control; Periodic Event-Triggered Control; Average Inter-Sample Time; Lyapunov Stability; Mean-Payoff Games; Maximal Permissive Controller; Primitive Cycles; Traffic models","en","master thesis","","","","","","http://gitlab.tudelft.nl/sync-lab/ETCetera/-/tree/menno_thesis Link to the gitlab branch with all relevant code used and produced during this thesis project","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:e3940ad4-9792-413e-967e-ace1a4b0b53c","http://resolver.tudelft.nl/uuid:e3940ad4-9792-413e-967e-ace1a4b0b53c","Towards an Assessment Framework for Inspection Strategies: Combining Risk-Based and Random Approaches in Food Safety Inspections","Ayaydin, Suzan (TU Delft Technology, Policy and Management)","van der Voort, H.G. (mentor); van Gelder, P.H.A.J.M. (mentor); Delft University of Technology (degree granting institution)","2023","Food safety inspections play an important role in the safety of consumers of food products. Even though food safety regulations have disciplinary power over food-related businesses, inspections are needed to ensure that regulations are followed for the well-being of consumers. Traditionally inspections did not have a goal of targeting higher risks. However, since 2004 EU regulations require all EU Member State inspectorates to follow a risk-based approach within their inspection plan. This is an effective way of inspecting and gives high results in catching violations. However, to be able to know what is happening in the overall industry representative results are needed, and performing inspections based on risks does not provide representativeness. Random inspections if performed in a representative way can provide this. Inspectorates are looking for ways to combine random and
risk-based inspections to get representativeness from risk-based approaches. To do this the inspection strategy might need to consist of a combination of random and risk-based approaches. The reason for this is to avoid bias that may result from performing risk-based inspections.
The main research question of this thesis therefore is: How can inspectorates adapt their inspection strategies to mitigate bias that results from risk-based inspections?
The exploration of how risk-based and representative inspections can be combined is aimed to
be supported with an investigation of methods that support this decision. This research aims to develop an assessment framework for evaluating such methods. In order to evaluate these methods, assessment criteria are needed that measure the methods appropriately. Since the reason to combine random and risk-based approaches is to reduce the assumed bias of risk-based inspections, there is a need to understand what bias is in risk-based inspections. Furthermore, to understand this, what risk means needs to be investigated. Therefore, the goal of the research is to find out how risk and bias affect the choice of inspection strategies, by investigating random and risk-based inspections and how these can be combined in order to leverage their advantages.
Ammonia needs to be handled, stored and consumed taking into account safety precautions to have a safe operable ship. Additionally, ammonia has poor combustion characteristics, and therefore a promoter such as hydrogen (7-11%) or MGO (40-60%) is necessary to initiate the combustion. Additionally, internal combustion engines (ICE) using ammonia as a fuel are expected to have a low transient load capability. Therefore, if there is no MGO present, the dynamic loading capability of the ICE with the load variation of the dredging process is a challenge. The same challenge applies for a Solid oxide fuel cell (SOFC), where the SOFC does hardly have transient load capabilities.
A TSHD currently has an ICE capable of dynamic loading, and the main reasons for this transient load is the change in propulsion power, which is dependent on the friction of the draghead and the sailing speed of the vessel. Furthermore, a sudden change in mixture density in the dredging tube during dredging will result in a sudden transient load. To cope with these transient loads, the energy supply on board of a TSHD must have transient load capabilities.
A fuel consumption model has been developed to compare five drive train configurations for the dredging project Kustlijnzorg with an operational profile of 30 days with respect to the original drivetrain. The total power demand [kW] and the transient load [kW/s] was included on a boolean way in this model. When the power demand or the transient load was too high then the model would stop. By means of this model, the main particulars of the engines, fuel cells and batteries regarding power and energy were determined. The output of this model was the amount of fuel consumed during 30 days. This fuel consumption model was validated with another project.
The Construction Industry Research and Information Association (CIRIA) has developed a method to valuate a TSHD. With this CIRIA methods, the value of a conventional TSHD is determined and compared with the value of the ammonia driven configurations. The value of a new vessel that operates on ammonia can be estimated with the help of a sustainability factor. It is found that the weekly cost of the vessel is mainly dependent on; the value of the vessel, which is dependent on the installed power and cost of technology, the fuel consumption, the cost of fuel and the carbon tax.
Currently, it is not economically feasible to use ammonia as a fuel to decarbonize a TSHD. So, not with an ICE or SOFC. However, when green ammonia is used in combination with a SOFC, then a TSHD can be fully decarbonized. In order to make ammonia an economically feasible option for a TSHD, the price of MGO and carbon has to increase, or the price of the SOFC and ammonia (grey and green) has to decrease significantly.
Currently it is unclear if the carbon tax in the future applies to tank-to-wake (TTW) or well-to-wake (WTW) emissions. If the WTW emissions are taxed, then grey ammonia is never a better choice than MGO in terms of cost. Which is also the case when considering the CO2 equivalent emissions.
To conclude, it is currently not economically feasible to decarbonize a TSHD using ammonia as a fuel based on the cost per dredged material [€/m^3]. The limited transient load capabilities of drive train configurations on ammonia, need a battery or MGO to cope with the transient load of a TSHD. Future progress in the technology or developments in the reduction of transient loads could make ammonia as a fuel for a TSHD a better option. Finally, future developments in the price of: carbon, ammonia and MGO can make it economically feasible to decarbonize a TSHD.
Through ethnographic research, the project documents and celebrates the hidden spaces carved out of the marginlands for marginal actors to co-exist in the relentlessly commodified city. I will introduce three Custodians doing so within the framework available to them: the Street Gleaner, the Moat Gardener, and the Last Inhabitant. The proposed intervention provides a physical and social framework to host such custodians, their practices, and the materials that have already seeped into the city’s fissures. This design proposes a toolbox of affordances at three scales: Territorial, Structural and Habitual, to foster a Contemporary Commons in this context. Enabled by the toolkit, it begins the transition away from the more precarious occupations of the Custodians towards more productive practices engaging a wider and more diverse network of actors associated with the chosen sites. The resulting Commons acts as a platform for enrichment of involved actors’ roles: master, teacher, pro-sumer, maker, activist, learner, apprentice - diversifying and strengthening the former margins.","Istanbul; Margin; Commons; Informal Practice; Wasting; Weave; Timber Scaffold; Care; Destruction; Repair; Urban Transformation; Explore Lab; Ethnography","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Transitional Territories","","41.008024, 28.922333"
"uuid:b512a02b-f82d-4a6a-b0c8-33eec7471ef2","http://resolver.tudelft.nl/uuid:b512a02b-f82d-4a6a-b0c8-33eec7471ef2","Advancing Temporomandibular Joint Implant Design: Exploring the Impact of Functionally Graded Materials at the Site of the Prosthetic Joint","Khan, Afaq (TU Delft Mechanical, Maritime and Materials Engineering)","Mirzaali, Mohammad J. (mentor); Tümer, N. (graduation committee); Cruz Saldivar, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The mechanical failure of a temporomandibular joint (TMJ) replacement system can stem from various factors, with one notable cause being the unnatural kinematics and loading observed in prosthetic joint function. Given that,. the primary objective of the study was to investigate whether including functionally graded materials (FGMs) at the site of the prosthetic joint was feasible for achieving a greater resemblance to natural mandibular kinematics, while simultaneously reducing the reaction force exerted on the prosthetic joint. To this end, an FGM-based TMJ implant was designed with the intention of reducing joint reaction forces and enhancing joint flexibility to achieve a more natural kinematic behavior.
In this study, patient-specific finite element models of the intact and implanted mandible were simulated for two different biting tasks: incisal biting (INC) and left group biting (LGF). To incorporate FGMs into the implant design, an initial implant design was voxelized (with a voxel size of 0.25mm x 0.25mm x 0.25mm), allowing each voxel to be assigned a specific material. Subsequently, 10 voxel layers of the same size were added onto the implant head/prosthetic condyle. To investigate the impact of FGMs on mandibular biomechanics, a comprehensive analysis was performed on five distinct implant designs. These designs were differentiated solely by the functional gradient in material properties across the additional 10 voxel layers on top of the implant head. Each voxel (layer) was assigned a value of ρ, which represented the volume fraction of the hard phase.
The five distinct implant designs were as follows: (1) The first design was labelled as the ""Polymeric Implant"" consisting solely of the hard phase with a volume fraction of ρ=100%. It served as the reference for comparisons with other designs. (2) The second design featured an abrupt hard-soft connection without a gradient. This design was specifically examined to demonstrate the presence of stress concentrations at discrete interfaces between the hard and soft materials in layered composites. It was named ""Implant with abrupt hard-soft connection without a gradient"". (3) The third design was characterized by an FGM comprising ten discrete values of the volume fraction of the hard phase, ranging from ρ=0% at the surface layer to ρ=90% at the 10th layer with an increment of 10%. This design was designated as ""FGM, ρ= [0, 10,…, 90]"". (4) The fourth design encompassed an FGM featuring nine distinct values of the volume fraction of the hard phase, ranging from ρ=10% at the two surface layers to ρ=90% at the 10th layer with an increment of 10%. It was referred to as ""FGM, ρ= [10, 20,…, 90]"". (5) The fifth design comprised an FGM incorporating eight discrete values of the volume fraction of the hard phase, ranging from ρ=20% at the three surface layers to ρ=90% at the 10th layer with an increment of 10%. This design was named ""FGM, ρ= [20, 30,…, 90]"". The primary objective of investigating the fourth and fifth designs was to analyse the influence of a relatively less soft material at the surface (outer layer) of the implant head.
The biomechanical performance of an implant design was determined by utilizing normalized cross-correlation (similarity) and Euclidean distance (closeness) measurements between the displacement fields of the intact and implanted mandible. The performance of an implant design for a specific biting task was assessed by calculating the average of the percentage errors in each of these quantities. Additionally, the reaction forces on the prosthetic joint were compared between the implanted models.
The results showed that the second design, which featured an abrupt hard-soft connection without a gradient, was not viable. This was due to the presence of stress concentrations, stress increase up to more than ninefold was observed, at discrete interface between materials of contrasting hardness. This phenomenon could result in crack initiation and delamination, significantly compromising the overall performance and durability of the design. The study also revealed that material properties distribution on the surface of the implant head had a crucial impact on regulating mandibular displacements. Notably, greater displacements were observed when the outer layer of the implant head featured relatively softer material.
In comparison to the polymeric implant, “FGM,ρ=[0,10,…90]” implant exhibited an average performance increase of 19% for INC and a 3% performance decrease for LGF regarding mandibular displacement. Additionally, it reduced the joint reaction forces on the prosthetic joint by 8% and 12% during INC and LGF, respectively.
Moreover, the ""FGM, ρ=[0,10,…90]"" implant increased the mandibular range of motion by 20% during INC and 88% during LGF. Furthermore, it contributed to more symmetrical mandibular movement during INC, while the concept of symmetry was not applicable in the context of LGF.
These results have provided valuable insights for future research and development of TMJ implants, potentially leading to the creation of implants with improved long-term behavior.
The challenges in the healthcare system emphasise the need for promoting independent ageing. With an increasing gap between the demand for caregivers and their availability, especially in countries like Germany, the healthcare system is on the brink of a crisis. The situation requires the development of solutions that empower the elderly to age independently, reducing the pressure on primary and secondary care systems. A significant observation is the decline in water intake with age. While younger generations have a variety of tools to monitor and motivate water intake, such products often overlook the elderly demographic.
This thesis introduces ""Daria"", a product designed for hydration self-monitoring among the elderly. The primary stakeholder for this project is the elderly population, specifically those above 65 years who live outside care institutions.
Context mapping was used to understand the elderly's daily routines and challenges related to fluid intake. Expert interviews provided insights into current medical practices and the nuances of hydration among the elderly. Functional prototyping was key in the design process, allowing for tangible testing and validation of design solutions.
Literature research revealed several challenges the elderly face in maintaining optimal hydration levels. Ageing results in a reduced renal concentrating ability, diminishing the kidneys' capacity to retain water. More importantly, a decreased thirst sensation in older individuals often leads to inadequate fluid intake. Other factors, such as urinary incontinence and social isolation, further contribute to hydration difficulties.
Various strategies have been explored to enhance hydration among older adults. While many interventions have been conducted in controlled environments like nursing homes, none have focused on home settings. Common strategies include verbal reminders to drink and providing a variety of beverages.
In conclusion, ""Daria"" aims to introduce a new product category to enable hydration monitoring for the elderly. By understanding their unique challenges and habits, this product aspires to motivate them to maintain optimal hydration levels and enhance their overall quality of life.
The stakes for organisational security have therefore never been higher. Current trends in coping with this new threat landscape are, among others, to increase oversight and ensure strict regulations, both via internal policy and external regulators. Extant literature also emphasises an increase in security spending, as well as technical measures to protect business assets. This paper proposes a framework for determining the maturity of security governance within organisations. Security governance is concerned with the alignment of business goals on the one hand and security goals on the other hand, i.e. working productively and working securely. Good governance would mean that both business- and security goals can be reached without conflicting interests; preferably even by complementing one another. The research argues that security governance consists of more than merely technical measures and punitive oversight. It also parts from existing views about how more security (spending, measures, policies, etc,) is better and how security is predominantly addressed in isolation. Instead, security governance should be in alignment with ’the business’ of an organisation. This brings forward the notion of security governance, as the alignment between security policies and business goals.
Literature has found that these two concepts can often be conflicting, as more security in most cases impacts productivity. Therefore, the concept of maturity is coupled with the research. Maturity emphasises the alignment between security goals and business goals. The research adopts both a conventional view of maturity, as well as a social view of maturity. The conventional view focuses on the effectiveness of security governance. A higher level of maturity indicates improving one or both of the pillars of governance, i.e. the contribution to business goals and security goals. This could mean working more productively/efficiently given certain security policies. Or - the other way around - working more securely whilst also doing projects efficiently. The social view focuses specifically on the way alignment between the two pillars of security governance is reached. It acknowledges that not all perceived governance problems in organisations have a single solution that can be imposed top-down. Instead, employees in organisations have to cope with different challenges and perceive issues related to security governance differently. The social view on maturity therefore argues that dialogue is required between a representative stakeholder group, with different viewpoints and expertise, whereby policies are drafted in concordance.
By means of a case study at Damen Naval - a large Naval shipbuilding organisation relying heavily on IP and bound by strict regulations - input was gathered regarding security governance in three individual security fields: ’access control’, ’data classification, and ’monitoring & incident response. Incorporating results from a literature review, individual interviews and
a focus group session, a framework was built with both a conventional assessment of security governance and a social aspect of how the alignment of policies (security- and business-related) can best be reached. The foundation of the framework consists of six dimensions of security governance:
1. Organisation-wide security and responsibility/accountability
2. Risk-based approach
3. Direction of acquisition and commitment of resources
4. Conformance with internal and external requirements
5. Security positive/conscious culture
6. Security performance measurement/alignment
These dimensions were used to guide and structure the individual interviews and led to a list of performance indicators. Each indicator steers on improving security (in terms of policy-setting) and/or productivity (in terms of contribution to business goals). The research showed that although the security fields were different from each other, most indicators could be applied to all the security fields, showing that the indicators are generalisable to an organisation-wide level. The indicators led to practical recommendations for security governance at Damen Naval. The most important takeaways are to better empower engineers in making decisions on data classification, as currently engineers feel uncomfortable in doing this due to the negative consequences of ’under classifying’, which leads to information being classified higher rather than lower. Also, performance expectations should be clear for employees and additional hours spent on dealing with security measures should not be absorbed by engineers. In line with this, more effort should be put into quantifying the total costs of imposed security measures, both direct and indirect. This will make current security policies better explainable or address issues that need to be improved.
The final stage of the research aimed at reaching concordance on security governance. This was researched via a focus group session in which the metaphor of a doctor-patient relationship about a negotiated treatment plan was used to see whether and to what extent this relationship would be possible in an organisation such as Damen Naval. Despite the fact that such a relationship is hard to pursue in a large organisation with multiple stakeholders as well as being limited in autonomy due to external legislators, the results indicate that concordance would be possible, although on different levels inter- and intra-organisational. Intraorganisational, this research suggests composing an organisational structure wherein employees of the business, ICT and security are represented to discuss matters that are related to security. The focus group session itself proved that this contributed to reaching alignment. Inter organisational, dialogue with external regulators should be pursued. Using the framework for security governance and the security performance indicators, potential misalignment can be determined systematically and a more comprehensive discussion can take place. Finally, future research could focus on improving the framework to enable a Capability Maturity Model (CMM) approach and to conduct a similar case study with the inclusion of an external regulator.
Most of the previous research of vector processor focuses on single-core performance, and most of today’s large-scale computer system use non-uniform memory access (NUMA) architecture, how to efficiently deploy the vector processor in a NUMA environment remains a problem. NUMA is a shared memory model, with a NUMA system, there are multiple memories distributed in the system and usually each NUMA node has one memory. It will take the processors longer to access memories in other nodes then the memory in the same node, and this feature shows some opportunities to increase the performance of the NUMA system by accelerating the remote memory accesses.
In this thesis, a subset of the PARSEC benchmark are vectorized for both ARM Scalable Vector Instructions (SVE) and RISC-V Vector Instructions (RVV), and the single-core performance of these two types of processors will be compared based on this benchmark. Then a NUMA system is made for ARM SVE and the memory access pattern is analysed with gem5 simulator.","Computer Architecture; Vector Processor; NUMA","en","master thesis","","","","","","","","","","","","Computer Engineering","",""
"uuid:8ffb95af-3394-4bb2-9636-8c52a59bdded","http://resolver.tudelft.nl/uuid:8ffb95af-3394-4bb2-9636-8c52a59bdded","Optimization Strategies for System Architecting Problems","Valencia Ibañez, Santiago (TU Delft Aerospace Engineering; GKN Fokker)","la Rocca, G. (mentor); Bruggeman, A.M.R.M. (graduation committee); Sonneveld, J.S. (graduation committee); van der Laan, A.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","System architecting is one of the first stages of the engineering problem-solving process. Pivotal decisions regarding the system's overall configuration are taken in this phase. Consequently, decision support tools like system architecture optimization are needed to effectively assess the architectural design space. However, system architecture optimization problems include several features that make them challenging to tackle with traditional optimization techniques, including multiple objectives and mixed-discrete, hierarchical design spaces.
This work examines three algorithms capable of handling the mixed-discrete and multi-objective nature of system architecture optimization problems: genetic algorithms (such as NSGA-II), Bayesian optimization (BO), and the Deep Deterministic Policy Gradient (DDPG) reinforcement learning framework. These algorithms act as the engines that power three strategies capable of addressing hierarchical design spaces: \textit{global exploration}, \textit{nested optimization}, and \textit{decision chain}. While global exploration optimizes all design variables in a single loop and uses imputation on the inactive variables, the nested optimization method divides the problem into multiple hierarchical optimization loops. In contrast, the decision chain approach reframes the problem into a sequential decision-making process within an environment where an agent's actions alter design instances.
Test problems of varying complexity are used to evaluate the suitability of these algorithms and strategies. First, an airfoil optimization problem demonstrates the basic functionality of the selected algorithms with satisfactory results comparable to those found in the literature for the same problem. Next, a mixed-discrete version of the ZDT1 multi-objective problem is evaluated for different problem sizes and proportions of continuous and discrete variables. In this family of problems, the NSGA-II algorithm emerges as the most reliable and best-performing. At the same time, DDPG struggles with many variables, and Bayesian optimization runs into computational performance issues when dealing with large discrete combinatorial spaces.
Later, the architecture optimization strategies are evaluated on two versions of the Goldstein problem, a hierarchical and multi-objective test case. In the first version, which only considers eight architectures, all three strategies show competency in solving the optimization problem, but the global exploration strategy consistently shows the best performance. The decision chain strategy is discarded from further study at this stage due to relatively low performance and implementation difficulties. The second version of the Goldstein problem can be adjusted to different degrees of hierarchy and numbers of architectures. In this case, global exploration rises again as the best-performing strategy.
Finally, a real-world aileron structural optimization study at GKN Fokker shows that the implemented global exploration and nested strategies can find better designs than a random sampling of the design space. The best design for this test problem is achieved with a two-level nested strategy, combining NSGA-II in the outer loop and Bayesian optimization in the inner loop.
In conclusion, this research proposes three adaptive strategies powered by various algorithms to solve system architecture optimization problems. The findings suggest a preference for global exploration when a complete design vector can be pre-established. Otherwise, the nested optimization strategy, which can combine different algorithms' strengths and does not need a predefined design vector, emerges as the most suitable choice for more complex scenarios.","Optimization; System Architecture; Genetic Algorithms; Bayesian Optimization; Multi-Objective Optimization; Reinforcement Learning; Hierarchical Modeling; Mixed-Discrete","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Flight Performance and Propulsion","DEFAINE","51.99011573644667,"
"uuid:d0013e2b-4ed1-4d8c-b7c4-29d62c289c94","http://resolver.tudelft.nl/uuid:d0013e2b-4ed1-4d8c-b7c4-29d62c289c94","Speculating DATA-DRIVEN SHARED Decision Making in The Future of healthcare: Designing a data-driven Decision Support Tool (DST) for Oncology (Melanoma)","Rahmani, kamran (TU Delft Industrial Design Engineering)","Rozendaal, M.C. (mentor); Rietjens, J.A.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Navigating consequential decisions is a difficult task in and of itself, especially when they have a significant impact on one's life. This is especially true in the complex world of healthcare, where the importance of choices is magnified. The complexities of these issues can make it difficult for patients and their loved ones to effectively address them while dealing with increased stress and uncertainty. Medical professionals are also under immense pressure to ensure the well-being of their patients.
In such scenarios, the indispensable role of decision support tools (DSTs) becomes apparent. These invaluable resources aid both patients and healthcare professionals in selecting the optimal treatment option by carefully considering the risks and benefits involved. DSTs play a vital role in empowering individuals to make well-informed decisions by providing relevant information and facilitating comprehensive analysis. These tools enable the evaluation of various treatment options or potential outcomes. Some DSTs are data-driven, relying on prognostic algorithms. By utilizing analytical methods and algorithms on clinical data, they can offer predictions on survival rates, chances of recurrence, and estimated quality of life, particularly in diseases such as cancer.
Although data scientists have worked consistently to develop algorithms and guarantee the validity of the data used, there has been a noticeable lack of focus on defining the qualities of appropriate interaction with decision support tools. Numerous critical aspects remain unclear, such as identifying the appropriate qualities of interaction with a DST, determining the optimal delivery method for these tools, determining the optimal point in the care path to introduce them, specifying the relevant data to be provided to the DST, and deciding what information should be delivered to empower patients in their decision-making process. Furthermore, the integration and practical implementation of DSTs within the time limitations and complex dynamics of the medical context have been widely disregarded.
In this graduation project, we adopt a speculative design perspective to explore the future of data-driven healthcare. We aim to imagine how DSTs can become meaningful and sustainable components of the care path. Through a process of futurology, we envision an alternative future (or present) to contribute to the doctor and patient (as human actors) seeing the DST (the non-human actor) literally as partners in making decisions.","shared decision making; Data-Driven Decision Support; Decision Making Tool; Oncology; Melanoma; Prognosis Tool","en","master thesis","","","","","","","","","","","","Design for Interaction | Medisign","",""
"uuid:ff44ee9a-affc-4075-a6a8-f133c2c22ac6","http://resolver.tudelft.nl/uuid:ff44ee9a-affc-4075-a6a8-f133c2c22ac6","A Mass Optimisation Study of the Lunar Zebro Chassis","Sinck, Marc (TU Delft Mechanical, Maritime and Materials Engineering)","Goosen, J.F.L. (mentor); Delft University of Technology (degree granting institution)","2023","A prototype lunar rover is in development by students of the TU Delft since 2017. It is a nanorover based on the terrestrial ZeBRo design, now named the Lunar Zebro. The Lunar Zebro is a prototype design as a proof of concept for nanorover capabilities. With a chassis of 200 by 140 by 60 millimeters, fitting on a sheet of A5 paper, the Lunar Zebro is intended to be the smallest and lightest autonomous rover on the Moon to date. The objective is to traverse a distance of 200 meters during a lunar day, surviving the harsh environment and strong solar radiation. Due to the limited time budget there is little refinement in the structural design. The resulting functional but heavy design leaves many opportunities for optimization.
While there are many examples of successful planetary rover missions, little is published concerning the design of the structures. This report contains further analysis of the design of satellite structures. The various structure types and design requirements highlight the importance of thermal transport and resistance to mechanical launch loads. Compared to the deployed planetary rovers, the Lunar Zebro is unique in many ways. The small size facilitates production of the current monolithic chassis which is ideal in its thermal conduction and environmental sealing properties. However with a constant plate thickness and no reinforcing substructure, the structure is not an efficient loadbearing design. Due to the many requirements and unique mission profile of the Lunar Zebro, there is no clear method by which to optimise the structure.
To better understand the current structure and reduce the mass, a case study is performed with Finite Element Methods. After validating a modelling approach for thin plate reinforcement, a simplified chassis structure is generated. Maintaining the essential configuration of the chassis and connected components, the response to the static launch load of 10G is analysed. Several methods for rib placement design are tested while reducing the plate thickness. Buckling behaviour and CNC production limitations are accounted for in this approach. To minimally affect the other design requirements, the stiffness of the structure is maintained. While the placement of ribs is sensitive to the vicinity of connected components, equally stiff designs can be obtained with reinforcement grids. Reducing the plate thickness by 66.6%, a mass reduction in the order of 50% can be achieved without sacrificing stiffness. However, local adjustments are required to prevent plastic deformation in high stress areas.
From there the analysis and design of reinforcement grids is investigated further. Grids are often seen in aerospace applications due to the convenient geometries for CNC production, light weight and predicable orthotropic or isotropic behaviour. A smeared stiffness approach is investigated that relates the rib and plate interaction to composite plate theory. Applying this analysis method provides beneficial insight in the parameters and related stiffness behaviour of a grid reinforced plate. By modelling three common grid sections on a hypothetical plate design scenario with varying boundary conditions, the important criteria for the selection and design of a reinforcement grid are provided.
Whereas experiments successfully scaled the data onto master curves are usually of three-dimensional complex fluids, many numerical simulations are of low-cost two-dimensional flows, lacking direct referable experimental data. Additionally, scenarios involving droplets, bubbles, and particles trapped at the interface of two fluids inherently constitute a two-dimensional system. Motivated by these considerations, the project aims to measure the rheology of emulsion monolayers.
A cylindrical Couette ring configuration was built to facilitate the generation of monolayers and rheometrical measurements. The image processing method was developed to deal with three distinct scenarios, high $\phi$, medium $\phi$, and low $\phi$, depending on the concentration of droplets. The steady velocity profiles and the averaged packing fractions were acquired through image analysis. Subsequently, the local rheology was deduced and compared with the macroscopic rheological measurement at various packing fractions. While the densely packed emulsion monolayer is a shear-thinning yield stress material, the spatial cooperativity (non-local effect) and capillary force (wall effect) were found to have a profound influence on the rheology.","rheology; emlusion; monolayer; image processing; couette flow; non-local effects","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:a793f232-7f57-4d9f-8a43-80bd8a698a30","http://resolver.tudelft.nl/uuid:a793f232-7f57-4d9f-8a43-80bd8a698a30","Site Suitability Analysis of ground-based solar energy in the Netherlands","Manonukul, Poom (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Photovoltaic Materials and Devices)","Martinez Lopez, V.A. (mentor); Ziar, H. (mentor); Isabella, O. (graduation committee); Okur, Ö. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the rapid increase in renewable energy demand, various kinds of renewable technologies have been realized. Of particular interest is that they require a certain amount of space, and they may conflict with other land utilization purposes such as food production, protected nature areas, and life-sustaining services, thus the land use pressure is multiplying. For this reason, spatial management is required to analyze the optimal locations for such implementation.
A site suitability analysis is one of the applications that could be used to address this issue. Typically, it was done by mainly examining two constraints: technical and economical criteria, and excluding natural locations from the analysis. The challenge is that without the consideration of an environmental aspect, rich nature areas that are not included in the protection zones, cannot be identified. Therefore, this research aims to conduct the site suitability analysis for ground-based solar energy technology in the Netherlands and advance a suitability model by incorporating the environmental criterion in the assessment.
The study was designed into four phases. Beginning with Phase 1, a compatibility index was developed based on the concept of area degradation. This technique evaluates the compatibility level of an area in terms of an environmental constraint by quantifying the existing land degradation. Subsequently, it was combined with other factors from technical and economical criteria, constructing the suitability index in Phase 2. The Analytic Hierarchy Process (AHP) is a method that was adopted in this combination process. At the end of this phase, five suitability maps were generated from the shift in focus among technical, economical, and environmental criteria. Later in Phase 3, an additional suitability map was developed by analyzing the locations of existing solar projects in the Netherlands. Finally, an example of applying the suitability results was demonstrated in Phase 4 through a case study that set an energy target of 35 TWh as a minimum requirement for solar energy development.
As a result, the preferable locations were specified by the suitability model for this energy realization. They are mostly distributed in the western part of the country (Zeeland, Zuid-Holland, and Noord-Holland provinces) around the major urban and industrial sectors. The proportion of land features in these areas is comprised of 0.4% for border of infrastructure, 17.9% for natural areas, 19.3% for urban areas, and 62.4% for agricultural areas.","Site Suitability; Site Selection; MCDA; Solar Energy","en","master thesis","","","","","","","","2025-08-31","","","","Electrical Engineering | Sustainable Energy Technology","SET3901",""
"uuid:094ada37-dfdb-4d47-9802-d36d19f90b07","http://resolver.tudelft.nl/uuid:094ada37-dfdb-4d47-9802-d36d19f90b07","Electric-pump Rocket Engines: Comparative analysis of the electric-pump cycle using Rocket Cycle Analysis Tool (RoCAT)","van den Berg, Ruben (TU Delft Aerospace Engineering; TU Delft Space Engineering)","Zandbergen, B.T.C. (mentor); Cervone, A. (graduation committee); Gill, E.K.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The electric-pump cycle is a rocket engine configuration that uses an electric motor to power the pumps instead of a turbine. This offers several expected advantages such as simpler design, lower development costs, and easier restartability, but comes with reduced performance compared to conventional cycles. Previous research has primarily compared the electric-pump cycle to the gas generator cycle and has been limited to direct comparison. To extend the previous research a Rocket Cycle Analysis Tool was developed called RoCAT. It models the last-named cycles as well as the open expander cycle for a broad scope of thrusts, burn times, and chamber pressures, and several propellants. In addition, RoCAT optimizes several inputs for each cycles individually for a fairer comparison. Besides analyzing the electric-pump cycle's current performance, this research also estimates its performance in the future based on historic trends in its key technologies like the battery and electric motor.","propellant; rocket; rocket engine; rocket propulsion; cycle; optimization; propulsion; preliminary design; electric motors; rocket engine cycles","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:1ef8ef79-352d-453d-b411-b26f48035d43","http://resolver.tudelft.nl/uuid:1ef8ef79-352d-453d-b411-b26f48035d43","Improving the anode subsystem water management of a PEM fuel cell system: A simulation study towards enhanced power density and lifetime","van der Arend, Jelle (TU Delft Mechanical, Maritime and Materials Engineering)","de Jong, W. (mentor); van Biert, L. (graduation committee); Haverkort, J.W. (graduation committee); Delft University of Technology (degree granting institution)","2023","The increasing awareness and urgency of climate change have led to an increase in investments and research into power sources not reliant on fossil fuels. Proton exchange membrane (PEM) fuel cells are a promising technology for automotive, maritime, and auxiliary power applications converting chemical energy into electricity. In order for this upcoming technology to compete with well-established alternatives such as diesel generators and combustion engines, it is of vital importance to improve the power density and durability.
PEM fuel cells produce water and heat during operation. The presence of superfluous liquid water in the fuel cell stack gives rise to flooding of the electrodes, which hampers operation and induces degradation processes. On the other hand, it is of great importance to maintain a high membrane humidification to reduce Ohmic losses over the membranes and avoid the formation of cracks. Therefore, water management plays a vital role both in maintaining the power density and guaranteeing durability.
This thesis is written under the auspices of both TU Delft and PowerCell Group, a manufacturer of PEM fuel cell systems located in Gothenburg, Sweden. Currently, a substantial amount of water condenses in the anode subsystem of PowerCell’s PEM fuel cells in certain operating ranges which subsequently enters the fuel cell stack. This study identifies the influence of certain system operating parameters on responses as the water crossover through the membranes, the relative humidity at the stack inlet, the temperature at the inlet of the stack, the condensation rate in the mixing chamber of the recirculation loop, and the mass flow rates of liquid water and water vapor in and out of the stack. Multiphysics simulation software provided by Gamma technologies is used to simulate 5600 operating points in which the system operating parameters are varied according to the Latin Hypercube sampling method. These simulations give a clear overview of the influence of the operating parameters on the aforementioned responses over the entire operating range of PowerCell’s PS-100 system.
The simulated experiments are subsequently used as a basis to construct metamodels. These metamodels predict the behavior of the system based on the operating parameters varied in the 5600 simulations. The metamodels are constructed both as Krigings and as multilayer perceptrons (MLPs). Kriging is a statistical method which produces an output for a certain response based on known input data where input points which resemble the unknown point are given a greater weight. MLPs are neural networks which recognise patterns in input data and use those to predict an output for a certain response. Finally, the operating parameters are optimized using the metamodels to minimize the liquid water mass flow rate into the stack, to prevent condensation in the mixing chamber of the anode subsystem, and to target a certain inlet relative humidity of the hydrogen feed to the stack. Concluding from these optimizations it would be beneficial to preheat the hydrogen before it reaches the mixing chamber. A number of alternative designs for the anode loop are proposed which require further investigation.
11 polka-dot configurations were tested wherein the polka-dot height, diameter and spanwise (flow-normal) spacing was varied. Two PIV domains were imaged: the boundary layer flow before and after the polka-dot (covering an azimuthal range of about 40◦ of the circular profile), and the wake domain of the cylinder (about 2 diameters into the downstream flow). The boundary layer flow images were used to characterise the flow seen by the polka-dot array, and how it is affected by changes in the polka-dot geometry. The wake domain PIV imagery was used to examine the shape and dimensions of the cylinder wake.
Among the 11 tested polka-dot configurations, 10 effectively triggered drag reduction to varying extents within the relevant regime. The minimum drag coefficient was achieved by the configuration with the polka-dots of greatest diameter. It was also seen that increasing polka-dot height is likely to cause premature separation which is further exacerbated by a narrower polka-dot spacing. In general, results indicate that shorter and wider polka-dots cause transition at lower Reynolds numbers, and a greater reduction in drag occurs when transition takes place at higher Reynolds numbers. Polka-dots placed in closer proximity initiate flow tripping earlier, while wider spacing results in more substantial drag reduction. However, it is observed that the polka-dots, when spaced closer together, see a lower flow velocity for the same polka-dot height and may lead to premature separation.
In terms of the wake width, a high linear correlation is seen between the measured wake width and the measured coefficient of drag (r2 ≈ 0.9). It is also seen that for drag coefficient values close to the minimum drag coefficient value, the wake width sees minimal change. The change in wake geometry is then seen as a change in the wake tapering (downstream decrease of the wake width) and the streamwise wake length. Therefore, a larger wake imaging domain in the streamwise direction is likely to allow for a more accurate correlation of the wake geometry and the drag coefficient.
While the study offers valuable insights, several recommendations are put forth for further research. Expanding the wake imaging domain is suggested to enhance correlations with the drag coefficient, and investigating spanwise flow variations would provide deeper insight into the tripping mechanism.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Aerodynamics","",""
"uuid:bc7c7af2-8fb7-4043-b62e-e8f492eaf068","http://resolver.tudelft.nl/uuid:bc7c7af2-8fb7-4043-b62e-e8f492eaf068","The Global Warming Impact of Applying Bio-Based Insulation Materials in Residential High-Rises in Amsterdam","van der Ham, Jonas (TU Delft Architecture and the Built Environment; Wageningen University & Research)","Brancart, S. (graduation committee); van Timmeren, A. (mentor); Migoni Alejandre, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","In light of the changing climate and the need for urban densification in the
Netherlands, this study analyzes the potential global warming impact (GWI)
of implementing bio-based insulation materials (BBIMs) in high-rises in Amsterdam.
A literature and market review led to the identification of straw, grass,
hemp, flax, wood-fiber, and cellulose insulation as the most relevant BBIMs in
the Dutch context because of local availability and potential scalability. From
an expert interview on fire-safety constraints of BBIMs, it was concluded that
a 12 mm layer of gypsum fiberboard is needed to ensure fire safety in high-rise
buildings for insulation materials which do not meet fire-safety class A1/A2.
The GWI of the BBIMs was compared with stone wool, glass wool, expanded
polystyrene (EPS) and extruded polystyrene (XPS) through a dynamic Life
Cycle Assessment (LCA). The results consistently demonstrated optimal
GWI performance for the plant-based BBIMs, while XPS and cellulose typically
had the highest GWI. In a building case study, cumulative radiative forcing
values between 1.61e−8 W m−2 yr (cellulose) and −1.66e−8 W m−2 yr
(straw) were found in 2222. For the insulation of all 97.500 residential highrise
buildings which are to be built in Amsterdam until 2050, these values
were 2.50e−6 W m−2 yr (XPS) and −2.59e−6 W m−2 yr (straw). Annual
emissions savings of up to 587 tons of CO2-equivalents were projected when
switching from XPS to straw insulation. In working towards its 2050 climate
neutrality goals, the city of Amsterdam is advised to stimulate the implementation of BBIMs in all buildings, focusing on straw, grass and hemp in prefabricated façades.","Bio-based; Insulation; Global warming impact; Dynamic LCA","en","master thesis","","","","","","https://github.com/Jonasvdham/BBIMs Code base used for DLCA modeling","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:d88dae1a-768a-4872-8507-ad96033add1f","http://resolver.tudelft.nl/uuid:d88dae1a-768a-4872-8507-ad96033add1f","Creating an easy-to-use tool for (re)designing repairable products","Bahlmann, Job (TU Delft Industrial Design Engineering)","Flipsen, Bas (mentor); Bakker, C.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This project aims to develop an easy-to-use method to (re)design products for ease of disassembly and subsequently for circular strategies, such as repair, refurbish, remanufacture, etc. Improving repairability is one of the first steps in decreasing the environmental impact of products by prolonging their technical lifespan. This thesis focuses on two separate tools that have the potential to be integrated: the Hotspot Mapping tool and the Disassembly Map. Both tools assess a product by disassembling it into its core parts and recording the properties of the parts and the disassembly steps (Fazio & et al., 2021; Flipsen et al., 2020).
The Hotspot Mapping tool highlights parts and disassembly activities that are important due to their failure rate, functionality, disassembly effort, and environmental and economic impact. On the other hand, the Disassembly Map uses these highlighted features to create a visual representation of the product architecture. Since the inputs for both tools are similar, it raises the question of whether it is possible to link them together.
The tools are analysed by literature review and usability questionnaires, resulting in a usability score of the original tool and strengths and weaknesses in terms of features and usability. Through an iterative approach, a new tool is developed, that uses a node/edge lists data structure to describe the product architecture of a product. This data-structure allows for the automatic generation of a dynamic Disassembly Map (Figure 1) in the visualisation software program yEd Graph Editor. The dynamic Disassembly Map allows designers to select which properties to display and which to hide, enabling focused attention and a better overview.
Through user testing the new method has been proven to offer increased usability, requiring less time to fill in, and an overall preference by all usability test participants over the original Hotspot Mapping and Disassembly Map tools.","Circular Economy (CE); Hotspot Mapping; Disassembly Map; Repairability; Disassembly; Product architecture","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:ca25bd8e-d9fb-4c76-80d5-c4cc748ff539","http://resolver.tudelft.nl/uuid:ca25bd8e-d9fb-4c76-80d5-c4cc748ff539","From Course to Online Learning Paths: Improving the Teacher's Experience of an Existing Online Node-link Course Tool","Lips, Maarten (TU Delft Electrical Engineering, Mathematics and Computer Science)","Specht, M.M. (mentor); Migut, M.A. (graduation committee); Valle Torre, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The online website Skill Circuits is a tool developed by teachers at the Delft University of Technology. Skill Circuits is an online learning tool that presents students with a node-link (i.e. a tree) structure where each node represents a skill, containing tasks that aim to teach the skill. The website aims to benefit teachers, by letting them think how all the 'skills' in their course relate and whether or not they have sufficient material to teach these skills. At the same time, the website aims to benefit students by giving them a learning path to follow, where each step is a small task, and each skill is visually connected to previous skills, showing how the learning material is connected.
This thesis aimed to help new teachers understand the concept of these node-link structures --- called skill circuits --- and also expand the website with features to help them evaluate their skill circuits, both before and during the course.
A documentation help page was developed, which explained the concept and intended usage of the website and was accompanied by a proof-of-concept skill circuit explaining the same topics. Tools to help evaluate a skill circuit were also developed, which included tools that could be used before the course was held, and tools that used analytics generated by students. One noteworthy tool took inspiration from the Constructive Alignment principle, where a teacher can label parts of their skill circuit with learning objectives to see if there is alignment with their course material.
All of these features were evaluated with a small focus group consisting of teachers, which showed enthusiasm toward the proposed features.","EdTech; Instructional Design; learning analytics; Java; Web Application","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:b8761fc1-ce06-4418-a539-39011568743b","http://resolver.tudelft.nl/uuid:b8761fc1-ce06-4418-a539-39011568743b","Profiling the palette of teacher preferences: Utilizing Q-methodology to inform targeted policies in primary education in the Netherlands","Insinger, Emma (TU Delft Technology, Policy and Management)","van der Voort, H.G. (mentor); Doorn, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis addresses the issue of teacher shortages in Dutch primary education. The first section of the study encompasses a multi-actor analysis of the Primary Education sector and provides a comprehensive overview of the recent efforts to reduce the teacher shortage.
Next, utilising Q-methodology, profiles of three types of teachers are drawn based on teachers' job preferences. The first is the Service-Focused teacher, who exhibits a strong commitment to assisting underprivileged children and values ethical leadership and community engagement. The second is the Classroom-Focused teacher, who prioritises pedagogical autonomy, trustworthy leadership, and stress mitigation. Lastly, the Career-Focused teacher emphasises personal growth, leadership opportunities, and career progression.
The final section of this study assesses the recent policies targeting the teacher shortage, as analysed in the first section, taking into consideration the Q-methodology outcomes. The conclusion recommends further research focusing on school leaders and teachers at schools in disadvantaged neighbourhoods.","Q-methodology; teacher job preferences; Primary Education sector","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:f1ed95f1-903a-4b59-82a5-de935a794bcb","http://resolver.tudelft.nl/uuid:f1ed95f1-903a-4b59-82a5-de935a794bcb","A geometric approach for controlling local overheating in topology optimization for additive manufacturing","DAS, MANABENDRA NATH (TU Delft Mechanical, Maritime and Materials Engineering)","Ayas, C. (mentor); Wu, Jun (graduation committee); Ranjan, R. (graduation committee); Wu, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Additive manufacturing (AM) has revolutionized part manufacturing, offering unprecedented design freedom and the ability to fabricate intricate structures. Computational design methods like topology optimization (TO) effectively capitalize on AM's design freedom. However, manufacturability constraints must be considered to ensure reliable manufacturing. A significant constraint is local overheating, prevalent in metal additive manufacturing, leading to defects, part distortion, and diminished mechanical properties. While overhangs are commonly associated with overheating, they are not the only geometric features prone to overheating. This thesis addresses the overheating issue in topology optimization by a novel geometric approach. Specifically, we propose to estimate the local conductivity around each element by evaluating the material distribution in its vicinity. This is then used to generate a pseudo temperature field (i.e., hotspot map) to assess overheating risks. Formulated as a constraint in topology optimization, our approach creates optimized structural layouts free of local overheating during additive manufacturing. Additionally, the approach is implemented in space-time topology optimization, limiting overheating risks by simultaneous optimization of structural layout and fabrication sequence. Compared with existing overheating prevention methods, the geometry-based constraint demonstrates significant computational advantages. Transient thermal AM simulations were conducted on the final designs obtained using the physics-based method from the literature and the novel geometry-based overheating prevention method. The numerical results have shown that the proposed geometric approach is efficient and effective in controlling local overheating in topology optimization for metal additive manufacturing.","Topology optimization; Additive Manufacturing; Local overheating","en","master thesis","","","","","","","","2024-08-31","","","","Mechanical Engineering | Precision and Microsystems Engineering","",""
"uuid:236091cb-8d5c-4465-8f73-476dc7329d66","http://resolver.tudelft.nl/uuid:236091cb-8d5c-4465-8f73-476dc7329d66","Criminal Fugitive Escape Routes: The influence of behavioural route-choice factors on criminal fugitive escape routes","Tutuarima, Willemijn (TU Delft Technology, Policy and Management)","Verbraeck, A. (mentor); Kroesen, M. (mentor); van Droffelaar, I.S. (mentor); Delft University of Technology (degree granting institution)","2023","When a crime is committed, the task of the regional control rooms is to use the available situational information to identify the possible movements of a fugitive suspect to use in positioning police units. Currently, the methods to do this rely heavily on the intuition and experience of the control room employees and the speed of technology and communication. To reduce this reliance, there is an increasing demand for methods to objectively determine the tasks to undertake in a fugitive escape situation. Two methods to do this are under development which help in determining the location of a fugitive suspect and the optimal positioning of police units to these locations. However both of these methods
still require a demarcation of the possible routes that a criminal fugitive will take to be used effectively. Therefore, this study explored the possibility of making likelihood estimations of possible escape routes.
Because of a lack of reliable data, alternative methods to determine likelihood of escape routes are needed. A method that could be used is simulation. Simulation of human behaviour is however complex and careful consideration of the
assumptions in such a model is needed to be able to have a high level of confidence in the resulting outcome. To do this, it is important that the theoretical background on which behavioural factors influence the criminal fugitive route-choice behaviour is complete and it is known how these factors affect the resulting routes. This is the knowledge gap addressed in this study.
To address this knowledge gap, the question of what effect behavioural factors from criminal route choice behaviour have on escape routes will be answered. This is done by determining which main factors influence criminal fugitive route-choice behaviour and how these factors influence the resulting escape routes. The method used to answer these questions is a combination the development of
a theoretical background based on a literature review of existing research and expert opinion and a quantitative sensitivity analysis on a simulation model.
Because of a lack of research on criminal fugitive route-choice behaviour, it was necessary to use literature from the following research fields to find relevant topics: criminal decision-making, rationality in decision making and route-choice decision-making. From the literature in these fields, it was found that many different personal and crime characteristics exist, but it is unknown how these affect route choice behaviour. Next to this, it was found that rational decision-making cannot be assumed for the criminal situation and that bounded rationality needs to be considered. Lastly, from the route-choice decision-making literature, it was found that many different route-choice factors are relevant. The following list of route-choice behavioural factors was found: obstacle avoidance, risky behaviour, traffic avoidance, route distance and maximum speed, and preference for main or residential roads. For the route choice decision-making modelling methods, the following relevant topics were found: cost benefit
calculations, short or long-term goals, emotional state, choice prioritisation and timing. These two lists of factors should be considered when conceptualising criminal fugitive route-choice behaviour.
In the conceptualisation phase of this study, it was found that while many different suspect and crime characteristics might affect suspect behaviour, no specific behavioural profiles could be used to conceptualise route-choice behaviour. Therefore it was chosen to conceptualise the behaviour by creating
dynamic strategy profiles based on behavioural route-choice factors. From the list of behavioural route choice factors to include in these strategy profiles, it was found that they can be described as either a preference or avoidance of road characteristics. The road characteristics seen to be avoided are cameras, obstacles, one-way roads and high traffic. The preferred road characteristics are a high number of lanes, residential roads, a high maximum speed and short roads. Next, it was found that there is a distinction in decisions based on long or short-term goals, which require either low or full network familiarity. For general route-choice behaviour, the conceptualisation of a route choice as a whole route between an origin and destination location was found to be most appropriate. When considering the rationality of the decisions made for the route choices, it was found that there is too much uncertainty and ambiguity in the considered bounded rationality conceptualisation to use them for aconcrete route-choice conceptualisation. Therefore, alterations to the assumptions of rationality are
used to concentualise this. Finally, the emotional state of a fugitive is included in the conceptualisation through the possibility of changing route-choice strategies. This conceptualisation is further used to describe the general criminal fugitive route-choice behaviour in this study.
To measure the influence of the behavioural route-choice factors in the conceptualisation, a route cost model was developed. In this model, the cost of a route is calculated using the characteristics of the edges in a road network. Based on this model, an experimental design is defined including a case study and sensitivity analysis to find the quantitative influence of route-choice behaviour on route metrics describing differences in escape routes through route length and overlap.
When evaluating the results of the case studies and sensitivity analysis, it was found that the influence of behavioural route-choice factors on routes depends on the origin and destination locations and the distribution of edge characteristics over a road network. Next to this, it was found that there were no
behavioural profiles leading to routes with specific characteristics and that in practical application, a broad set of strategies should be included when finding important locations is a road network to use for positioning police units. To do this, a method of using heat maps to find these locations was proposed.
This method combined with the route cost model described in this study was found to have high applicability but more research needs to be done on the usability of this method.
From the findings of this study, it can be concluded that criminal fugitive route-choice behaviour is complex and that different possible conceptualisations exist to be used for different purposes of studying general route-choice behaviour or specific behavioural factors. This affects the ability to measure the influence of behavioural factors on the resulting routes. Limitations were found on the
measurement techniques used in the quantitative method to measure differences in routes which reduced the ability to interpret the resulting influence of behavioural factors on the routes. This showed that to find the influence of behavioural factors on the routes, the results of this study can show that the route-choice factors defined in the conceptualisation affect the routes but that more qualitative research is needed to find how these factors influence the resulting routes.
To conclude, the findings of this study add to current research by showcasing the complexity of modelling route-choice decision-making and human behaviour in general and the many considerations that need to be taken when doing so. Next, it shows the difficulty of using quantitative and qualitative methods on the data type of routes to determine relations between factors influencing route-choice behaviour and resulting routes. And lastly, it adds to the current literature by developing an overview of the factors influencing criminal fugitive route-choice behaviour that need to be considered in the simulation of fugitive escape routes.","criminal decision-making; simulation; human behaviour; route-choices","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:41dc9ae8-2055-47d1-bb0a-a870cc076cdc","http://resolver.tudelft.nl/uuid:41dc9ae8-2055-47d1-bb0a-a870cc076cdc","Linear Clustering Process on Networks","Wang, Beichen (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Network Architectures and Services)","Van Mieghem, P.F.A. (mentor); Dubbeldam, J.L.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Community detection and graph partitioning have seamlessly integrated themselves into the fabric of network science by providing valuable insights into the structure, function, and dynamics of complex networks. In this thesis, a comprehensive performance comparison of the recently introduced Linear Clustering Process (LCP) is carried out against well-established clustering algorithms from literature. We evaluate its effectiveness using synthetic benchmarks commonly employed in the field, as well as real-world networks with both known and unknown community structures. Through our analysis, we reveal that the Linear Clustering Process consistently yields superior community partitions with optimized modularity when the clusters are well-defined compared to the majority of the assessed algorithms. Meanwhile, remarkably, this improved performance is achieved while maintaining computational complexity comparable to the simplest existing clustering algorithms. Furthermore, this thesis also provides an empirical approach for enhancing the performance of a variant of Linear Clustering Process on power-law networks.","Community detection; Graph partitioning; Linear process","en","master thesis","","","","","","","","","","","","Electrical Engineering | Wireless Communication and Sensing","",""
"uuid:bf076515-9d34-488e-91a2-0695560bc867","http://resolver.tudelft.nl/uuid:bf076515-9d34-488e-91a2-0695560bc867","Green facades for a resilient and liveable built environment: A holistic multi-criteria approach for selecting vertical greening systems","van Reeuwijk, Hugo (TU Delft Civil Engineering & Geosciences)","Jonkers, H.M. (mentor); Ottele, M. (graduation committee); Alkisaei, H. (graduation committee); Thoen, H.M.J. (mentor); Delft University of Technology (degree granting institution)","2023","Vertical greening systems (VGS), i.e. vegetated building facades, can harness the benefits of nature to contribute to resilient and healthy cities. A lack of guidelines for the early selection and design of VGS currently limits implementation. Based on a literature study and expert interviews, this thesis proposes a multi-criteria framework and tool to assist architects and engineers in the holistic selection of multi-purpose VGS. The research compares the performance of different system types on 18 impact criteria, ranging from urban noise reduction to installation costs. Depending on project-specific input about environmental conditions and building project objectives, the framework provides a ranking of the most suitable VGS. Design recommendations ensure a VGS that is fit for purpose. Subsequently,
sensitivity analyses, a case study and testing on sample projects validate the usability and results of the tool. The thesis extends current perspectives on evaluating the impact of VGS on the built environment. The tool enables users to make holistic and justified decisions on the application of a VGS.","Vertical Greening Systems; Multi-Criteria Decision-Making (MCDM); Nature-based Solutions; Green Facades; Living Wall Systems","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:84b4b4b1-cb2d-46e9-84be-d6c1dec7b074","http://resolver.tudelft.nl/uuid:84b4b4b1-cb2d-46e9-84be-d6c1dec7b074","Cryo-CMOS Readout of SNSPDs","Li, Maoran (TU Delft Electrical Engineering, Mathematics and Computer Science)","Sebastiano, F. (mentor); Verhoeven, C.J.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Single-photon detection is a critical step in both quantum computing and quantum information technology. For instance, the measurement of qubit states and the establishment of entanglement in nitrogen vacancy (NV) center quantum computing requires the detection of single photons. Superconducting nanowire single-photon detectors (SNSPDs) are very competitive devices due to their performance in high detection efficiency, high count rates, low dark count rates, and low jitter. However, the readout electronics are usually implemented at room temperature by connecting the dilution refrigerator through coaxial cables, while SNSPD need to work in a cryogenic environment that is close to the qubits. In order to have better integration and reduce the complexity of the wiring when the number of qubits increases, it is essential to position the electronics close to, or even integrated with, the SNSPD and qubits.
In this thesis, a cryogenic CMOS readout for SNSPD is designed and taped-out using TSMC 40 nm technology. The SNSPD is designed for color-center quantum computing, which is anticipated to work in the wavelength range of 619-620 nm and 625-750 nm, and at a temperature of 1.8 K. The readout electronics are expected to operate at 4 K. The system is required to have a detection efficiency of more than 90 % and a dark count rate of less than 1 Hz. With the help of SPICE dynamic model, the SNSPD is reproduced in Cadence Spectre for circuit design. Active quenching is implemented in the readout architecture, allowing for an increased readout resistor, which improves the output slew rate and count rates without any latching while still keeping a high bias current for a higher detection efficiency. Under a -40 degree Celsius simulation, the readout system achieved count rates greater than 20 MHz, an average jitter of 25 ps rms, and a power consumption of 36 uW, while simultaneously expecting to significantly suppress the dark count rates and after pulses.","Cryo-CMOS; SNSPD Readout; Active Quenching; NV Center","en","master thesis","","","","","","","","2025-08-29","","","","Electrical Engineering","Fujitsu/QuTech Collaboration",""
"uuid:d828746f-cdf5-4053-b7ac-fa7fd11fd4fb","http://resolver.tudelft.nl/uuid:d828746f-cdf5-4053-b7ac-fa7fd11fd4fb","Development and Assessment of Resource Management Solutions for Throughput Enhancement in a RIS-aided Mobile Network","Agarwal, Sakshi (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Network Architectures and Services; TNO)","Litjens, R. (mentor); Das, Kallol (mentor); Joseph, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Reconfigurable Intelligent Surfaces (RIS) are envisioned to become a pivotal transformative technology within the realm of 6G mobile networks. In this study, we introduce three heuristic algorithms designed to optimize radio resource management, ultimately enhancing throughput within a RIS-enhanced mobile network. Our findings demonstrate that the algorithm which holistically optimizes user scheduling, RIS-UE association, cell precoding matrices, and RIS configuration matrices outperforms alternative strategies.
Moreover, our investigation delves into uncovering the most effective applications of RIS. This involves a thorough performance comparison of the algorithms across diverse scenarios, encompassing varying RIS deployment configurations (position and orientation), number of users in a network, and number of RIS elements. Additionally, we model the influence of blocker loss—characterized by blocker presence probability and strength—on throughput performance.
In the wake of our study, it becomes evident that RIS exhibits the most promising potential in scenarios involving MU-MIMO configurations, whether within single-cell or multi-cell layouts, and for both indoor and outdoor user settings. However, for SU-MIMO cases, RIS-induced throughput enhancement manifests exclusively in single-cell layouts, and particularly benefits outdoor users in environments marked by substantial blocker strength.","Reconfigurable Intelligent Surfaces (RIS); Radio resource management; Throughput enhancement; MIMO; 6G; cell-user association; RIS-user association; user scheduling; beamforming; RIS optimization","en","master thesis","","","","","","","","","","","","Electrical Engineering | Wireless Communication and Sensing","",""
"uuid:8c2c0424-609f-4575-b6f3-c7045e45b1f1","http://resolver.tudelft.nl/uuid:8c2c0424-609f-4575-b6f3-c7045e45b1f1","Optimization of Strawberry Supply Chain from the Perspective of Producers","Yao, Zhongbo (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yorke-Smith, N. (mentor); Wen, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis aims at maximizing the profit of a strawberry producer while satisfying the retailer's demand and meeting other constraints. The amount of strawberries to be delivered to the retailer signed in the contract is the main decision variable to be optimized in the problem. Furthermore, the transportation scheduling is also optimized to help the producer reduce cost.
The report highlights the polarizing effect that linking gender identity to gender expression can have on cisgender and heterosexual individuals due to fear of the unfamiliar. This phenomenon is also observed at Delft University of Technology, where trans and non-binary employees feel less comfortable and less connected to the university community compared to their colleagues. A recent survey by the D&I office identified these issues, but the root causes and solutions remain unclear, with investigations into student experiences ongoing.
The project's objective is to create a more inclusive and supportive environment for transgender people at Delft University of Technology. The emphasis is on fostering comfortable conversations between cis and transgender people and providing appropriate language for discussing this sensitive topic. By achieving a more informed perspective, healthier interactions between cisgender-heterosexual and trans/non-binary individuals can be promoted, creating a secure environment where everyone can express themselves without causing offence.
This research signifies a deliberate choice to concentrate on the cultural aspects of queer inclusion rather than the systemic aspects. This is because the TU is actively working on enhancing policies but is lacking initiatives to change the institute's culture. People at the TU often express a desire for inclusivity due to their cognitive empathy, understanding the challenges faced by minorities. However, this sentiment doesn't always translate into action. To bridge this gap, it's important to trigger emotional empathy by enabling individuals to feel from the perspective of a minority.
The heart of the VR experience is being immersed in scenario’s that transgender people run into. Even though the user experiences the scenarios through the point of view of a transgender person, it does not try to replicate how it is to be trans. Such an experiences cannot be replicated in a matter of minutes. The goal is to activate allies to stand up for transgender people when they cannot do that themselves.
Nowadays, the development of the technology is providing with numerous tools and analytical models for the engineers. However, in the early stages of assessment is important to have in hand a decision making method capable to fast and reliably highlight the most promising solutions.
In this thesis an assessment method of multiple flood protection improvements have been developed in order to highlight the most promising ones. The assessment method is based on three decision making parameters, the decrease of water level maximum, the cost and the ecological impact. To handle the first aspect, the wind set-up formula, a lake level formula, the normal flow depth formula and the probabilistic model Hydra-NL have been used for the water level calculations. The implementation cost has been calculated using rough dimensioning and characteristic unit values. To qualitatively assess the aspect of the ecological impact, the concept of the ecological sign has been developed. The qualified improvements can be then handled from a second detailed assessment. In this thesis the second assessment stage is only a recomendation and have not been applied.
The method which developed have been applied for the case of Ramspol Barrier. From the 20 designed improvements, 5 have been qualified as the most promising and those are the construction of a breakwater in IJssel Lake, the construction of an outflow channel at Ketelbrug, the sizing-up of Zwarte Lake, the raising of the height of the dikes and the construction of flood plains.
In my study, I use signal processing techniques that transform the spectral characteristics of normal speech to those of pseudo-whispered speech, called pseudo-whispered-based data augmentation. I enhance an End-to-End ASR system by incorporating pseudo-whispered speech and state-of-the-art (SOTA) data augmentation methods, speed perturbation and SpecAugment, yielding an 18.2\% relative reduction in word error rate compared to the strongest baseline.
Results for the accented speaker groups in the wTIMIT database show the best results for US English. Further investigation uncovers that the lack of pitch in whispered speech has the largest impact on the performance of whispered speech ASR.
issue regarding the drivers and barriers of Vehicle-to-Everything (V2X) technology. While various drivers and barriers have been identified, there is inconsistency in their level of aggregation, even within the same articles.
Furthermore, most of the literature takes a generic view of the V2X system, with only a few articles focusing on specific national contexts. In particular, there is a complete lack of scientific literature that specifically investigates the performance of V2X in the Dutch context. Also, very few studies consider the impact of configuration decisions on the drivers and barriers of the system. This research gap is critical to address and fill, as it provides essential insights into the effects of configuration decisions on the performance and innovation potential of a bidirectional charging system. Understanding these effects will enable a more targeted use of resources and facilitate the practical implementation of V2X systems. This thesis aims to explore different V2X system designs in a socio-technical context, analyse their socio-economic performance and innovation potential, and provide valuable insights for the successful implementation and adoption of V2X technologies in the Netherlands.","Bidirectional charging; V2X; V2G; V2B; V2H; Comparative analysis; Socio-economic; Service destination; Service type; Vehicle use type; Charge location; Charge topology","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:6228ed3d-4057-41aa-b759-9c9fe60b3846","http://resolver.tudelft.nl/uuid:6228ed3d-4057-41aa-b759-9c9fe60b3846","A Numerically Efficient Implementation of the DI-MCRD model in 3D","Engelberts, Rutger (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gijzen, M.B. (mentor); Jager, Agnes (mentor); Delft University of Technology (degree granting institution); Kungliga Tekniska Högskolan (KTH) (degree granting institution)","2023","With breast cancer being the leading cause of death in the Netherlands, while also being expected to have double the amount of cases in the next ten years, it is vital that treatment is optimised. Over the last decade, research has been done to incorporate mathematical modelling in this process, especially in the case of HER2+ breast cancer patients. This aggressive form has poor chances of survival, but responds well to chemotherapy and is expected to be quite predictable. In previous works of N. Oudhof and E. Slingerland, a mechanically coupled reaction-diffusion model with an extension of chemotherapy was implemented in 2D, using three MRI scans to predict tumour response. The first two scans, taken right before and during treatment, are used to find patient-specific parameters corresponding to proliferation, tumour movement and chemotherapy efficacy. This calibrated model is then used to predict the third scan, taken at the end of treatment. Calibrating this model to individual patients takes up to a day, however. \\
This thesis aims to extend this model to a higher resolution 3D setting, which should also hand doctors predictions within a working day. To increase speed, the linear-elastic sub-problem of finding shear stress due to tissue types and tumour growth was first optimised. With a novel Laplacian preconditioner in the Conjugate Gradient method, using FFT's and a tridiagonal solver, the time needed was vastly improved. Second, the maximum order of error needed for accurate temporal integration was confirmed to be quite high. Hence a state-of-the-art Parareal implementation was made, using Runge-Kutta 4 and Crank Nicholson as the respective fine and course solver, which succeeded in being both faster and more accurate than simple first-order methods. Then it was found that the underdeterminedness of the problem is best tackled using Total Variation Regularisation on the proliferation parameter and Tikhonov Regularisation on the other two parameters. This ensures that unique solutions can be found in reasonable time, that properly reflect expectations of these parameters in practical settings. The best set of parameters were found fastest with Powell's Dog-leg method, for which a novel way of finding the Jacobian analytically was used. \\
On simulated data, the error in the amount of tumour cells in the third image went down to single-digit percentage rate, with a maximal shape correlation coefficient. This prediction can be made within a few hours, which means that the feasibility of solving this problem in practical settings has been established successfully. On the real data, the calibration succeeded in calibrating the model on the first two scans, but the predictions still have room of improvement. The most important suggestion of this work is that more research must be done in the verification of the suitability of this model to the available real data, using the techniques presented here. Further improvements can be made by exploring more possibilities of using parallelisation, and by obtaining more data. Before obtaining more data, one should investigate the impact of the timing of the scans on the calibration results.","Numerical Analysis; Computational Oncology; HER2+; Breast Cancer","en","master thesis","","","","","","","","","","","","Computer Simulations for Science and Engineering (COSSE)","",""
"uuid:85117a1a-6167-461a-9b35-4d57b24d7513","http://resolver.tudelft.nl/uuid:85117a1a-6167-461a-9b35-4d57b24d7513","Innovaiting Responsibly: Discovering the Opportunities and Risks of Foundation Models","Patalay, Prathamesh (TU Delft Industrial Design Engineering)","Kortuem, G.W. (mentor); Coelen, J. (graduation committee); Ezeiza, Jon (graduation committee); Delft University of Technology (degree granting institution)","2023","PROBLEM
Foundation Models are emerging as a new paradigm in AI research &
commercialisation. While this opens up possibilities for radically innovative
solutions and significant value creation, startups are challenged with finding
unique & differentiated ways to leverage the technology, while simultaneously
mitigating potentially negative consequences.
Despite the rising prevalence of machine learning (ML) in products, designers
face challenges in creating solutions that make the best use of the new
possibilities. Designers building AI products are rarely involved in problem
setting & value finding, primarily solving human-AI interaction problems.
Additionally, common human-centered, customer feedback based innovation
approaches hinder radical innovation.
Lastly, AI Ethics and responsible innovation continues to be an afterthought.
Product designers are seldom involved in mitigating the potentially negative
consequences of such products.
APPROACH
This thesis combines literature study with a ""research through design""
approach to explore ways to address these problems. Working in collaboration
with a startup trying to leverage Large Language Models (LLMs) in their
products,I use empirical research to engage in the process of finding radically
innovative opportunities for using LLMs to create value for customers.
I study risk assessment practices to explore how designers can anticipate
risks in the discovery phase of product design. I then design & test tools that
can support other designers in the future.
OUTCOMES
This thesis makes multiple contributions to further the research on
technological & responsible innovation. It documents my process for finding &
analysing user insights to support the discovery of potentially radical
innovations. Additionally, I explore the process of finding value propositions
that leverage Foundation Models, and their potential risks, early in the design
process. The thesis also records how I design a process for discovering and
anticipating potential risks of harm, and how I developed a pair of canvases
and card decks to support future designers.
The thesis supports product designers in repeating these processes through
the pair of canvases and card decks. These help them to collaborate with
engineers, and contribute to the innovation & risk mitigation processes more
effectively. The discussions focus on observations & recommendations that
can further aid them.
To help designers innovate responsibly, this thesis brings the discovery of
opportunities and risks of using Foundation Models into the same
conversation. The designed deliverables and processes showcase how both
aspects of technology innovation can be tackled in similar ways.
Through all of the above, this thesis showcases the relevance of designerly
ways of thinking and doing to the fields of radical innovation, risk
management, and Foundation Model based product development.","Artificial intelligence; Responsible Innovation; Radical innovation; Design research; technological innovation; Machine learning","en","master thesis","","","","","","https://github.com/P2squared/InnovaitingResponsibly","","","","","","Strategic Product Design","",""
"uuid:b42649e1-5f12-44e6-98f1-5c0bba92087a","http://resolver.tudelft.nl/uuid:b42649e1-5f12-44e6-98f1-5c0bba92087a","Monotonic Lateral Monopile Response in Weak Rock and the Influence of Crushed Rock due to Impact Driving","van Alphen, Krijn (TU Delft Civil Engineering & Geosciences; TU Delft Geo-engineering)","Brinkgreve, R.B.J. (graduation committee); Gavin, Kenneth (graduation committee); Tsouvalas, A. (graduation committee); Brinkman, S. (graduation committee); Chortis, G. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis describes the investigation of the lateral monopile response in weak rock and the effect of a zone of crushed rock that is induced by driven installation. The goal of the investigation is to study the impact of the weaker zone around a monopile on the lateral response. The thesis focuses on the modelling of a monopile in a finite element model to examine the effect. The modelled monopile is compared to field tests that were done in previous research to be able to benchmark the model. To full-fill the research objective several steps were taken. The research can be divided into four parts to get to the final conclusion, being a literature review and three different models that are set up. The goal of the literature review and the two model in 2D are to act as a basis for the setup and inputs of the 3D model. The 3D model can then assess the effect of a crushed zone on the lateral capacity and stiffness response of the pile.
found at a few locations around the world, there is an inherent danger from a geopolitical standpoint when it comes to accessibility. Political turmoil or instability in the nations controlling these lithium stockpiles may have a detrimental impact on world supply. Spent LIBs can be viewed as an alternative source of lithium. Considering that used LIBs contain toxic organic electrolytes and heavy metals, recycling them reduces resource waste and environmental contamination. Due to the difficulty of handling its complex composition and distribution, most recycling efforts and industrial processes focus solely on recycling the cathode and ignore the proper treatment of the aged electrolyte. Recovery of the electrolyte is crucial for achieving the legally mandated recycling efficiency set by the European Commission, as the electrolyte typically comprises of 10-15 wt% of a LIB cell. Therefore, this study explores the feasibility of
using crown ethers (12C4 and 15C5) to extract and recycle the lithium salt (LiPF6) from the electrolyte of spent LIBs. The extraction efficiency of these crown ethers is examined across various extraction conditions to identify the most favorable extraction condition. The results and findings obtained from the conducted experiments reveals that the extraction efficiency correlates with the mole ratio between crown ethers and lithium, up to a certain threshold (6:1 for 12C4 and 12:1 for 15C5) where further increase in mole ratio does not significantly enhance the extraction yield. The extraction yield displays an inverse relationship with temperature, indicating an exothermic extraction process that favors lower temperatures. Remarkably, varying the extraction time within the 5 to 30 min range exhibits negligible influence on extraction yield, suggesting rapid kinetics in the formation of the crown ether-lithium complex. Between the two crown ethers tested, 12C4 emerges as the more suitable option, achieving extraction yields of up to 60%. In contrast, the use of 15C5 necessitates larger quantities compared to 12C4 to achieve equivalent extraction yields. Consequently, using 15C5 not only increases the cost but also diminishes the overall process efficiency. Further research is proposed to conduct experiments for the extraction of the organic solvents in the electrolyte using CO2 and to develop methods for separating the extracted lithium from the crown ether without compromising the integrity of the crown ether, allowing for its reuse in subsequent extraction processes.","Recycling; Crown Ether; Lithium-ion battery; Extraction; Experimental","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:ce15b29a-8670-4482-bf17-b5de4fe1114f","http://resolver.tudelft.nl/uuid:ce15b29a-8670-4482-bf17-b5de4fe1114f","Aerosol production via electro and plasma devices: In-vitro PlasmaJet and ERBE tissue effects and aerosol production affecting factors evaluation","Ünal, Defne (TU Delft Mechanical, Maritime and Materials Engineering)","Loeve, A.J. (mentor); van de Berg, N.J. (mentor); Delft University of Technology (degree granting institution)","2023","Surgical aerosols in other words plumes are produced during thermal tissue destruction in medical operations. The cellular debris in the form of particulate matter may contain viruses and harmful chemical compounds which can lead to an infectious transmission in case of inhalation.
The goal of this study was to design and produce an experimental setup to simulate Plasmajet (PJ) and ERBE experiments with minimal airflow disturbances. Such setup can lead to defining the lowest aerosol production conditions, investigating the production-affecting factors, and evaluating tissue effects to promote a safer and healthier surgical environment for both healthcare workers and patients. A clear correlation between the aerosol production affecting factors and particle counts was established for particle sizes 0.3, 0.5, 1.0, 2.0, 5.0, and 10.0 µm.
The results of the experiments showed that among all experimental conditions, the PJ coagulation mode with fast operation yielded the lowest aerosol counts. In cutting mode, the lowest aerosol counts were also produced by PJ with fast operation speed. However, between speed and aerosol counts, no statistically significant correlation was found.
Upon analyzing the correlation between aerosol counts and tissue effects, it was determined that, for ERBE device, higher aerosol counts were associated with darker tissue effects. In the case of the PJ device, this relationship persisted in the cutting mode, whereas no connection between tissue effect and particle counts was observed in the coagulation mode.
Further investigation on the toxicity of the produced particulate matter and establishment of a clear minimal aerosol intake is recommended. Until then, preventive measures such as implementing local exhaust ventilation and using surgical N95 masks are strongly advised to minimize aerosol inhalation.
improvements, a hard-to-abate sector like aviation would require large amounts of carbon dioxide removal in order to achieve net zero. One such technology-based carbon removal option is Direct Air Capture (DAC) which has the potential to emerge as a potential game-changer to mitigate aviation’s environmental impact. This thesis report presents an analysis of the suitability of adopting DAC for aviation sustainability, viewed through an institutional analysis lens using the Institutional Analysis and Development (IAD) framework. DAC is framed as a technology niche from the Multi-Level Perspective to get a descriptive understanding of the problem context and the interconnected parts in the larger picture of aviation sustainability innovations. Specific to DAC, multiple dimensions of DAC integration in the aviation sector, including technology, actors, and policy analysis are carried out. Employing the IAD framework, the underlying institutional arrangements, rules, and incentives influencing the adoption of DAC for carbon removal in the aviation industry are examined.
Data was collected from grey literature, industry reports and interviews with aviation industry professionals. To assess the suitability of DAC for aviation sustainability, various evaluative criteria such as carbon emission reduction potential, technological feasibility, cost-effectiveness, and market acceptance
were considered. There are trade-offs in using DAC for aviation such as complexity in carbon markets, uncertainties in carbon credit pricing, and challenges in accurately measuring DAC’s carbon removal effectiveness. It is seen that DAC holds promise as an innovative carbon removal technology with potential applications in aviation including but not limited to the use in sustainable aviation fuel production. The current state of technology is nascent but the proposed policies under the EU have the potential to drive the commercialization of this technology. The aviation industry stakeholders, along
with carbon market operators, DAC providers, and government policymakers, are key actors involved. The incentives and motivations of actors in determining the success and scalability of DAC for aviation are illustrated.
Based on the analysis, the following recommendations are provided. To minimize residual emissions from aviation, several strategic approaches can be undertaken. Firstly, fostering collaborative research and development among airlines, DAC technology providers, and research institutions can be vital to
tackle technological challenges and creating tailored DAC solutions for aviation. Secondly, governments should extend their support through policy incentives and research grants, encouraging DAC development and integration within the aviation industry. Thirdly, establishing robust standards and certification for DAC-derived Sustainable Aviation Fuel ensures the credibility and quality of carbon removal achieved, further supporting aviation sustainability","Sustainable Aviation; Institutional Analysis; multi-level perspective; carbon capture and utilization; Direct Air Capture; IAD framework","en","master thesis","","","","","","","","2023-09-24","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:6f143cfa-dec7-47a0-a622-e5e780a8dfe0","http://resolver.tudelft.nl/uuid:6f143cfa-dec7-47a0-a622-e5e780a8dfe0","Multi-species electrochemical reaction modeling using lattice Boltzmann method: study of transport phenomena in alkaline water electrolyzer","Murugesan, Vikki (TU Delft Mechanical, Maritime and Materials Engineering)","Padding, J.T. (mentor); Hartkamp, R.M. (mentor); Pari, A. (mentor); Delft University of Technology (degree granting institution)","2023","Enhancing the efficiency of industrial water electrolysis for hydrogen production is vital for the energy transition. In Alkaline Water Electrolysis (AWE), hydrogen is produced at the cathode, and the bubbles are formed when the local hydrogen concentration exceeds the solubility limit. It is important to understand the exact local conditions that result in the nucleation of bubbles in this multi-phase and reactive system. With modeling, it is possible to gain insight into the relation between various local properties, but the model needs to include all relevant physics and chemistry. Thus, this work focuses on the multi-species electrochemical transport phenomena with reaction occurring on the electrode-electrolyte interface.
The electrochemical transport phenomena and the bubble nucleation are meso-scale phenomena occurring at the electrode-electrolyte interface. Lattice Boltzmann Method (LBM) is well suited for modeling meso- scale behavior but it is computationally memory expensive. Consequently, a hybrid approach combining Finite Difference Method (FDM) and LBM has been developed to simulate transport phenomena in the migration-diffusion problem with heterogeneous reaction kinetics. The Debye-Hückel theory is used as a benchmark to validate the developed model. Subsequently, the model is employed to simulate the transport phenomena occurring in the hydrogen half-cell of AWE, with a specific focus on the Hydrogen Evolution Reaction (HER) governed by the Butler-Volmer kinetics equation.
The model captures the dynamic evolution of physical parameters such as electric potential, concentration of species, and fluxes within the system particularly in the Electric-Double layer (EDL). The effect of electrode potential on the distribution of species involved in the reaction are studied by performing simulations for different electrode potential. The influence of secondary fluxes on the species distribution
is studied by implementing a spatially varying boundary condition to the reacting site. Finally, the formulated methodology is extended to solve a multi-phase system with species transportation occurring
around a catalyst particle.","LBM; lattice boltzmann method; Alkaline Water Electrolysis; Debye-Huckel theory; Poisson-Nernst-Planck model; Reaction kinetics; electrochemical transport phenomena; Electric double layer","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:f0e7060a-a1ed-4859-851c-a8e883db103a","http://resolver.tudelft.nl/uuid:f0e7060a-a1ed-4859-851c-a8e883db103a","Sustainable endoscopy: Redesigning the polyp trap","Bloem, Meike (TU Delft Industrial Design Engineering)","Diehl, J.C. (mentor); Bos, P. (graduation committee); Delft University of Technology (degree granting institution)","2023","This project aims to reduce the environmental impact of an endoscopic product, the Polyp trap. The polyp trap is a single use device, that is used during endoscopy to catch removed polyps. Endoscopy is a department that has a relatively high reliance on single-use devices. This is one of the reasons why endoscopy has a high carbon footprint. Through observations in hospitals, literature and product research, the context of endoscopy, the polyp trap and other single-use devices are analysed. Additionally, circular frameworks and strategies specific to the medical context were explored, to identify circular opportunities for the polyp trap. A fast-track LCA of the current polyp trap is used to estimate its current environmental impact and analyse how interventions in design, function or material could influence its carbon footprint.
The insights from this research are used to generate ideas aimed at decreasing the polyp traps environmental impact. The resulting idea directions are presented to various healthcare professionals, such as nurses and infection prevention specialists during interviews. During these interviews, opportunities and risks for the redesign are discussed. Insights from the interviews are used to further develop the idea direction into the final design.
The final design consists of two concepts: The first concept is more conceptual, and reduces its impact by using some components for a longer amount of time; one day rather than per patient. The second concept is more traditional, and decreases its CF through minimising the required amount of material, leading to a smaller design that uses lower-impact materials. The environmental footprint of the final concepts is evaluated with a fast-track LCA.","Medical design; Single-use medical device; Sustainability; Circularity; Endoscopy","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:c279fba3-10fb-4dac-90f3-31ba4e04f77f","http://resolver.tudelft.nl/uuid:c279fba3-10fb-4dac-90f3-31ba4e04f77f","Integrating Circular Strategies and Industry 4.0 Technologies to assist Manufacturing Firms in the transition towards Circular Business Models","Tripodis, Ilias (TU Delft Technology, Policy and Management)","Khodaei, H. (mentor); Verburg, R.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","In today's society, resource efficiency and energy conservation have become paramount, initiating discussions across businesses and nations. Circular strategies, rooted in the Industry 4.0 era of automation and data-driven processes, offer a compelling solution. This study investigates how circular strategies and Industry 4.0 technologies synergize to transform manufacturing companies into introducing circular business models. The research constructs a comprehensive framework interconnecting circular strategies, business model elements, and emerging technologies. This Hybrid Circular Business Model-Tech framework guides manufacturing entities in applying circularity, enhancing sustainability, resource efficiency, and competitiveness. Through literature review, framework development, and real-world case studies with renowned Dutch firms, the study bridges theory and practice, advancing circular economy principles in the manufacturing sector. Overall, the Hybrid Circular Business Model - Tech framework serves as an invaluable tool for transitioning from linear to circular models, fostering informed decision-making within organizations committed to embracing a sustainable future.","Circular Business Model; Industry 4.0 Technologies; Circular Strategies; Circular Value Chain; Manufacturing","en","master thesis","","","","","","Hybrid Circular Business Model-Tech Framework_Miro Board: https://miro.com/app/board/uXjVMN_laF0=/?share_link_id=667943663657","","","","","","Management of Technology (MoT)","",""
"uuid:60e1acc8-b38e-4c51-a740-5635b52efec3","http://resolver.tudelft.nl/uuid:60e1acc8-b38e-4c51-a740-5635b52efec3","Negator-based Switch Capacitor Buck-boost DC-DC Converter","Wang, Gary (TU Delft Electrical Engineering, Mathematics and Computer Science)","Du, S. (mentor); Delft University of Technology (degree granting institution)","2023","The development of the Internet of Things has given rise to enormous new industries and applications, including automobiles, smart healthcare systems, smart houses, etc, and the power supply has become the major constraint preventing them from further increasing logic speed. Thus, a SC(switch-capacitance) DC-DC converter with high efficiency, integration on-chip, and multiple voltage conversion ratio (VCRs) is significantly important.SAR(successive Approximation Register) SC was published in 2013, it realized 2n − 1 voltage conversion ratios with n 2:1 SC stage with the cost of charge sharing loss. In 2014, Recursive topology minimized charge-sharing loss by maximizing the connection to the power rail to improve efficiency. While both topologies only offer 7 VCRs for 3 stages, the new topology utilizing the voltage negative feedback technique has expanded this range to 79 ratios, including all p/q rational ratios from 1/2 to 15/16.Each ratio is written in the form Vout=A ∗ VDD − B ∗ Vout, and only three voltage negative feedback module(negators) are to be used :VDD −Vout,2VDD −Vout,−Vout.This paper presents an improved design to expand this VCR range to boost operation, achieving VCR from 15/16 to 16 times the input.
In total,3 2:1 SC stages and 3 negators are applied, with a total capacitance of 1.3nF. The
capacitance of 3 stages and negators are 130p,260p,520p, and 130p respectively. The system
was designed and fabricated in a 180-nm BCD process, the peak efficiency is achieved at a 2:1
ratio @20MHz, with an efficiency of 82.28%, reached load current =6.4mA. The layout area is
approximately 2.084mm2 , and the current density estimated is 3.07 mW/mm2. The design has remarkably expanded the 79 VCR options to both buck operation and boost operation","negator; DC-DC; Multiple-VCRs; Switch-capacitance; buck-boost","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:6fea6ee2-863f-4081-a9e2-b97f53009a19","http://resolver.tudelft.nl/uuid:6fea6ee2-863f-4081-a9e2-b97f53009a19","Online IC parameter adjustment of an active knee prosthesis using Reinforcement Learning with frequency-domain state representations","Çetindağ, Can (TU Delft Electrical Engineering, Mathematics and Computer Science)","McAllister, R.D. (mentor); Penubaku, M. (mentor); Mohajerin Esfahani, P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Active knee prostheses are potent in assisting users, providing symmetry in walking, reducing metabolic costs, and preventing long-term health problems. The heart of their complex control algorithm employs the Impedance Control (IC) Law, which controls the torque output of the device by three parameters: stiffness coefficient, equilibrium angle, and damping coefficient. Ideally, these parameters should be personalized and adaptive to address interpersonal and intrapersonal variations on level-ground walking. However, current practices achieve personalization only through basic normalization and do not address adaptiveness. This thesis aims to utilize an RL framework with a novel frequency-domain state representation to address personalization and adaptiveness simultaneously. A policy iteration algorithm from the Q-learning family was chosen as the essence of the RL framework, and bellman error (BE) was chosen as the primary evaluation metric. The study revolves around two hypotheses. First, does the RL framework is suitable for the system? Second, does the frequency-domain state representation perform better than the time-domain state representation? Within the scope of the thesis, a custom environment was created by modifying the Humanoid-v04 environment of OpenAI Gym using MuJoCo (Multi-Joint dynamics with Contact). This environment is used to train the RL framework and conduct the experiments. Results suggest that the proposed RL framework can improve the system, and the frequency-domain state representation is superior to its time-domain counterpart. The latter conclusion has an impact beyond the active knee prosthesis domain and can inspire any trajectory following tasks with periodic signals.","reinforcement learning; policy iteration; frequency-domain state representation; active knee prosthesis","en","master thesis","","","","","","","","2025-08-22","","","","Electrical Engineering | Signals and Systems","",""
"uuid:bce22af4-61b1-4cf6-85a7-0ff960a690ab","http://resolver.tudelft.nl/uuid:bce22af4-61b1-4cf6-85a7-0ff960a690ab","Ultrasonic perception for autonomous vehicles","Spruit, John (TU Delft Mechanical, Maritime and Materials Engineering)","Gavrila, D. (mentor); Delft University of Technology (degree granting institution)","2023","With recent advancements in autonomous driving, the demand for precise and accurate perception systems has increased. Perception of the vehicle’s environment is a key element in ensuring safe operation. Due to their wide aperture angle and low cost, ultrasonic sensors are a viable option for achieving close-range 360° perception around the vehicle. This thesis investigates and evaluates the use of ultrasonic sensors for detection and tracking of objects and vulnerable road users in an SAE-level 4 autonomous vehicle. The thesis focuses on optimizing ultrasonic sensors for their designated measurement task and optimizing the positions of ultrasonic sensors on the vehicle. Furthermore, it explores different detection and tracking methods to investigate whether an ultrasonic detection system is indeed a viable candidate for close-range detection and tracking.","ultrasonic sensing; ultrasound; autonomous vehicles; autonomous driving; pedestrian detection; tracking","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:3308b4f1-3206-4cae-96ad-da908625c362","http://resolver.tudelft.nl/uuid:3308b4f1-3206-4cae-96ad-da908625c362","Online State Estimators for Lithium Ion Batteries: Development of a multi-physics based online State of health estimator for Lithium Ion batteries","Gaikwad, Palash (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bauer, P. (mentor); Chandra Mouli, G.R. (mentor); Slaifstein, D.A. (mentor); Santbergen, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Batteries play a crucial role in powering contemporary devices and systems, ranging from smartphones and electric vehicles to renewable energy storage. With the increasing demand for more efficient and reliable battery technologies, the need for accurate monitoring and assessment of battery condition and performance has also grown. Online estimators, that continuously analyse battery conditions in real-time, have emerged as valuable tools to meet these goals. This thesis focuses on constructing model-based online state estimators for real-time estimation of a battery's State of Health (SOH).
These estimators, necessitate a state space model for a lithium-ion cell. In the initial part of the thesis, a physics-based reduced-order model (ROM) of a lithium-ion cell is developed. This model accounts for major aging mechanisms such as Solid Electrolyte Interphase (SEI) layer formation, Loss of Active Material (LAM), and Lithium Plating (LIP). To incorporate temperature effects on cell parameters, a simplified lumped thermal model is integrated into the battery model. The model is subsequently transformed into a state space model using the Discrete Realization Algorithm (DRA) process.
Building upon the noisy outputs from the ROM models, a set of five estimators is formulated: State of Charge (SOC), Voltage, SEI loss, LAM loss, and LIP loss estimators. These estimators are constructed based on Kalman filters and collectively contribute to real-time prediction of a battery's SOH. Given their reliance on the model, the ROM model's output is employed as a reference to gauge the precision of the estimators.
To address the real life scenarios and to check the robustness of the estimators, a series of sub-questions were analysed:
Sub question1: How to estimate the SOH of a cell in real-time using adaptive control techniques ?
Sub question2: How fast can the estimator react to changing initial states of the cell?
Sub question3: Can the estimators be adapted to concurrently estimate both the states and the time varying cell parameters in case of an old cell? How fast can this be
achieved in real-time ?
Overall, this research contributes to the development of model-based online estimators, which are poised to have a significant impact on enhancing battery performance, prolonging lifespan, and facilitating the transition towards a more sustainable energy future.","Li-Ion Batteries; State Estimation; physics based modelling","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:7b15ab3c-4819-4daa-ba31-9adc00a1df58","http://resolver.tudelft.nl/uuid:7b15ab3c-4819-4daa-ba31-9adc00a1df58","A Methodology for Improving gXR5 Speed and Accuracy","Pathak, Karan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hamdioui, S. (mentor); Gaydadjiev, G. (mentor); Langendoen, K.G. (graduation committee); Atienza Alonso, David (graduation committee); Zapater Sancho, Marina (graduation committee); Ansaloni, Giovanni (graduation committee); Delft University of Technology (degree granting institution)","2023","Computer Architects often walk the tightrope between performance, power and area while designing modern day processors. This daunting task is made even more challenging by short Time-to-Market requirements set by the clients. In light of these challenges, architectural simulators provide a much needed tool for the architects to gauge the impact of their innovations rather quickly. Arguably, use of such simulators is essential in avoiding a product recall due to the processor failing to deliver on performance/power requirements for the intended application. The thesis is in-line with the objective of identifying and addressing the bottlenecks in the RISC-V simulation ecosystem and contribute in the development of RISC-V infrastructure.
The intended objective of an architectural simulator is to capture the trend of the real hardware (i.e., performance improvement due to micro-architectural changes in real hardware should be eloquently captured by the simulator). A good simulator shall have high throughput (less simulation time) and should be easily re-configurable. The re-configurability of the simulator can be as fine as micro-architectural changes or as large as a new ISA being simulated. These attributes of speed and re-configurability come at the cost of accuracy. A high error in performance statistics of the simulator fails to engender confidence among the prospective users. Hence, validating performance of simulators against hardware is essential.
The thesis introduces the need for a full system architectural simulator for RISC-V processors followed by a brief, yet crisp review of the past attempts at making such simulators. The review is from the perspective of existing methodologies for performance validation of the simulators. The work also proposes a new methodology for validating system simulators. Although, the proposed methodology is generic and can be extended to other ISAs (such as ARM, x86, etc.), the target hardware chosen are RISC-V ISA based systems that span both commercially, IP protected processor as well as open-source processors widely adopted by the RISC-V community.
The error in validated simulator is reduced to 22.9% and 18.9% for selected SPEC2017 benchmarks applications, by calibrating the CPU model. The methodology also streamlines CPU performance validation of the simulators.","Architectural Simulators; Full-system; gem5; Hardware Performance Counters; RISC-V; Linux capable","en","master thesis","","","","","","","","2025-08-28","","","","Electrical Engineering | Embedded Systems","",""
"uuid:a41450ec-acba-4455-8941-a3b626da2a5e","http://resolver.tudelft.nl/uuid:a41450ec-acba-4455-8941-a3b626da2a5e","A preliminary study towards mm-wave wireless network topology optimization using ray tracing: Master thesis report","Wang, Xiangwen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yarovoy, Alexander (mentor); Aslan, Y. (mentor); Litjens, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Increasing wireless communication requirements of data rates, capacity and coverage, and evolution and maturation of wireless equipment prompt wireless communication research insight concentrating on millimeter wave (mm-wave) frequency. However, high reflection coefficients and high path loss cause large shadow areas (e.g. behind the buildings) and poor coverage, leading to constraints on wireless connectivity and effectiveness of wireless communication. Intelligent Reflecting Surface (IRS) is a revolutionizing technology in 6th-generation mobile networks (6G), which achieves extended coverage with reducing construction and electricity costs via its characteristics of passive beamforming and proper deployment, and auxiliary of Ray Tracing (RT) facilitates obtention and analysis of channel state information (CSI) at different locations. With the objective of developing a flexible RT tool and a novel methodology for optimal IRS deployment to maximize coverage in non-line-of-sight (NLOS) areas from the BS, a new RT simulation model is built in this thesis project in accordance with measured data, leading to improved reliability and accuracy of 5.3\% maximum error in path loss. And a novel, but preliminary, weight graph methodology is proposed for tackling the IRS deployment problem for coverage extension in NLOS areas quasi-optimally. To integrate the IRS with RT simulation, a first-time comparison between metal reflectors and IRS under realistic EM effects is exploited. The obtained simulation results unveil that deploying IRS with the proposed weight graph methodology facilitates wireless coverage improvement, and the coverage probability increased from 0% to 96.23% with a threshold of -75 dBm under 28 GHz in a selected Region of Interest (RoI).","mm-wave Wireless communication; Intelligent reflected surface; ray tracing; electromagnetics propagation; Optimization; urban areas; weight graph","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:a5323ea9-8c72-44f6-8b35-ae64be106ab8","http://resolver.tudelft.nl/uuid:a5323ea9-8c72-44f6-8b35-ae64be106ab8","Wake Effect Mitigation of Floating Offshore Wind Farms: Combining Layout Optimization, Turbine Repositioning and Yaw-based Wake Redirection","Ceriello, Vincenzo (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Wind Energy)","Zaaijer, M B (mentor); Viré, A.C. (mentor); Nguyen, Dong Trong (mentor); Bachynski-Polić, Erin (mentor); Delft University of Technology (degree granting institution)","2023","Floating wind turbines despite the the potential to harness energy from deep offshore areas where higher average wind speeds face challenges in terms of competitiveness. One approach to raising the competitiveness of a wind farm is to mitigate efficiency losses resulting from the wake effect. This report focuses on the combination of three notable wake effect mitigation strategies: layout optimization, yaw-based wake redirection, and turbine repositioning.
A preliminary analysis of the combined effect of wind turbine repositioning and yaw-based wake redirection on power performance for the case of two turbines only is performed. Above rated wind speeds, upstream turbine yawing reduces downstream turbine movement, with reductions of around 1 to 4 rotor diameters longitudinally and 0.2 to 0.5 times the rotor diameter laterally, keeping the same level of power efficiency.
Nextly, an optimization problem that integrate layout optimization with yaw-based wake steering and turbine repositioning for power maximization across an extended wind farm is formulated. The optimization frame followed a sequential approach. The results on a case study confirmed that the effect of adding yaw-based wake redirection to turbine repositioning remains significant for multiple turbines, with several percent-point efficiency improvements for small movable ranges. For larger ranges, the contribution of yaw control diminishes rapidly to one percent-point or less. Yaw control enables movable range reductions of 10% to 50%, preserving wind farm efficiency. Yet, reductions are more pronounced in smaller, less effective movable ranges. Below rated conditions, the effectiveness of yaw control diminishes swiftly.
Furthermore, the study delves into the implications of integrating position mooring for turbine repositioning and yaw-based wake mitigation strategies on mooring system performance. This examination employs a proposed methodology aimed at minimizing the position error across most points within the movable range Both the tension of the mooring lines and the static stiffness of the floater showed to be sensitive to the position of floater, the direction of the wind load and the yaw of the wind turbine, with percentual changes ranging from 0.5% up to 50%. It results that the orientation of the mooring lines with correspondence of the prevailing wind direction, as well as that restrictive constraints on the tension and stiffness may be taken should be taken into account when designing a mooring system for turbine repositioning.
Overall, combining , yaw-based wake redirection, and turbine repositioning allows for greater wind farm AEP, with gains contingent on turbine movable range and upcoming wind speeds. Designing position mooring systems must factor in the influence of yaw-based wake redirection and turbine repositioning on mooring system tension and stiffness. More advanced analyses, including dynamic assessments, are essential for comprehending the mooring lines system's response to position mooring for turbine repositioning, and yaw-based wake redirection.
The overall aim was to provide a conceptual design example of how SWH could be applied in the Amsterdam context to uncover what kind of impact can be achieved and advise on how SWH can be implemented From an analysis of potential applications, irrigation of UGS during dry periods was selected for the focus of the study. Suitable locations were identified, from which the Vondelpark was selected as study area for this research. Quality requirements for irrigation water and discharge of treatment residuals were determined. The water demand of the study area was determined by modelling the soil moisture balance using transformed weather data, taking into account climate change. Based on these requirements, a conceptual design of an SWH-unit comprised of fine screening, MF, NF and UV steps. To evaluate this potential impact for Amsterdam as a whole, the findings from the study area were extrapolated. The cost of SWH were compared to alternative water sources and the potential direct economic benefits. This demonstrated that costs of SWH are acceptable and can be further decreased. Furthermore, the potential impact on plant and soil health was
evaluated. Interviews with stakeholders identified barriers and opportunities of SWH and resulted in some recommendations for larger scale implementation.
The results of this research indicate that SWH can provide a new and reliable water source during dry periods to support UGS. SWH-units can be designed as mobile and modular units that can for a large part be operated and monitored remotely. The results further demonstrate that potential negative environmental effects can be prevented or mitigated and SWH can even improve the plant and soil health of UGS. From an engineering perspective, challenges related to the water quality are unlikely to be insurmountable. However, three aspects still require a significant amount of time and investment before SWH can be implemented on a larger scale. These are: (1) the lack of regulatory framework, (2) the unresolved responsibility for operation and (3) extensive water quality testing and environmental impact assessment. To accelerate innovation it is recommended to start as soon as possible with addressing these remaining issues. Commercial operation of SWH can provide an interesting opportunity, all the more so because SWH can also be used for household or industrial applications. The involvement of a wider variety of stakeholders can further help to overcome the remaining barriers.","Sewer Water Harvesting; Urban Sewer Mining; Water Reuse; Water Shortage; Urban Green Spaces; Climate Adaptation","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:ba9a28ef-7146-4b0e-85f0-4f7cd1ef343e","http://resolver.tudelft.nl/uuid:ba9a28ef-7146-4b0e-85f0-4f7cd1ef343e","Towards Continuous Monitoring of Volatile Organic Compounds in the Gastrointestinal Tract","de Gruijl, David (TU Delft Electrical Engineering, Mathematics and Computer Science)","Langendoen, K.G. (mentor); Traverso, Giovanni (graduation committee); Delft University of Technology (degree granting institution)","2023","Much like wearable devices today, ingestible devices have emerged as a promising platform for continuous health monitoring, and potentially even intervention. Recent research has demonstrated the feasibility of ingestible devices with a retention mechanism, enabling them to remain in the stomach for weeks. Equipping these devices with sensors capable of measuring complex biomarkers, would open up an entirely new era of continuous health monitoring.
This research focuses on the design of a gas sensor array for a retentive ingestible device, targeting the measurement of volatile organic compounds in the gastrointestinal tract. The research specifically addresses the outpatient treatment of alcoholism, in collaboration with the emergency medicine department at Brigham and Women's Hospital, Harvard Medical School. Current treatment relies on manual registration of drinking behavior, and the incorporation of an ingestible device that is able to continuously monitor drinking behavior enables more accurate behavioral assessment, and more targeted support and treatment.
Given the novelty of this approach, a sensor array capable of assessing ethanol concentrations in the air was gradually subjected to more complex tasks. Furthermore, a key aspect of the research was the design of an artificial gastric environment, that replicates the conditions and challenges that the sensor array would encounter within the human body. This experimental environment played a crucial role by providing a realistic testing platform without the need for a fully functional ingestible device, which can be incredibly challenging and resource-intensive to manufacture.
To ensure functionality in humid conditions, the sensors are encapsulated using parylene C and polycaprolactone (PCL) - biocompatible materials commonly used in the design of biomedical devices. The impact of these encapsulation methods on the sensors is thoroughly assessed, to determine their viability for in-vivo applications.
The findings of this study reveal that employing a convolutional neural network can enable the accurate measurement of ethanol in air, using off-the-shelf air quality sensors and algorithms with low computational complexity. It is worth highlighting that the neural network is capable of performing inference directly on the ingestible device. Furthermore, initial results show that a combination of parylene C and PCL, achieved through dip-coating in a PCL-dichloromethane solvent, yields a sensor capable of reliably distinguishing ethanol percentages from 4 to 11 volume percentages, while continually submerged in a self-designed artificial gastric environment.
Overall, this research contributes to the advancement of ingestible sensors and their potential for continuous health monitoring, with a use case in alcoholism treatment. The outcomes show the potential to produce a sensor array encapsulated in biocompatible materials, with a data-driven sensor fusion algorithm that is deployable on-device, which brings us closer to practical in-vivo applications. Additionally, the design and utilization of an artificial gastric environment establishes a solid foundation for future studies and the data generation that is vital for this technology.","Biomedical Devices; TinyML; Biomarker; Volatile Organic Compounds; electronic nose; Embedded Systems; Parylene C; PCL; gas sensing; gas sensor; alcoholism; alcohol; Edge AI; Smart Pill; Sensor array","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:e6544240-1e10-4825-a5db-bee6960d0ed7","http://resolver.tudelft.nl/uuid:e6544240-1e10-4825-a5db-bee6960d0ed7","Assessment of Diaphragm Function during Inspiration in Pompe Disease using Static Breath-hold 3D MRI: A mesh model-based study into diaphragm impairment","Juffermans, Ricardo (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Biomechanical Engineering)","Harlaar, J. (mentor); Ciet, Pierluigi (mentor); Tümer, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","Pompe disease is a progressive muscular disorder that will affect the respiratory muscles, in particular the diaphragm, thereby seriously limiting the respiratory function. Respiratory function is typically assessed using spirometry. However early stages of diaphragm impairment may not be detected by conventional spirometry measurements due to compensatory efforts of other inspiratory muscles. In this study we aimed to use 3D MRI as a sensitive method to assess diaphragm function and identify diaphragm impairment.
Static breath-hold 3D MRI scans at maximal inspiration and maximal expiration were obtained from a previously used dataset in 18 healthy controls and 35 Pompe patients with varying degrees of diaphragm weakness. Images were segmented using an automatic script. The segmentations were used to create an anatomically accurate 3D mesh model of the lungs. The surface of the mesh was divided into topographical segments based on the anatomical surfaces of the lungs. Vital capacity (VC) measurements were retrieved from the mesh model (VCmesh) and compared against spirometry VC measurements made during the MRI acquisition (VCspiro) in order to validate the mesh model. Segmental volumes, derived from the topographical segments identified on the surface of the mesh model, were reallocated based on changes in lung dimensions to provide a more accurate functional topographical representation of the underlying diaphragm and intercostal muscle functions. The relative contributions of the diaphragm and intercostal muscles to the total increase in lung volume during inspiration were assesses for differences between healthy controls and Pompe patients and compared against common spirometry and 2D analysis outcomes.
A large and significant correlation was established between VCmesh and VCspiro, rs = 0.971, p < 0.001. Median VCspiro was significantly larger than median VCmesh across all population groups (p < 0.001), by an average amount of 0.31 L. This represented a mean difference between the two measurement methods of 9.3% of the mean VC measurements. It has also been demonstrated that median changes in diaphragm volume (p < 0.001) and the median relative contribution of the diaphragm to the total increase in lung volume during inspiration (p = 0.009) were lower in Pompe patients with decreased spirometry results when compared against healthy controls. Changes in diaphragm volumes correlated well with conventional VC and FVC measurements (rs = 0.997, p < 0.001) as well as with changes in superoinferior lung sizes (rs = 0.936, p < 0.001). In some Pompe patients with decreased spirometry results no indication for diaphragm impairment was found when assessing the relative contribution of the diaphragm to the total increase in lung volume during inspiration.
A mesh model based on 3D MRI segmentations provides an accurate method of assessing diaphragm function. Changes in diaphragm volume and the contribution of the diaphragm to the increase in lung volume during inspiration allow for the assessment of diaphragm impairment in Pompe patients. These biomarkers of diaphragm function may prove to be highly useful in determining a personalized treatment plan for Pompe patients as well as a sensitive outcome in trials to test future treatment modalities.
The present thesis assesses the local role of meteorological drought indicators for communal conflict prediction in North-Western Kenya, as a region where the narrative of resource-scarcity driven conflicts exists.
A local-scale literature review on conflict dynamics followed by a fixed-effects logistic regression modelling approach stress the importance of the spatial dimension when analysing drought-conflict relationships. The role of cross-border transhumance in linking climate variability to conflict occurrence is stressed by the lower confidence intervals and more significant effects when moving the regression analysis from the spatial delimitation of administrative units to the agency level of ethnic groups.
Differences in between ethnic groups in the obtained patterns of conflict behaviour in response to drought or water abundance are explained by their migratory behaviour along with a differentiated account of their relative drought vulnerability.
The lack of any considerable role of drought in the subsequently built quasi-replication of the WPS Global Early Warning Tool, is therefore assigned to the mismatch of administrative units as the spatial
unit of analysis in a pastoralist area, where herders frequently move their cattle to the other side of the border.
It is advocated for an ethnic-group centered approach to predicting conflict, which relaxes assumptions on spatial containment of conflict events. However, whether this alternative model specification leads
to a greater role of drought indicators in conflict prediction and better overall predictions, needs to be assessed in future work.","drought indicators; conflict prediction; Kenya; Turkana; Marsabit; West Pokot; transhumance; pastoralism; communal conflict","en","master thesis","","","","","","","","","","","","Civil Engineering","","2.73,35.95"
"uuid:4f226164-3998-4e03-9e2c-311fcc7c5a15","http://resolver.tudelft.nl/uuid:4f226164-3998-4e03-9e2c-311fcc7c5a15","Monthly hydropower prediction at plant scale in data-scarce regions","Boonstra, Nils (TU Delft Technology, Policy and Management)","Barbarossa, Valerio (mentor); Pfenninger, Stefan (graduation committee); Galelli, Stefano (graduation committee); Delft University of Technology (degree granting institution)","2023","Hydropower is currently the largest renewable energy generation method worldwide, being the third overall after coal and natural gas, and providing 15% of global electricity. However, generation data regarding hydropower is scarce. If available, it mostly exists at national and annual level. Only limited generation data is available at plant scale. For research on power grid decarbonization, electricity grid expansion development and electricity grid optimization, more data on hydropower generation is wanted at plant scale. Hydropower generation differs significantly throughout the year following weather patterns, which means monthly generation data would be beneficial for research. To fill in data gaps, two models were created for the prediction of hydropower, using plant capacity, discharge, and reservoir area as predictor variables for the monthly model. For the yearly model, reservoir area was not included in the final model. A linear mixed-effects regression model and a mixed-effects random forest model were fitted and compared to the Hydro Plant Generation Estimation Model. The models were created using data from the United States (US) and used for predictions with hydropower plants from the US and the European Union (EU). The median KGE for the monthly LMER model was -0.08 in the US. For the monthly MERF model, the median KGE was 0.12 in the US. In the EU the models were evaluated at an annual time step due to data limitations, resulting in the LMER model scoring better (-0.16) than the MERF model (-0.68) on median KGE. The prediction errors of the annual US model were comparable to the Hydro Plant Generation Estimation Model. Discharge and plant capacity were found to be important predictor variables, followed by reservoir area for the monthly model. The models were able to predict at plant scale in data-scarce regions and at a monthly time step, although they can produce large outliers. A purpose for the model could be to not use it at plant scale but at a larger scale, as the median KGE scores were around zero, showing that predictions over multiple HPPs are usable.","Hydropower prediction; energy data scarcity; mixed-effects model","en","master thesis","","","","","","https://codeberg.org/nilsboonstra/hydropower_monthly_prediction Git repository","","2023-09-29","","","","Industrial Ecology","",""
"uuid:f7718e4c-49bd-442a-8a9b-d4a37d78fa8e","http://resolver.tudelft.nl/uuid:f7718e4c-49bd-442a-8a9b-d4a37d78fa8e","Natural gas networks in the Netherlands: An analysis of technical & institutional developments in relation to the network's integrity","Fehling, Anne (TU Delft Technology, Policy and Management)","Reniers, G.L.L.M.E. (mentor); Correljé, A. (graduation committee); Rosmuller, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","Over the last 30 years, different technical and governmental developments have taken place in the Dutch hazardous materials pipeline infrastructure. These have changed the utilization and governance of the system, with the transport of natural gas being one of the most important during this timeframe. Due to the danger of the transported material, the integrity of the system is crucial for its operation and performance. But with trends like liberalization, aging, and the energy transition, it raises the question whether this integrity is maintained. Also with many researchers analyzing either technical or governmental development, the combination has not yet been studied. The following main research question was formulated: What insights do we take when reflecting on the influence of technical and institutional developments on the integrity of the natural gas system in the Netherlands?
With the combination of desk research, interviews, and content analysis, the alignment between the technical and the governmental developments will be analyzed with the alignment perspective of Künneke, Groenewegen & Mènard. This information is then used to see if possible misalignments correspond to integrity perspective changes and issues of the infrastructure. Resulting eventually in insights into possible institutional or regulation deviations from what the system needed at that point in time.","natural gas; alignment perspective framework; Institutional development; Technological development; Integrity; safety","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:c956b3db-c2b4-4762-8736-fa15ad43d4cf","http://resolver.tudelft.nl/uuid:c956b3db-c2b4-4762-8736-fa15ad43d4cf","Autonomous greenhouse climate control with Q-learning using ENMPC as a function approximator","Lubbers, Seymour (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Delft Center for Systems and Control)","Dabiri, A. (mentor); Sun, Congcong (graduation committee); Airaldi, F. (graduation committee); McAllister, R.D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Greenhouses allow production of crops that would otherwise be impossible. Permitting more local, fresher and nutrient richer crop production. Eorts are taken to minimize societal harm due to energy and resource consumption by greenhouse production systems. One way to control such systems is by using model predictive control. Optimal crop yield and resource eciency can, in theory, be achieved by model predictive control. Unfortunately, one major drawback of model predictive control is that it is not well equipped to deal with parametric uncertainty. Significant prediction errors can occur when a mismatch between the model and the real system exists, resulting in deteriorated performance of the system. Strategies exist, such as robust MPC, that are designed to handle uncertainty, but those often result in conservative control policies. This thesis proposes to use model predictive control as a function approximator for RL in order to learn values for model and MPC parameters that can deliver optimal performance in the case of model mismatch.
In this thesis, data-driven economic nonlinear model predictive control using Q-learning is proposed as a method to alter the model parameters. The performance of the system af- ter learning is compared to approaches using robust and nominal model predictive control. Three dierent goals are determined: maximizing economic profit, minimizing the constraint violations and maximizing the economic performance while minimizing constraint violations.
In this work, an ENMPC scheme is used as a function approximator in a Q-learning envi- ronment. The optimization solution from the ENMPC scheme is used as the input to the system, while the Q-learning agent optimizes the parameter values of the ENMPC scheme and model for the environment. The performance of the system after learning is compared to approaches using robust and nominal model predictive control. The simulation results show that the data-driven ENMPC using reinforcement learning is able to decrease constraint vi- olations by up to 94%, but unable to increase economic performance compared to nominal MPC, compared to robust MPC the EPI is increased by almost 10% while keeping constraint violations at a similar level.","Model Predictive Control; Reinforcement Learning (RL); Greenhouse Climate control; function approximation; adaptive nonlinear model predictive control; economic NMPC","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:a429bf65-4121-4799-9feb-59ef9477cda5","http://resolver.tudelft.nl/uuid:a429bf65-4121-4799-9feb-59ef9477cda5","Design of Restraint Systems for Reclined Seating in the Automotive industry","Singh, Utkarsh (TU Delft Industrial Design Engineering)","Vink, P. (mentor); van Breemen, E.J.J. (graduation committee); Wegner, Maximilian (graduation committee); Delft University of Technology (degree granting institution)","2023","As the automotive industry advances towards greater autonomy, the landscape of car travel is evolving. The integration of reclined seating within vehicles, made possible without major alterations to traditional layouts, presents a promising avenue for enhancing passenger experiences. This shift, however, comes with unique safety challenges due to the changed seating dynamics.
Research, including insights from General Motors, Volvo & Volkswagen emphasizes the need for innovative safety solutions for reclined seating. Simulations comparing three-point and four-point seat belt configurations, in both upright and reclined positions, reveal advantages for the latter at higher speeds. This configuration produces a more balanced force distribution during impacts, away from vital areas.
While the potential of a four-point restraint system is evident, implementation hurdles arise. Addressing both safety and comfort, a study assesses the user experience for both systems. Building on these insights, a convertible restraint system is proposed, seamlessly transitioning from a three-point to a four-point configuration based on passenger position. This adaptable design merges safety and comfort effectively.
The proposed design requires the redesign of certain components of the seat back & headrest. These are provided as recommendations.","Occupant Safety; Safety belts; Restraint Systems; seat design","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:fae81ff0-5bc4-4311-ae3b-03ef4505388e","http://resolver.tudelft.nl/uuid:fae81ff0-5bc4-4311-ae3b-03ef4505388e","Active Inference Control for Vehicle Platooning","BHIDE, NEERAJA (TU Delft Mechanical, Maritime and Materials Engineering)","Ferrari, Riccardo M.G. (mentor); Gallo, A.J. (mentor); Jafarian, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Due to the increase in traffic, road congestion has gone up. Vehicle platooning is a possible way to increase the capacity of a given road, by decreasing the distance between the vehicles in the platoon. At the moment, the control of vehicle platoons is commonly done using PID controllers. The advantage of this is that it requires little computational resources. With improvements in computing technology in recent years, the possibility of using a more computationally costly method has opened up. But, parallel with that, dealing with inherently unmodeled dynamics and large parameter variations or faults, is a challenging task while
controlling any system. Classical control techniques do not provide satisfactory responses in most of the settings, and often external supervision systems have to be designed to handle the faults. Recent research has shown that active inference, a unifying neuroscientific theory of the brain, bares the potential of intrinsically coping with strong uncertainties in the system, mimicking the adaptability capabilities of humans. However, the current state-of-the-art regarding active inference in vehicle platooning is non-existent.
This thesis presents a novel active inference controller for adaptive cruise control systems and as a general adaptive fault tolerant solution for control of vehicle platoon. First, we demonstrate the applicability of active inference in classical control scheme in order to control a platoon of vehicles. Second, we verify that the proposed active inference framework is computationally efficient and with high performance against a benchmark model. Third, we access the adaptive properties of the designed framework in presence of large parameter variations and actuator faults. This work reveals that not only active inference is applicable in vehicle platooning, but it also outperforms the benchmark model in some characteristics, and it allows to deal efficiently with parameter variations and actuator faults. This thesis represents a first step towards the implementation of the current state-of-the-art of active inference for vehicle platooning, and it lays the foundations for further research in this direction.","Active inference control; Vehicle Platoon; CACC","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:f5c2accc-7c31-4f89-84c0-03735fc7de31","http://resolver.tudelft.nl/uuid:f5c2accc-7c31-4f89-84c0-03735fc7de31","Development of spectral domain techniques for the analysis of printed transmission lines with nonzero conductor thickness","Speksnijder, Erik (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ozzola, R. (mentor); Neto, A. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:8521b524-fe15-4ded-b5ab-61e96c5c8dc5","http://resolver.tudelft.nl/uuid:8521b524-fe15-4ded-b5ab-61e96c5c8dc5","IN-situ Debris Inventorisation near the Geostationary Orbit (INDIGO): a feasibility study","Sinha, Ishita (TU Delft Aerospace Engineering)","Noomen, R. (mentor); Schrama, Ernst (graduation committee); Groves, R.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Recent launches of satellite constellations in the Low Earth Orbit (LEO) region have increased the collision probability of existing debris objects with active satellites. Monitoring the trajectories of these debris objects is crucial for Space Situational Awareness (SSA) to prevent the creation of more debris due to unwanted collisions. Much focus is on the LEO regime, with little awareness of the higher Geostationary orbit (GEO) debris population. To date, the explosion of the Russian Ekran 2 satellite in 1978 as well as the disintegration of the Titan IIIC Trans-stage in 1992, have been recorded. These incidents have increased the number of small-sized debris objects in GEO. More unnoticed fragmentation events have been speculated to have occurred, which pose a significant risk of collisions and damage to all weather and communication satellites in use today. The NASA Debris Office confirms that current ground-based radar or optical sensing methods can only be performed for objects of size 1 m and larger, leaving a gap in the precise orbit determination of sub-meter-sized objects in GEO. Moreover, limited observations and atmospheric losses hinder the quality of orbit determination, thus limiting present ground-based SSA techniques. Attempting to bridge this gap in current space surveillance and tracking methods is the objective of this thesis. It evaluates the feasibility of using space-based sensing methods to enhance SSA in the GEO regime. In this research, a satellite in a sub-GEO orbit is deployed to collect in situ radar measurements, which are processed to determine the orbit of a single object in GEO. Different satellite geometries (altitudes and inclinations) and measurement types such as range, range-rate, and direction (azimuth and elevation angles) and combinations thereof have been analysed. A simple grid search optimisation has been performed to assess the feasibility of such a technique and propose a possible favourable observation configuration, which improves the quality and accuracy of orbit determination. It also analyses the uncertainties in the debris state for future epochs to assess the errors in orbit prediction. The limitations of the geometry and measurement model are identified in this study and provided as recommendations and suggestions for further research. INDIGO is hence a feasibility study or a proof-of-concept of space-based debris state observations in GEO. It can be considered a stepping stone towards inventorying the small-sized GEO debris population catalogue and exploring enhanced SSA techniques in the future.","Space debris; Orbit determination; Radar; Space Situational Awareness; Geostationary Orbit; Orbital mechanics","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Space Exploration","",""
"uuid:7f16427a-299f-4ecb-a845-843a98b8f56d","http://resolver.tudelft.nl/uuid:7f16427a-299f-4ecb-a845-843a98b8f56d","Two-Photon Polymerization of 3D Electrically Conductive Scaffolds for Neuronal Cell Studies","kadel, Himanshu (TU Delft Mechanical, Maritime and Materials Engineering)","Accardo, A. (mentor); Sharaf, A.M.S.E. (graduation committee); Flamourakis, G. (graduation committee); Mastrangeli, Massimo (graduation committee); Delft University of Technology (degree granting institution)","2023","The brain is the most intricate organ in the human body, yet the underlying mechanisms of its cells and networks are not fully mapped. In addition to this lack of understanding, there are numerous neurological disorders and diseases for which a cure remains elusive. There has been persistent research to understand how neuronal cells function when interfaced to engineered biomaterials. The mechanical, topological, and chemical features of the extracellular matrix influence neuronal cell growth, and, among these, also electrical cues play a fundamental role in steering cell fate. The importance of electrical stimulation and 3D engineered microenvironments, better mimicking the spatial configuration followed by cells in the natural brain tissue, necessitates therefore the design of electrically conductive 3D microstructures. In light of the limited number of 3D electrically conductive scaffold studies, their reproducibility issues as well as fabrication constraints, the aim of this thesis is to at develop 3D electrically conductive free-standing microstructures made of polymeric materials. To achieve this goal, a protocol involving the chemical oxidative polymerization of EDOT (3,4-ethylene dioxythiophene) into PEDOT, an electrically conductive polymer, is developed. To ensure conductivity throughout polymeric 3D microstructures, EDOT is incorporated into an acrylate-based resin (IP-L) and 3D printed via twophoton polymerization (2PP), a 3D printing technology with sub-micrometre resolution. The electrical conductivity is experimentally measured, and it is reported how the tuning of printing parameters and organic solvents have a significant influence, with a maximum conductivity of 17.43 S/m after Dimethyl sulfoxide (DMSO) treatment. The mechanical properties of the 2PP-printed structures are evaluated as well, highlighting that the stiffness of microstructures decreases as EDOT doping increases. The versatility of the developed approach is demonstrated by fabricating 3D cage matrices featuring geometries suitable for neuronal cell culture. The reported results pave the way to further investigate the effect of 3D electrically conductive PEDOT-doped microstructures on neuronal cell growth and development.","Two photon polymerization; Microfabrication; electrically conductive; scaffold; Tissue engineering","en","master thesis","","","","","","","","2025-08-28","","","","Mechanical Engineering | High-Tech Engineering","",""
"uuid:314ce710-0da9-48e9-90bb-dca9bbaa33f0","http://resolver.tudelft.nl/uuid:314ce710-0da9-48e9-90bb-dca9bbaa33f0","Acceleration of energy cooperation implementation on Dutch business parks in congested areas: A comparative multilple case analysis","Wiesman, Sam (TU Delft Technology, Policy and Management)","Quist, J.N. (mentor); Hoppe, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Dutch energy transition is alive and kicking, however problems arise because of this same transition. Transmission grid congestion is one of them, whereby the grid cannot handle all the extra electricity from wind and solar power, combined with the extra demand due to electrification. Furthermore, business parks have a large potential for emission reduction of the Netherlands, but this potential is not harvested yet. Sustainable energy measures for individual businesses are hindered by the congestion problems, but cooperative solutions could offer a way out. Currently, not much is known about how to implement energy cooperation solutions on business parks in congested areas, and with the help of interviews with relevant stakeholders, insights on existing barriers, drivers and solutions will be gathered. Relevant stakeholders consist of business owners, park managers, grid operators and experts. Ultimately, with the findings from this qualitative data collection, a methodology will be designed to help developers of energy cooperation projects to accelerate the implementation of such projects.","energy cooperation; Business parks; grid congestion; Case studies; Case study; Strategic niche management","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:4f799b75-8e32-4273-bc83-5a013bbfdb1a","http://resolver.tudelft.nl/uuid:4f799b75-8e32-4273-bc83-5a013bbfdb1a","Numerical modeling of aspiration thrombectomy: Utilizing numerical methods for improving the current aspiration catheter","Ling, Chung-Hsu (TU Delft Mechanical, Maritime and Materials Engineering)","Gijsen, F.J.H. (mentor); Fereidoonnezhad, B.F. (graduation committee); Tümer, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:303aaf7c-dffc-46c3-82a7-df604d18b3a9","http://resolver.tudelft.nl/uuid:303aaf7c-dffc-46c3-82a7-df604d18b3a9","Modelling moisture ingress and impact on PV module degradation: Development of a FEM model to predict the moisture ingress and module degradation under different conditions","Jimenez Pelarda, Daniel (TU Delft Electrical Engineering, Mathematics and Computer Science)","Vogt, M.R. (mentor); Blom, Y. (mentor); Delft University of Technology (degree granting institution)","2023","A moisture ingress model is developed using the FEM software COMSOL. The model uses Fick’s second law of diffusion to model the diffusion of moisture in the module. The model also reflects the temperature dependent nature of parameters affecting moisture ingress by using an Arrhenius equation. The model is validated with experimental and simulated data from literature showing a good agreement. A brief comparison with alternative models such as analytical models and a simplified numerical model is also made. The model allows to simulate the moisture ingress into different PV modules in different conditions. The moisture ingress in 11 different locations with different climatic conditions is simulated. The moisture ingress is also simulated using 4 different encapsulants and 4 different backsheets. Finally, the model is adapted to simulate the moisture ingress into impermeable backsheet modules.\\
These results are used to find a relation between ambient conditions and the results delivered by the COMSOL model. A simplified relationship is found that holds for the different climates and encapsulants. It is found that the effective relative humidity in the environment is the key parameter in determining the amount of water that will be in the module once it reaches equilibrium. The time that it takes for a module to reach its moisture equilibrium content is determined by the temperature. The presence of these simplified relations can help in estimating the moisture ingress behaviour of a model without the need of carrying out a full FEM simulation. However, the dynamics of the system when using different backsheets does not follow the same simplified relations.\\
The degradation caused by water in the module is also studied. An analytical model is used to predict the degradation observed during damp heat tests. Due to the properties of the analytical model a different approach has to be followed for real life conditions. The degradation model is used to compare the expected degradation under different conditions. This shows that the expected degradation is larger in hot and humid climates while it is minimized in colder climates. The general degradation trend observed for the different climates is: Tropical > Arid > Temperate > Continental > Polar.","moisture transport; Degradation; PV","en","master thesis","","","","","","","","2025-01-01","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:c7933cb7-8884-43cb-9bb4-48454cdf37ac","http://resolver.tudelft.nl/uuid:c7933cb7-8884-43cb-9bb4-48454cdf37ac","Positive Eating: Fostering Wellbeing in Healthy Eating Experiences Supported by AI-Driven Systems","Liu, Yi (TU Delft Industrial Design Engineering)","van de Geer, S.G. (mentor); van der Maden, W.L.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Is healthy eating simply the intake of correct quantities of certain nutrients, regardless of how and where? This project addresses improving wellbeing within the broad context of eating.
Eating-related guidance products have a hyper-focus on nutrition and/or weight loss, to the detriment of a wider definition of “health”. Wellbeing is not only physical health metrics, but has a deeper psychological foundation. Eating experiences should focus on this in order to achieve a truly healthy relationship with food, and an improved level of overall wellbeing. Positive AI builds on the framework of positive design in order to do this, and this project produces a tangible example of how this can be done.
This project focuses on the issue within the specific context of eating at home. The simplified context retains vital nuance, but simplifies the focus. By gaining an understanding of this and how design and AI can be applied in determined ways, actual results can be obtained. The problem is then to promote mindful home-dining experiences by providing a service in which users can feel both in control of what they eat and closer to their loved ones.
The problem is addressed by designing an app-based intervention system - FoodVibe - which harnesses the power of AI to create a highly personalised recipe and experience recommendation system. It facilitates these with the goal of improving wellbeing based on a psychological wellbeing model tailored to the specific context, and learns based on user trends and past experiences. The wellbeing model, based on design analyses of various apps in the broader eating context in combination with wellbeing theories, gives initial direction. This is then thoroughly scrutinised in an evaluation section, leading to future recommendations becoming clear.","Wellbeing; Artifical Intelligence; healthy eating; AI alignment; User Experience; Product Service System; Machine Learning; mindfulness; App Design","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:d9d79572-8e52-4bdd-92cb-805f2e8fc53d","http://resolver.tudelft.nl/uuid:d9d79572-8e52-4bdd-92cb-805f2e8fc53d","An Explainable Network-wide Metro Passenger Delay Prediction Model","Cheng, Yuxing (TU Delft Civil Engineering & Geosciences)","Cats, O. (mentor); Krishnakumari, P.K. (mentor); Li, G. (mentor); Delft University of Technology (degree granting institution)","2023","","Explainable AI; Metro Network; Delay Prediction","en","master thesis","","","","","","","","2025-08-30","","","","Civil Engineering | Transport and Planning","",""
"uuid:4ba89e8d-c42a-4930-8458-5588cabcd0ca","http://resolver.tudelft.nl/uuid:4ba89e8d-c42a-4930-8458-5588cabcd0ca","BL0.0M: Enhancing Perceived Safety: A Transformation of the Visual Impact and Design Aesthetics of Camera Sensors in the Public Space","Hollman, Seb (TU Delft Industrial Design Engineering)","Lupetti, M.L. (mentor); Dideriksen, S.A.T. (mentor); Delft University of Technology (degree granting institution)","2023","This graduation project emerged from an existing collaboration between the Delft University of Technology and the Responsible Sensing Lab; a project of the Amsterdam Institute of Advanced Metropolitan Solutions. The Initial Assignment had the goal of enhancing the feeling of safety in public environments through the use of responsible sensing. Following the method of Value Sensitive Design and its 3 core aspects, contextual research was conducted into 1) perceived safety as the value, 2) smart sensors as the technology, and 3) public spaces as the context of use. Subsequently, the project was narrowed down to focus on improving the design aesthetics and visual impact of camera sensors in public spaces, with the intended end result of enhancing the perception of safety with the Target User Group of heterosexual women, aged 15-25. Through the involvement of this Target User Group in 2 co-creation sessions, multiple informal feedback sessions and a Product Usability Evaluation in the Concept Development and Product Design phases of this project, a final design was created.
The final design, BL0.0M, is a reconception of the design, use and perception of current camera sensors. Compatible with both dome- and bullet camera sensor types, BL0.0M takes inspiration from a flower to serve both a functional and visual purpose to its public environment. Upon activation of the camera sensors, BL0.0M’s petals open up–clearly communicating its functionality to passers by, and can be adjusted to convey different product expressions. Its modularity extends to its petal modules, which can easily be interchanged to allow for further customisation and variety.
The reception of the Target User Group was both critical and auspicious. Future recommendations primarily include further design iterations in petal design and positioning, as well as looking into the internal– and external validity of the user group involved. From both informal feedback and the Product Usability Evaluation, BL0.0M elicited a high level of intrigue and enthusiasm. Its functional and aesthetic contrast with current camera sensor designs is one of the main drivers for this response. BL0.0M is a visionary design with an eye to the future, serving as the version 0.0 and a blank canvas for the next big steps and innovations in smart sensor design and implementation. For now, this is only the first step.","Smart Sensors; Smart City; Camera Surveillance; Camera Sensors; Responsible Sensing; Perceived Safety","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:e0f2e6f4-58d6-40df-800c-cccea654bfa9","http://resolver.tudelft.nl/uuid:e0f2e6f4-58d6-40df-800c-cccea654bfa9","Night train services: A stated choice experiment: exploring preferences for night trains","Moors, Wouter (TU Delft Civil Engineering & Geosciences)","van Wee, G.P. (mentor); Molin, E.J.E. (mentor); van Oort, N. (mentor); Van der Vurst, Nathalie (graduation committee); Van Goolen, Goedele (graduation committee); Delft University of Technology (degree granting institution)","2023","","Stated Choice Experiment; MNL model; Latent Class Choice Model (LCCM)","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:b66e91f7-c14b-42d1-b399-ae4cf27bb22c","http://resolver.tudelft.nl/uuid:b66e91f7-c14b-42d1-b399-ae4cf27bb22c","Service and product opportunities for a sustainable energy company to motivate younger household starters contribute to make their household sustainable","Yang, Mo (TU Delft Industrial Design Engineering)","Champlin, C.J. (mentor); Hasankhani, M. (graduation committee); Baazol, Greenchoice (graduation committee); Delft University of Technology (degree granting institution)","2023","While technological development, people began to pay extensive attention to climate issues and reduce the impact of human life on the planet through actions. House-hold energy as one of the main energy consumption is considered as an important direction to improve energy problems. Greenchoice as a green energy provider is willing to contribute to sustainable development through its efforts to help all people make their green choices. Involve the younger household starters from 20-30 as a new target group and expanding their market is their next step of development. This research project aims to find factors that can influence the decision-making related to household energy of the target group and translated these factors into opportunities for Greenchoice to attract these people.
Desk research is done to explore the trends in the development of household energy. Besides, how do other researchers analyse the attractive factors for people to choose household energy-related products or services is explored to support this research project in building the structures.
The target group research is built based on the personas of current customers and a previous project on understanding the meaning of sustainable household energy for the target group and their lifestyle. To further measure the priority of the factors mentioned in these two data, quantitative research is built. More potential factors are selected and the lifestyle of the target group is mapped by 3 personas.
To apply these factors to suitable products or services to attract the target group, a workshop based on a decision-making process is designed to discover the need of the target group and their desired solutions. For each group of participants their needs, possible solving process and reasons related to their lifestyles are collected.
Finally, data from workshops is summarized as design opportunities for Greenchocie to attract younger household starters by providing products and services to satisfy their needs. These opportunities and the strategy for Greenchoice to achieve its vision through products and services are visualized by a roadmap with three steps (horizons). In the end, the limitation of this research and related recommendations are presented to support with future development of the result.","household energy consumption; Human behaviour; Roadmapping","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:4a982adf-4d19-4a11-b54d-f4aca1119cde","http://resolver.tudelft.nl/uuid:4a982adf-4d19-4a11-b54d-f4aca1119cde","Designing the visual aspect of Lynk&Co's future in-car voice assitant: Designing Lynk&Co's in-car voice assistant for the European Market in 2025-2028","Leclaire, Xavier (TU Delft Industrial Design Engineering)","van Grondelle, E.D. (mentor); Ozcan Vieira, E. (mentor); Delft University of Technology (degree granting institution)","2023","Voice Assistants (VAs) have gained traction in cars, promising safer, more convenient driving experiences (Braun et al, 2021). These Intelligent Voice Assistants (IVAs) offer hands-free control over navigation, entertainment, and climate, reducing distractions and enhancing safety. IVAs also provide context-aware interactions, improving personalization.
Voice control combines button convenience with touchscreen versatility, offering direct access without menu navigation. Automakers leverage IVAs to enhance brand perception, loyalty, and revenue streams, as positive experiences drive brand attachment.
However, despite early adoption, user satisfaction lags (CRI, 2019). Notably, Lynk & Co’s IVA elicits numerous complaints due to its underwhelming real-world performance within the complex car environment, causing frustration and distraction.
This jeopardizes brand image, as negative IVA experiences taint overall brand perception. Users might underutilize or abandon the technology, squandering potential. Overestimation of capabilities is common (CRI, 2019), often blaming technology while neglecting usability and context.
The crux lies in the socio-technological challenge of user engagement, surpassing technical issues. To address this, the thesis seeks strategies to bridge user-technology gaps, optimizing IVAs. Tackling usability necessitates understanding user-technology misalignment, considering interaction patterns, learning curves, and context. By doing so, IVAs can genuinely enhance driving experiences, ensuring safer, more convenient, and satisfying journeys.
The thesis employs the ‘double diamond’ design model and ‘user-centered design’ method, incorporating literature and field research. User analysis identifies trust and control as key needs, while brand identity is synthesized.
Four essential design questions frame requirements:
Functions: What should it offer?
Interaction: How should it occur?
Visuals: What appearance should it have?
Behavior: How should it act?
Combining these requirements with user needs and brand identity defines the design space, creating a tailored concept for the European market.
Beyond visuals, the core concept involves proactive behavior, humanizing the IVA for perceived competence and trust, encouraging technology adoption. It empowers users by proactively showcasing functions and human characteristics.
The IVA’s position ranges from driver-facing DIM to distant CSD, adapting based on vehicle activity, harmonizing with brand identity.
Balancing concerns like distraction and customization optimally addresses user needs, brand identity, and requirements.","IVA; Voice Assistant; Automotive","en","master thesis","","","","","","","","","","","","Integrated Product Design","Voice assistant",""
"uuid:157ea3e2-59a6-47fd-948d-328daa2bfca3","http://resolver.tudelft.nl/uuid:157ea3e2-59a6-47fd-948d-328daa2bfca3","Efficient Communication in Robust Multi-agent Reinforcement Learning: Trading Observational Robustness for Fewer Communications","de Gooijer, Jessica (TU Delft Mechanical, Maritime and Materials Engineering)","Mazo, M. (mentor); Alonso Mora, J. (graduation committee); Jarne Ornia, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Reinforcement learning, especially deep reinforcement learning, has made many advances in the last decade. Similarly, great strides have been made in multi-agent reinforcement learning. Systems of cooperative autonomous robots are increasingly being used, for which multi-agent reinforcement learning can be used as a training method. However, the curse of dimensionality remains a problem for the computational speed of the learning algorithm and the bandwidth of communication channels. This research will focus mainly on reducing the problem of overloading communication channels by trying to reduce the number of communications. This is possible since it is usually unnecessary for every agent to communicate with every other agent constantly.
To do this, we use some ideas by Daniel Jarne Ornia. The first is to reduce communications in a multi-agent reinforcement learning system by treating it as an event-triggered control problem. This method uses so-called robustness surrogates as an equivalent to a Lyapunov function to determine if a communication can be skipped without decreasing the performance more than some tolerance. The second is a method to increase the observational robustness of a policy by using lexicographic reinforcement learning.
We aim to combine these ideas and trade the additional observational robustness for decreased communications. We also want to test whether additional observational robustness can help mitigate the sim-to-real gap. We implement this method for the multi-agent deep deterministic policy gradient algorithm and perform tests on a variant of the predator-prey domain in increasingly more realistic simulations.
We found that the combination of this robust policy and the robustness surrogates method does enable the agents to achieve the same return while communicating less. Unfortunately, our research shows that the observational robustness obtained using lexicographic reinforcement learning, does not help mitigate the sim-to-real gap.","Reinforcement Learning; Observational Robustness; Communication; Multi-agent","en","master thesis","","","","","","Double degree in Systems and Control and Robotics at Delft University of Technology https://github.com/J-deGooijer/Efficient-Communication-in-Robust-Multi-agent-Reinforcement-Learning GitHub repository","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:858cad06-d418-4d4a-b43b-4a1b922e9ade","http://resolver.tudelft.nl/uuid:858cad06-d418-4d4a-b43b-4a1b922e9ade","A Finite Element Analysis of Viscoelastic Behavior in Spinodoid Metamaterials","Czarnecka, Julia (TU Delft Mechanical, Maritime and Materials Engineering)","Kumar, Siddhant (mentor); Delft University of Technology (degree granting institution)","2023","Recent advancements in additive manufacturing have led to significant progress in the field of metamaterials, wherein the introduction of microscopic features affects the material properties on a macroscale. Common examples of these materials are truss-based and plate-based structures. However, these lattices bear inherent susceptibility to stress concentration points, which undermine their overall performance. Incorporating smooth surfaces in the material design can be a promising strategy for solving that issue. One noteworthy class of smooth metamaterials originates from the topologies formed in the process of spinodal decomposition. For small fluctuations, these structures can be described mathematically using Gaussian random fields (GRF) stemming from the superposition of standing waves. Recent research work has led to the development of a subtype of these structures, termed spinodoids. Spinodoids are anisotropic structures formed through a biased sampling of wave direction vectors encompassing the underlying GRF.
The development of spinodoid topologies has allowed for extensive design exploration and property-structure investigations, important in the context of many potential future applications, such as bone biomaterials design. Until now, the majority of studies performed on spinodoid metamaterials were limited to the elastic regime. However, many materials, especially biological tissues such as bone, rarely exhibit purely elastic behaviour. Thus, exploring other material regimes is of great interest. This work attempts a finite element analysis of three different types of spinodoid structures: lamellar, cubic, and columnar. These structures' properties are based on a generalized Maxwell model for cortical bone. The study explores how tuning the design parameters influences their mechanical behaviour in a viscoelastic regime.","Metamaterials; viscoelasticity; Finite Element Analysis","en","master thesis","","","","","","","","2025-12-31","","","","Materials Science and Engineering","",""
"uuid:759667ed-4284-446a-b14e-ca739f560737","http://resolver.tudelft.nl/uuid:759667ed-4284-446a-b14e-ca739f560737","Carbon Emissions in India: A Computable General Equilibrium Analysis","Srivastava, Vaibhavi (TU Delft Technology, Policy and Management)","Storm, S.T.H. (mentor); Goyal, N. (graduation committee); van Beers, Cees (mentor); Delft University of Technology (degree granting institution)","2023","As the world's third-largest emitter of greenhouse gases, India stands at a crucial juncture in the global fight against climate change. Recognising the delicate balance India must strike between rapid economic growth and sustainable development, this research employs a Computable General Equilibrium (CGE) model tailored specifically for the nation. By incorporating Greenhouse Gas (GHG) emissions, the model delivers an in-depth analysis of the potential economic impacts of climate mitigation strategies, especially carbon taxation, within the intricate fabric of India's diverse economy. This endeavour fills a significant knowledge gap, offering a tool that policy analysts can use to harmonise India's developmental aspirations with its global climate commitments.
Tapping into the power of quantitative methodologies, the study leverages CGE models known for their proficiency in capturing a country's economic interconnections. For India, this model weaves in GHG emissions to holistically assess the economic ramifications of carbon taxation. Core to this research is data sourced from India's Social Accounting Matrix (SAM) (Pal et al., 2020), complemented by inputs from national databases capturing carbon intensities, labour productivity, and capital stock depreciation.
The application of the CGE model to India's context yielded pivotal insights. A deep dive into sectors revealed that five of them, led prominently by the coal-intensive energy sector, are responsible for over 95% of India's emissions. Notwithstanding the nation's push towards renewables, emissions are dauntingly high. While a modest carbon tax has limited impact, a more aggressive tax rate, although effective, disrupts income distribution, disproportionately affecting India's marginalised populations. The research thus underscores a synergistic approach, merging targeted reinvestments with a robust carbon tax as the linchpin for sustainable decarbonisation in India. While potent in emission reduction, such strategies necessitate protective measures, like subsidies, to prevent deepening India's socio-economic divides.
The study recognises intrinsic limitations that need addressing. The current model's architecture possesses constraints, particularly in its representation of export levels and wage growth. An endogenous presentation in these areas would provide a richer systemic view. While the research touched upon two primary tax rates, a broader exploration of tax rates is warranted to align more accurately with Key Performance Indicators (KPIs). The present pricing mechanism, which leans heavily on consumers bearing the entirety of the carbon tax, hints at a potential imbalance in economic impact and needs reevaluation. Additionally, using placeholder coefficients for decarbonisation offers a limited perspective, potentially glossing over the nuances of carbon reduction dynamics. Notably, the model's exclusion of simulations for extreme weather events is a critical oversight, which could affect its forecasting abilities, especially in climate change.
This research presents actionable policy recommendations, chiefly underscoring the role of targeted reinvestment coupled with a high carbon tax rate. With India's energy sector at the forefront, targeted reinvestments promise to bolster GDP and reduce emissions, providing a roadmap that aligns economic growth with climate mitigation. However, given India's socio-economic fabric, implementing such strategies mandates careful consideration of potential impacts, especially on vulnerable sectors like agriculture and the vast populace of lower-income households.","","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:95751da1-80e5-449d-8e9a-5ca77fd02f28","http://resolver.tudelft.nl/uuid:95751da1-80e5-449d-8e9a-5ca77fd02f28","Optimizing the Cost and Performance for Batch jobs on HPC by Utilizing Swap Space","Lin, Hanzhang (TU Delft Electrical Engineering, Mathematics and Computer Science)","Langendoen, K.G. (mentor); Roelofs, Michel (graduation committee); Pouwelse, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","High Performance Computing (HPC) facilities play a crucial role in acceler- ating Electronic Design Automation (EDA) procedures at NXP Semiconduct- ors. The increasing number of job requests and workloads has led to a surge in memory demand, which is a costly resource. To address this, we leverage memory swap space on disk as a more affordable extension of main memory. By employing a job submit-time parameter called memory reservation, we can increase the cluster jobs’ parallelism, and control the memory swap space usage. The primary goal of this thesis is to find the optimal cost and performance by optimizing the memory reservation parameter. To achieve this goal, we created an effective parameter optimizer that employs a one-way search method to determine the best memory reservation, focusing on scenarios with a large homogeneous job set running on an overloaded system. Our contributions include improving the memory utilization efficiency in HPC by utilizing swap space. Previous work used Machine Learning models to predict maximum memory usage and reduce over-reservation; and our work further reduces memory usage per job. The results demonstrate that the parameter optimizer effectively minimizes the cost and performance at the same time, leading to up to 43% cost saving for a job among our test cases.","High Performance Computing; Cost optimization; Performance Optimization; parameter determination; Memory management","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:71f4662e-e47d-4f82-b99e-c80a6d70c2cc","http://resolver.tudelft.nl/uuid:71f4662e-e47d-4f82-b99e-c80a6d70c2cc","Cryogenic Interposer System for 3D Integration of Quantum Computers: Enhancing Scalability of Next-Generation Computing Solutions","Dubey, Aryan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ishihara, R. (mentor); Nur, S. (mentor); Mastrangeli, Massimo (graduation committee); Delft University of Technology (degree granting institution)","2023","Demand for high density, high bandwidth, and low power Integrated Circuits (ICs) is rapidly increasing even as Moore's Law is starting to plateau. Among the new wave of technologies that are meant to continue the prevalent trend of semiconductor device scaling, 3D System Integration promises many advantages over traditional single-planar designs. This technology enables designers to stack multiple dies and unlock new functionality by reducing the footprint of the final device package. Using 3D Integration, multiple different types of chiplets (Digital IC, Analog IC, MEMS) can be integrated into a single package, potentially bypassing an expensive move to a newer process node and unlocking even more functionality from the same package.
These downscaling issues are not limited to traditional CMOS technologies but extend to Quantum Computers. Due to the highly heterogeneous nature of Quantum ICs, and especially their requirement of low qubit decoherence noise, we require high-density connections from the Qubit layer to the Microelectronics Control layer while maintaining appropriate spacial separation between the two. In this project, the qubit layer is comprised of Diamond Colour Centers in a Photonic IC with other optical components such as SNSPDs, and MEMS switches. On the other hand, the microelectronics control layer is a Cryogenic CMOS chip.
The main goal of this project is to design an Interposer and related technologies like Through Silicon Vias (TSVs) and Microbumps to successfully integrate these two chiplets in a single 3D assembly. We conduct thermal analysis of multiple 3D assembly designs using Finite Element Modelling to derive optimum fabrication specifications. Subsequently, fabrication recipes are developed and optimised for TSV etching, coating, and patterning with superconductive sidewall liners. Recipes are also developed to fabricate Indium Microbumps using techniques like evaporation, liftoff, and atmospheric reflow. Utilizing these microbumps, we conduct cold-compression flip-chip experiments. Finally, we establish cryogenic measurement setups to electrically characterize these fabricated devices.","","en","master thesis","","","","","","","","2025-08-29","","","","Electrical Engineering | Microelectronics","",""
"uuid:8346046f-66b0-49fc-af4f-85201893d30d","http://resolver.tudelft.nl/uuid:8346046f-66b0-49fc-af4f-85201893d30d","Mission Design for Flyback Boosters: Towards Reusable Launch Vehicles","Çavdar, Irmak (TU Delft Aerospace Engineering)","Mooij, E. (mentor); Delft University of Technology (degree granting institution)","2023","The development of reusable launch vehicles in space exploration is a significant paradigm shift in the aerospace industry. Among numerous approaches to fully reusable launchers, a flyback booster, complemented by the emerging importance of spaceplanes, stands out as a viable solution. To outweigh the drawbacks associated with the cost, a reliable mission design has to be performed for the developed flyback booster. The aim of this thesis is to increase the overall understanding of the mission characteristics to decrease the risks associated with fly back trajectories, while optimizing the mission design process. Part of the study concluded that the mission design process can be further optimized by utilizing a detailed design space exploration. It is identified that to achieve such results, it is important to utilize various design space methods as each method reveals a different level of interaction between the factors. Upon understanding the design space better, a trajectory optimization is performed for both powered and unpowered flyback boosters. The findings suggest that while the unpowered capabilities are not sufficient to obtain the desired final distance-to-go, powered vehicle can achieve the mission objectives successfully.","Flyback Booster; Reusable Launch Vehicle; Mission Design; Trajectory Optimization; spaceplanes","en","master thesis","","","","","","","","2024-08-14","","","","Aerospace Engineering","",""
"uuid:76a894a2-1049-48ab-942c-16dfbbfb2092","http://resolver.tudelft.nl/uuid:76a894a2-1049-48ab-942c-16dfbbfb2092","Optimizing Alarm Management in the NICU: Designing Interfaces for supporting nurses to customize Alarm Limits","CHEN, GONGYU (TU Delft Industrial Design Engineering)","Ozcan Vieira, E. (mentor); Goos, T.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the realm of healthcare, the effective management of alarm systems within the Neonatal Intensive Care Unit (NICU) is of paramount importance to ensure patient safety and reduce alarm fatigue among nurses. This study presents a comprehensive exploration of designing interfaces tailored to nurses' needs for adjusting alarm limits, aimed at enhancing their decision-making and patient care. Leveraging a multidisciplinary approach, this project amalgamates insights from nursing practices, user-centered design, and data visualization.
Drawing on meticulous literature review, direct observations, interviews, and user surveys, the project meticulously dissected the intricacies of nurses' interactions with alarm systems. This investigation highlighted the necessity for a dashboard that not only reduces the cognitive load on nurses but also empowers them to make informed decisions based on alarm data. The resulting system interfaces offer data customization and visualization capabilities, facilitating rapid and accurate alarm limit adjustments.
Therefore, this project has generated interfaces that involves tracking, analyzing, and visualizing the data logged by the nurses in response to alarms and their evaluations, thus supports nurses in adjusting alarm limits for an individual patient.
The evaluation of the designed interfaces through two kinds of questionnaires reflects a promising usability score, with nuanced insights about nurses' varying levels of comfort and trust with the interface. Future recommendations include personalized information delivery based on experience levels, Leverage machine learning algorithms and so on.","alarm customization; alarm fatigue; NICU; Nurses","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:068ebb70-4453-432c-87c1-222357f2f71e","http://resolver.tudelft.nl/uuid:068ebb70-4453-432c-87c1-222357f2f71e","Computing Resonant States of a Quantum Mechanical Three-body Problem on Supercomputers","Meng, Zhaonan (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gijzen, M.B. (mentor); Thies, J. (graduation committee); Dubbeldam, J.L.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis aims to develop an advanced numerical solver capable of efficiently computing the resonant states of quantum mechanical two-body and three-body problems, thereby expanding our understanding of these complex systems. The quantum three-body problems feature at least two dimensions, which necessitates substantial computational efforts. Therefore, in order to tackle these challenging computations, we need to seek assistance from supercomputers. By harnessing the capabilities of high-performance computing, we can significantly reduce the amount of time spent waiting for programs to run for hours.
In this thesis, we first introduce some basic knowledge about quantum few-body problems and resonant states, showing how the physical problem gives rise to a mathematical problem, the quadratic eigenvalue problem (QEP). Building upon the physical background, our journey in developing the methodology begins with two fundamental components: discretization and eigensolver. The pseudo-spectral methods are introduced to represent the quadratic eigenvalue problem as a matrix problem, by which we can solve the problem numerically through some eigensolvers. We describe a classical approach called linearization for solving QEPs, which transforms the quadratic problem into a generalized eigenvalue problem. Following the linear transformation, we apply the Jacobi-Davidson QZ (JDQZ) method, an iterative eigensolver, to solve the linearized problem. Alternatively, we could also use the Jacobi-Davidson (JD) method to approximate the quadratic eigenvalue problem's eigenpairs directly. In this thesis, we provide an outline of the Jacobi-Davidson process for solving both linear and quadratic problems. Two routes for solving QEPs are utilized and compared: linearization combined with the Jacobi-Davidson QZ method, and the quadratic Jacobi-Davidson method. Through our research and analysis, we demonstrate that the Jacobi-Davidson algorithm exhibits superior computational efficiency when adapted to solve QEPs directly.
Another significant objective of this thesis is to parallelize the eigensolver on supercomputers. We implement a hybrid distributed/shared memory parallelization of the Jacobi-Davidson algorithm to solve quadratic eigenvalue problems that arise from one-dimensional three-body problems. We leverage the tensor structure inherent in the three-body problem to optimize computational efficiency. Specifically, we implement an efficient tensor product scheme for the application of the stiffness and damping operators, which are realized as dense matrix-matrix products. By incorporating a preconditioner that also preserves the tensor structure, we enhance the performance of our Jacobi-Davidson algorithm in computing three-body resonance poles within an acceptable speed.","resonant state; quantum few-body problem; quadratic eigenvalue problem; Jacobi-Davidson method; pseudo-spectral method; linearization; high-performance computing","en","master thesis","","","","","","","","","","","","Applied Mathematics | COSSE (Computer Simulations for Science and Engineering)","",""
"uuid:4f72323c-dab7-48ff-bbd4-fcabc191a501","http://resolver.tudelft.nl/uuid:4f72323c-dab7-48ff-bbd4-fcabc191a501","Two phase implementation of a machine learning (ML) model in demand forecasting for organisations","van Spengler, Olivier (TU Delft Technology, Policy and Management)","Tavasszy, Lorant (graduation committee); van Duin, Ron (mentor); Ding, Aaron Yi (graduation committee); Delft University of Technology (degree granting institution)","2023","Demand forecasting plays a critical role in organizational planning, encompassing inventory management, capacity allocation, and financial decision-making. However, achieving accurate forecasts can be challenging, particularly in industries characterized by high demand volatility, such as semiconductor assembly equipment manufacturing, exemplified by Besi. Leveraging machine learning (ML) techniques presents a promising solution for effectively forecasting the seasonal and cyclical demand fluctuations experienced by Besi.
Besi, full name BE Semiconductor Industries N.V., is an multinational semiconductor equipment manufacturer which originates from The Netherlands. The company was founded in 1995 by Richard Blickman and now has operations in, among other countries, China, Switzerland and Malaysia. Besi develops leading edge assembly processes and equipment for leadframe, substrate and wafer level packaging applications in a wide range of end-user markets including electronics, mobile internet, cloud server, computing, automotive, industrial, LED and solar energy.
%more about besi
This paper investigates the challenges organizations face when implementing ML models into their demand forecasting processes, aiming to design a framework and implementation plan to guide organizations in adopting ML techniques. The research methodology employed is design science research (DSR), which focuses on developing and validating new designs within existing systems. The paper follows the iterative steps of DSR, including problem identification and motivation, objective definition, design and development, demonstration, evaluation, and communication. This iterative process facilitates collaboration with literature and industry experts to design a practical solution.
The study draws on literature research and exploratory discussions with Besi employees, emphasizing five key areas for investigation: the current forecasting process, existing forecasting techniques, organizational requirements, limitations, and input-output considerations. The findings highlight that Besi, similar to other organizations, employs a multi-layered forecasting process, with the most effective layer for implementing improvements and ML models being the initial forecast. Additionally, Besi predominantly relies on judgment-based forecasting techniques, making the implementation of a neutral ML tool necessary to create a hybrid forecasting system that mitigates human bias. Besi possesses the necessary prerequisites for effective ML techniques, such as clean and abundant data, but lacks the expertise required to construct and implement accurate models. Furthermore, Besi desires a neutral model that counters human bias and inputs historical monthly sales data, with the output expressed as total monthly sales.
To facilitate successful implementation within Besi's forecasting chain, several aspects are explored: the process framework (including integration, monitoring, updating, forecasting, and communication), peripheral considerations (e.g., legal and end-user trust), and the dashboard. The process framework is designed based on the existing forecasting process at Besi, incorporating the ML model, a dashboard, and revised information flows. The steps align with literature recommendations for ML-based forecasting, indicating that initial implementation is expected to face minimal resistance, monitoring is an ongoing task for the forecaster, updating involves improving the model and frequent training with new data, forecasting remains with the same personnel but incorporates additional information sources, and communication remains unchanged.
Peripheral matters, such as regulations and end-user trust, are limited in their impact, with research indicating that no laws impede ML model implementation, while a dashboard can enhance trust among direct users. Gradual implementation in phases, where the model does not hold authoritative power, facilitates organizational acceptance.
Based on insights from all sources, a step-wise plan for ML model implementation is proposed. The initial phase involves assembling the necessary infrastructure, data, and stakeholders, followed by creating and implementing a minimum viable product as a confirmation tool alongside the existing forecasting process. The minimal viable product is a functional model that provides usable accuracy and lists expected monthly sales based solely on historical data and observed fluctuations.
The second and final step focuses on refining the model and presenting its findings through a dashboard, incorporating information from other relevant sources to support the forecaster in making informed forecasts. This phase also enables improved communication with other relevant departments. Ultimately, the forecast incorporates real-time sales data for increased accuracy.
Feedback from Besi representatives indicates that this implementation approach is suitable for their business. The framework and steps presented in this study have been generalized to benefit other organizations, making an academic contribution in the field of ML-based demand forecasting. This contribution stems from the building upon the theory by Caniato in implementing a quantitative forecasting method. The new findings show that there are multiple ways to implement a quantitative method and that, according to this paper, the implementation is best cut up into two phases for smooth transition and maximum acceptance.","Machine learning; Implementation techniques; Demand forecasting","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:f27ed5a2-ae01-402c-a4db-6930bc41fc60","http://resolver.tudelft.nl/uuid:f27ed5a2-ae01-402c-a4db-6930bc41fc60","An Analysis of Coordination Mechanisms to Address Drought and Heatwave Climate Risks in the Beer Industry - A Heineken Case Study","Arjun Santhosh, Arjun (TU Delft Technology, Policy and Management)","Ghorbani, Amineh (mentor); Goyal, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","Amidst the burgeoning challenges of climate change, certain sectors, like the beer industry, find themselves at the forefront due to their dependence on climate-sensitive agricultural inputs. The changing climatic conditions not only influence the flavor profile but also the beer's accessibility, by affecting the quality and yield of essential ingredients such as barley and hops. This research delves into the beer industry's supply chains, which span regions increasingly vulnerable to climatic disruptions, thereby subjecting companies to notable physical risks like water shortages, crop failures, and transportation hindrances.
The pertinence of this study extends beyond the beer industry, revealing broader implications for various business sectors. As supply chains of numerous sectors traverse climate-sensitive zones, they're endangered by hazards like droughts and heatwaves. A prominent challenge exacerbating these threats is poor coordination, evidenced by inconsistent communication, delayed responses to disruptions, supply chain opaqueness, and misaligned adaptive strategies.
To address the overarching research question on effective coordination measures that the beer supply chain can adopt to mitigate vulnerabilities and bolster climate resilience, the study integrates both primary and secondary data. Primary data is sourced through semi-structured interviews, capturing insights from within the beer industry, while secondary data is derived from academic and industry repositories. The combined data offers a comprehensive perspective on the existing challenges and potential solutions for the beer supply chain.
A deep dive into the industry's supply chain relationships elucidates the inherent complexities, informing subsequent analyses on viable coordination mechanisms to combat climate vulnerabilities. Heineken, an industry stalwart, plays a crucial role in this exploration, with the Institutional Analysis and Development (IAD) framework assisting in structuring the problem analysis. This framework is invaluable in scrutinizing institutional setups and their resultant impacts, while Heineken's strategic initiatives are evaluated using action situation analysis.
One of the central themes emerging from the findings is the potential applicability of Elinor Ostrom's design principles. Originally conceived for effective common-pool resource management, these principles, emphasizing clear demarcation, rule-local condition congruence, and responsible governance, could be instrumental for the beer industry. They present a well-structured approach for devising coordination mechanisms, crucial in confronting collective environmental challenges.
In summation, this research underscores the imperative for the beer industry, and by extension, other sectors, to consider Ostrom's principles. Their adoption could pave the way for resilient and sustainable supply chains, proficient in circumventing climate-induced risks. Such proactive measures are not merely pivotal for sustaining business operations but paramount in ushering an era of sustainable, climate-resilient business practices.","Climate change; Supply Chain; Supply Chain Collaboration; Coordination problem; IAD framework; Ostrom's design principles","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:763f87b8-3115-4b86-98e7-a4b94fe07b84","http://resolver.tudelft.nl/uuid:763f87b8-3115-4b86-98e7-a4b94fe07b84","Design of a −40◦C ∼ 150◦C 5σ inaccuracy of ±0.5% Bandgap Reference voltage source","Zhu, Zitao (TU Delft Electrical Engineering, Mathematics and Computer Science)","Verhoeven, C.J.M. (mentor); Montagne, A.J.M. (mentor); Delft University of Technology (degree granting institution)","2023","This report presents a bandgap reference voltage source that achieves 5-sigma Inaccuracy of ±0.5% from -40C to 150C under 16FFC process. This is the first time 16nm techniques are used in automotive products and the first time trying to realize analog circuits in such a process for in-vehicle network purposes. The report points to good behavior with only a small area and considerable power. It also proves that applying chopping to the circuit does not increase the area.","Bandgap reference; Chopping; High sigma","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:538f7d97-e7a3-4551-a01a-11f89db2f6ea","http://resolver.tudelft.nl/uuid:538f7d97-e7a3-4551-a01a-11f89db2f6ea","Dynamic scheduling with stochastic customers for a real life application with multiple depots","van Beem, Joël (TU Delft Mechanical, Maritime and Materials Engineering)","Atasoy, B. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:939525b8-227f-4c5e-8549-4229f24f9f37","http://resolver.tudelft.nl/uuid:939525b8-227f-4c5e-8549-4229f24f9f37","BIM and Game Engines Coupling for Digital Twin Implementation in the AEC Industry: a use-case analysis","Caporalini, Luisa (TU Delft Civil Engineering & Geosciences)","Wamelink, J.W.F. (mentor); Ramler, J.P.G. (graduation committee); Hall, Daniel M. (graduation committee); Čustović, I. (graduation committee); Delft University of Technology (degree granting institution)","2023","Digital Twin (DT) technology has transformed construction by creating virtual counterparts of real-world objects for improved project design and management. Integrating Building Information Modelling (BIM) with Game Engines (GEs) like Unity3D is crucial for effective DT implementation in construction. However, research in this area is limited, particularly in seamless BIM data exchange to GEs. This study bridges these gaps to enable successful DT deployment in construction.
This research addresses BIM-GE integration for construction DTs, evaluating commercial, customized and custom built data exchange methods between Autodesk Revit and Unity3D. Drawing from literature and commercial software, the methods are tailored to diverse DT use cases. The goal is to optimize workflows, enhancing efficiency and accuracy of data transmission between DTs implementing technologies. Findings provide guidance for seamless BIM-GE integration, fostering innovative and sustainable infrastructure.
Comprising four branches, the methodology involves a literature review to establish data needs for various DT applications, software pipeline evaluation, comparative analysis of data exchange methods, and prototyping using Dynamo.
Key findings include insights into DT use case requirements, analysis of data exchange method pros and cons, recommendations for DT development, and a practical prototype illustrating data exchange.
In conclusion, strategies for effective data exchange are highlighted, including bi-directional methods and real-time synchronization. Recommendations involve suitable file formats and polygon reduction techniques. Embracing emerging technologies like object behavior and sensor data enhances DT simulations. This research demonstrates how the DT use case influences the type of data need and therefore highly affects the data exchange methods to be considered when developing a DT.
Extensive research involving literature analysis, interviews, and observational studies unveiled the challenges that current ADVs are facing, including unpredictable behaviour and distrust. The absence of predictability has a big impact on effective on-road communication, and it potentially leads to unsafe traffic interactions. A viable solution lies in communicating the ADV's driving intent. This study delves into the nuances of intent communication in pedestrian environments, and identifies intent signals that are predominantly communicated through body language. The objective is to replicate human-like signals in the behaviour of ADVs, to ensure an intuitive understanding of such cues from previous traffic experiences.
Focusing on designing predictability through intent communication via body language, a test exploration is conducted, testing multiple different prototypes. Iterative trials resulted in interesting insights and eventually lead to the most promising intent signal – the ""Looking"" scenario. This intent signal is inspired by a head-turning or someone looking into the desired walking direction. Participants recognised this signal, facilitating an intuitive understanding of the meaning of the signal.
The evaluation test confirmed the intent signal's positive effect on enhancing pedestrians' feelings of safety, trust, and comfort during an ADV interaction. These increased feelings of safety, trust and comfortability came from the fact that they were able to predict the movements of the ADV and because they felt ""seen"". The robot reacted to the participants by showing its intent, this reaction gave participants the perception that the ADV has indeed detected them and therefore won't collide with them. Consequently, it is recommended to integrate intent communication into ADV designs to ensure safer and more harmonious ADV-pedestrian interactions.
Three design guidelines are formulated based on the insights from the exploration phase. The three guidelines emphasize the interpretation, visibility, and relevance of intent signals. The conceptual ADV design presented in this project, aligns with these guidelines. The intent signal in the design concept of the ADV makes their behaviour more predictable, gives people a sense of control because they feel detected, which both leads to an increase in safety, trust, and comfortability. Although the intent signal shows great promise in creating a safer and more comfortable traffic interaction between ADVs and pedestrians, additional research is needed to fully understand the impact of integrating this intent signal.
One of the stakeholders working in this context is the Voedingscentrum (the Netherlands Nutrition Centre). The Voedingscentrum aims to encourage consumers to eat less meat. Additionally, they are seeking interventions to reach people with a low socioeconomic position (or ‘SEP’). Due to more pressing concerns, this group often has limited capacity to make long-term changes, such as adopting a healthier and more sustainable diet.
The goal of this project is to design an intervention that addresses resistance in the protein transition and enables the Voedingscentrum to reach people with a low SEP who are unwilling or unable to embrace the protein transition.
To determine a fitting design direction, the project began with research into the protein transition, consumers with a low SEP, and behavior change theory related to resistance. This was accomplished through various research activities, including literature and field reserach. The collected insights led to ten clusters representing the factors that influence people’s behavior in this context. Structuring these clusters and evaluating the resulting social context led to the following design goal:
“To facilitate a healthy shift towards a more plant-based diet, we want to help consumers feel secure in such a change by stimulating them to joyfully follow others.”
The designed concept, ‘Spaar je vol’, is a savings campaign or ‘spaaractie’ that allows consumers to save stamps while grocery shopping. With a full card of stamps, these customers receive a healthy vegetarian meal box containing fresh ingredients for a meal for four persons. The meals are created by the Voedingscentrum and come with easy-to-follow instructions. This campaign offers the Voedingscentrum a practical way to influence what people consume without relying solely on their ability to obtain, understand, assess, and use health information for decision-making. The variety of meal boxes offers vegetarian options without explicitly stating it, promoting a shift towards a healthier protein consumption pattern and reinforcing the new norm.
To cater to consumers with limited financial resources, supermarket customers can also donate their stamps to the Voedselbank (Food Bank). The donated stamps will be converted into donated meal boxes and distributed through the Voedselbank.
The conducted evaluation sessions provided insights from the target audience and stakeholders, demonstrating that the concept was positively received. However, additional steps need to be taken regarding the (financial) feasibility of the project.
This project contains an in-depth case study that demonstrates how behavior change theory can be applied when on dealing with resistance during the design of interventions. It offers an intervention for consumers with a low SEP and provides multiple recommendations for further steps within this domain.
To understand the interaction of employees with phishing emails without influencing their behaviour, we study the emails employees report as suspicious to characterise the security culture at a bank. A better understanding of the behaviour provides grounds for recommendations to improve anti-phishing training and create a safer environment. The newfound metrics can provide an alternative to current methods.
This research uses Exploratory Data Analysis (EDA) to evaluate the email reporting behaviour of employees at a bank to answer the Research Question How can email reporting patterns in a large organisation measure the relationship between phishing training, reported emails and employee behaviour? With this case study, we apply EDA to a large dataset containing bank employees' reported emails over 16 months. We analysed the reported emails and related them to the provided phishing training events. Moreover, we did a text analysis of the emails' content using the Term Frequency - Inverse Document Frequency (TF-IDF) method. Additionally, we extract the dominant topics of the emails using topic modelling. Lastly, with the help of interviews, the results are tied to employees' experiences to understand their behaviour.
The major findings of the research are, firstly, the new metrics we identified to measure the security culture of a company. These metrics were found from both the analysis of employee behaviour over time, as well as the analysis of the email content. From the analysis of the reporting behaviour over time, new metrics include the unique reporters in relation to the total reported emails over time. Besides the unique reporters, unique reported emails can uncover the presence of campaigns. For example, a single email can explain the increase from 50 to 350 daily benign reports. The difference between the total reports and unique reports uncovered this. Secondly, topic analysis and content comparison show similarities between benign and malicious reported emails, indicating an increased vigilance of employees on these attributes.
A second finding originates from the analysis of the email components. One of the components was used in all simulation emails, while it was not present in all the benign and malicious reported emails. This shows that the simulation emails can be extended to include different scenarios. Therefore, we recommend the company to extend the phishing simulation emails to contain varied phishing tactics to expose employees to other types of attacks and incorporate all aspects taught during the E-learning.
Lastly, the analysis shows no concrete relation between the number of reported emails and the timing of the simulation wave. Although the reported p-value of benign emails after the simulation is 0.03, this significance can also be explained by external factors.
With these results, we can measure employees' security culture and awareness in real-world circumstances without influencing the employees' behaviour, providing a new approach to investigating phishing behaviour. Adding to the research of Steves et al. (2020),, the click rates can be explained by more than solely the employees' awareness levels, and new explanations come forward to handle phishing threats. Moreover, the absence of a required test environment for the analysis created a solution for existing gaps. For example, as seen in (Hillman et al., 2023).
A limitation of exploratory data analysis is that results are often ambiguous and mainly provide possible directions for future research. Furthermore, external factors influencing the behaviour could provide alternative reasons for the discussed interactions.
To conclude, by using the reported emails to measure security behaviour related to phishing, we found new metrics which do not influence the employees in their daily behaviour while still providing insights to improve the tactics of a company in combating phishing attacks. Reporting behaviour can be used to analyse the current anti-phishing tactics of a company and provide suggestions for improvements.
Future research should explore the differences in applying the method in other companies and across sectors. Overlap and differences can create an understanding of the diversity in security culture and the effect of external factors. Combining these results with a comprehensive understanding of a company's operations can expose directions for improvement in the security approach. Additionally, the effect of the recommendations can be analysed using the metrics we proposed. This can be done with a follow-up analysis of the behaviour to see whether the desired effect can be observed.","phishing; reported emails; employee behaviour","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:a9c930e5-1bc7-472a-bbbf-56ce26b4b8b8","http://resolver.tudelft.nl/uuid:a9c930e5-1bc7-472a-bbbf-56ce26b4b8b8","High-speed asynchronous digital interfaces: Exploiting the spatiotemporal correlations of event-based sensor data","Zhan, Fengwei (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Microelectronics)","Frenkel, C.P. (mentor); Makinwa, K.A.A. (graduation committee); Gao, C. (graduation committee); Chauvaux, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the introduction of event-based cameras, such as the dynamic vision sensor (DVS), new opportunities have arisen for low-latency real-time visual data processing. Unlike traditional frame-based cameras that capture entire frames at fixed intervals, each pixel in an event-based camera operates asynchronously, generating an event whenever its brightness change exceeds a certain threshold. Although DVS sensors inherently surpass traditional frame-based cameras in capturing transient, high-speed phenomena, their performance bottleneck is usually located in their address event representation (AER) readout interfaces. The commonly used row-scanning synchronous AER, which encodes events in a full row at once, offers high throughput. However, this approach also introduces inherent delays that limit its use in applications requiring high temporal resolution. Conversely, while AER schemes based on asynchronous digital circuits surpass synchronous schemes in temporal resolution, their event-by-event transmission approach limits their overall throughput.
This work proposes a novel high-speed asynchronous AER interface, leveraging spatiotemporal correlations in DVS event-based data, to optimize the tradeoff between temporal resolution and throughput. Supported by the recently proposed open-source asynchronous design toolkit (ACT) flow for asynchronous digital circuits, we propose an address fuser to be integrated into the hierarchical token ring (HTR) AER scheme. This address fuser creates a spatiotemporal window to exploit the inherent spatiotemporal correlations in DVS data. After verification at both switch- and transistor-level simulations, we benchmarked our design against the conventional HTR AER scheme using a representative set of input scenarios. Our design achieved 196% of the throughput for multi-event transmissions when all pixels were activated simultaneously, at the expense of an acceptable 18% latency increase for single-event transmissions with a 10-ns temporal window.","Asynchronous digital circuits; Event-based vision; Address event representation","en","master thesis","","","","","","","","2024-08-25","","","","Electrical Engineering | Microelectronics","",""
"uuid:3f4f4b69-873a-4d25-984b-5e354c70d5fe","http://resolver.tudelft.nl/uuid:3f4f4b69-873a-4d25-984b-5e354c70d5fe","Evaluating the cost effectiveness of logistic strategies for onshore monopile handling in marshalling ports","Kassels, Sander (TU Delft Technology, Policy and Management)","Fazi, S. (mentor); Verburg, R.M. (graduation committee); Oorschot, L.M.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The global ever growing energy demand, quest for renewable alternatives for fossil fuels and desire to reduce dependency on single countries has driven the increasing demand for offshore wind energy production. The advancing technologies that enable offshore wind turbines to gain efficiency go hand in hand with increasing sizes of components and foundations. Deeper waters can be entered, but the accompanied size and weight increase poses various challenges. Fixed-bottom structures such as monopiles reach diameters of 10m and lengths up to 110m, which complicates the onshore handling of those monopiles in marshalling ports. This study identified a gap in the existing literature regarding marshalling ports and their role in supporting offshore wind farm construction.
By applying a discrete event simulation (DES) to a case study regarding the construction of an offshore wind farm in the Baltic Sea, different scenarios have been evaluated and assessed in their resilience and performance in response to schedule changes. The findings highlight the importance of a compressed project schedule in achieving cost reductions. A strategy with approximately 75% overlap between load-in and load-out schedules was identified as the most cost-efficient approach. With this approach, cost savings are not only achieved by reducing operational expenses such as personnel and equipment rental, but most substantially by the decreased amount of demanded storage area spaces. With less storage spaces needed, both the construction costs for storage bunds and the area rental costs decrease. The analysis of the experiment on schedule overlap revealed that a scenario with only one support for load-out and zero supports for the load-in exhibited higher average waiting times and total maximum fines. However, this scenario still performed best in terms of total costs, as the waiting times for ships did not outweigh the expenses associated with additional supports. The study also examined the timing of arrivals and found that when a barge arrives the day after the installation vessel departs, the waiting time for unloading significantly decreases.
Collaboration among stakeholders is emphasized as a key recommendation stemming from the study. Involving all relevant actors in offshore wind projects from an early stage can yield extensive mutual benefits. By establishing an overarching supply chain management, coordinated by the project developer, overall construction costs can be reduced without harming any particular party.
The developed discrete event simulation might be applied to other projects to extend the research, under the requirement that the included assumptions are structurally evaluated. Investigating different project sizes, schedule variations and load-out methods could improve the overall understanding of the system dynamics and parameters. In combination with a discrete event simulation, a mathematical layout optimization might enable decision makers to make choices regarding the location and priority of placing wind turbine components in marshalling port, based on the installation variability. This could eventually lead to a decision-making tool suitable for cost-optimizing marshalling activities and installation strategies for wind farm constructions globally, contributing to the acceleration of the energy transition.","Discrete Event Simulation (DES); Marshalling port; Logistics; Monopile; Offshore wind farm; Self-Propelled Modular Transporter (SPMT); Installation vessel; Barge","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:0426a25b-09ba-4fa8-9d27-f4e555ab30ea","http://resolver.tudelft.nl/uuid:0426a25b-09ba-4fa8-9d27-f4e555ab30ea","Sound environment monitoring system in NICU/PICU","Lu, Guang (TU Delft Industrial Design Engineering)","Ozcan Vieira, E. (mentor); Goos, T.G. (mentor); Delft University of Technology (degree granting institution)","2023","This research project delves into the exploration of the sound environment within Intensive Care Units (ICUs), particularly focusing on Neonatal Intensive Care Unit (NICU) and Pediatric Intensive Care Unit (PICU). It aims to enhance the understanding of sound events and their impact on both nurses' decision-making and patients' sleep behaviors. By recognizing the unique challenges of the sound environment in these specialized units, the project focuses on creating a soundscape evaluation metric, incorporating sound event detection algorithms, and simulating real ICU scenarios through synthesized audio. This approach seeks to facilitate better decision-making, foster communication among nurses, improve behavior, and enhance awareness of events within the patient's room.
Utilizing an iterative process that involved technological exploration, algorithmic integration, and UI design, the project offers a novel perspective on sound quality within NICU and PICU settings. The main objective is to transform raw sound data into visually accessible formats for the nursing staff, while also addressing complexities like sound collection, data processing, information storage, deployment methods, and interaction design. The project culminates in the development of a functional product prototype that not only meets the initial goals but also reveals new opportunities and challenges for future exploration. The insights gathered contribute to the wider understanding of the sound environment within NICUs and PICUs, shedding light on potential improvements in patient care and nurse interaction within these critical healthcare environments.","UX Design; Soundscape; Healthcare Design; Sound design; Interaction design; Machine learning","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:a2e95dc2-c22e-47df-90f9-6c6ca238fa82","http://resolver.tudelft.nl/uuid:a2e95dc2-c22e-47df-90f9-6c6ca238fa82","Exploring the Relationships of Language Pattern, Math Anxiety, Self-esteem, and Curiosity","Dong, Xiaohan (TU Delft Technology, Policy and Management; TU Delft Values Technology and Innovation)","Rook, L. (mentor); Lefter, I. (graduation committee); Brazier, F.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Math Anxiety (MA) is some of the most notable mental health issues. The causes and development of MA are complex and inconclusive. This study highlighted that language patterns differ between people with high and low MA. Especially, the word categories positive emotion, insight, articles and linguistic dimensions. This study included two personality differences (self-esteem and curiosity) to better explore the inner world of people with high and low MA through their language patterns. Self-esteem and curiosity moderate the relationship between MA and people’s language patterns.
Even when climate policies are implemented, their success is found to be related to society's acceptance of them. Moreover, citizens' support for policies is related to the alignment of such policies with their preferences, and research shows that citizens' preferences are based on their values. Additionally, it is known that there are balanced tensions between values, which are translated into trade-offs. Different participatory methods have been used for preference elicitation. In Peru, however, it has been found that the processes followed have an apparent entry barrier for individuals, therefore, other methods can be explored. A recently developed method is Participatory Value Evaluation, in which the participants are asked to make a selection among a set of alternatives while considering its effects and one or more restrictions. This method has been mainly applied in Europe, with some of them being focused on climate change subjects. Based on this the primary and secondary research questions are defined as:
1. How do Peruvian citizens trade-off climate change mitigation measures among the two most polluting sectors, from a set of policy alternatives?
2. How is Participatory Value Evaluation perceived by Peruvian citizens and how does it differ from Dutch citizens' perception?
Based on the literature review done regarding the method, a Participatory Value Evaluation experiment is designed using the knowledge gathered from the research on the climate policy situation in Peru and its region. This includes a total of six policy measures part of the country's two most polluting sectors (i.e., Energy and Agriculture, Forestry and Other Land Use). The designed Participatory Value Evaluation is conducted between July 3rd and July 17th, 2023.
It can be concluded that Peruvian citizens prefer policy alternatives that yield positive and direct environmental outcomes, especially when accompanied by minimal monetary expense. Citizens would trade off a higher cost for even a moderate reduction in harmful emissions, provided the health benefits are explicitly articulated. The inclination to make a trade-off between cost and emission reduction diminishes when health and environmental benefits are either not explicitly outlined or fail to reach a significant threshold. Finally, based on the preference patterns of the individuals, four distinct groups were identified. These are mainly dependent on their considerations of the effects each policy alternative may bring. These insights reinforce the importance individuals across different education levels, attribute to the environment, particularly regarding deforestation.
Regarding the method, it can be concluded that Peruvian citizens had a positive and favourable experience when participating in the PVE for climate change mitigation. In a similar manner to the Dutch citizens, Peruvian individuals exhibited a positive perception of the method. In like manner, a majority of individuals believed it is a good method to involve citizens in governmental decisions regarding climate change policies. These insights seem to foster an inclination for continued engagement and provide their opinion on other subjects relevant to Peruvian society. Equally important, citizens would appreciate the real repercussions resulting from their input within the decision-making process.","Participatory Value Evaluation; Preferences; Climate change mitigation; Trade-offs; Peru; Climate policy","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:51ce5c35-51d1-4508-911c-47cff99efafc","http://resolver.tudelft.nl/uuid:51ce5c35-51d1-4508-911c-47cff99efafc","Site-specific load time series emulation of an offshore wind turbine using surrogate models","Marramiero, Daniela (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Wind Energy)","Viré, A.C. (mentor); Singh, D. (mentor); Dwight, R.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Considering the goals set by the international community, the implementation of new energy sources has to increase considerably in the next seven years. In this thesis, the focus is on the acceleration and improvement of the application of offshore wind turbines. The power produced using this technology should become 3.6 times more before the end of this decade to comply with the set goals.
To achieve this target, new solutions have to be developed. To this aim, the implementation of model predictive control for wind turbines in the last years has been investigated. This would allow to optimize different parameters at the same time, such as maximization of energy production while minimizing the perceived loads. For this application, it is necessary to have forecasts of different features of the turbine, especially loads, with a higher frequency. As a result, the main research topic is defined as 'Can data-driven surrogate models be used for forecasting load time series on offshore wind turbines?'.
To answer this question, first environmental conditions are sampled within limits deducted from real data through Halton sequencing, and next simulations are run through OpenFAST to determine the resulting loads acting on the turbine. Within all the features resulting from the simulation, only five inputs and five target outputs are selected. This is the result of various considerations. Given the desire to develop a realistic methodology, the input variables are first filtered by assessing their availability from measurement devices. Next, the relationships between the variables are analyzed through cross-correlation to determine the degree of influence of each input on the output.
Using this data, a training database is created. It is used to train two different types of surrogate models, one linear and one non-linear, respectively ARIMAX and LSTM. These are implemented to generate a 30-second forecast of the moments acting at the root of the blade. To do so, the algorithms are trained using different variables as exogenous inputs to assess the models' performance in different cases. Given the wide range of target features, for LSTM two different behaviors are identified and the blade edgewise and flapwise moments are taken as examples. The hyper-parameters are tuned on the blade edgewise moment and lead to overfitting when applied to the blade flapwise and out-of-plane moment.
The obtained results show that the RMSE in ARIMAX is up to seven times larger than the one obtained from the application of LSTM. Within the non-linear models, the one resulting in the lowest percentage error for the blade edgewise, pitching, and in-plane moment considers the wind reference speed, the wind speed time series, and the corresponding tip deflection as exogenous inputs. Very low RMSE errors are obtained for all variables. Furthermore, it is concluded that while it is possible to implement LSTM in real-life, this is not achievable for ARIMAX.","wind turbines; loads; forecasting; time series; lstm; arimax","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","","53.093612, -10.27153"
"uuid:edd49b7c-c112-4b11-a596-041fc36d0ee6","http://resolver.tudelft.nl/uuid:edd49b7c-c112-4b11-a596-041fc36d0ee6","Life Expectancy of DC Fast Charger: Effect of load profile on thermal degradation of semiconductors","Bhadury, Abheek (TU Delft Electrical Engineering, Mathematics and Computer Science)","Shekhar, A. (mentor); Kardan Halvaei, F. (mentor); Bauer, P. (graduation committee); Cvetkovic, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","There will soon be a huge influx of EV chargers required in the coming years. Therefore it is important to find out the lifetime of EV chargers like the DC Fast Charger for business cases. These chargers are a combination of multiple power converters to help regulate the grid AC voltage to something that can be used to charge the battery. Multiple Industrial surveys have shown that the most vulnerable parts of power converters are the power semiconductors used inside them. Moreover, research shows that most of the failure in these EV chargers is due to thermal cycling which causes thermo-mechanical fatigue. While there are studies that estimate the lifetime of these semiconductors, it is seldom done in the context of EV charging load profiles. These EV charging load profiles are responsible for long-term power cycling contrary to short-term power cycling which is generally done to estimate the lifetime of the semiconductors. This thesis shall thus choose a popular DC Fast Charger power converter, feed in
a load profile and analyse the effects of thermal degradation of the switches and rectifier diodes present in the circuit. This data will then be used to make an estimate of how long the whole power converter will last thus leading to the lifetime of the DC Fast Charger.","DC Fast Charger; Lifetime estimation; Thermal Degradation; full bridge converter","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:bab7bc43-5866-4322-96ab-b503aea730d7","http://resolver.tudelft.nl/uuid:bab7bc43-5866-4322-96ab-b503aea730d7","Design of an Automotive Occupant Restraint Systems for Reclined Seating","Singh, Utkarsh (TU Delft Industrial Design Engineering)","Vink, P. (mentor); van Breemen, E.J.J. (graduation committee); Wegner, Maximilian (graduation committee); Delft University of Technology (degree granting institution)","2023","As the automotive industry advances towards greater autonomy, the landscape of car travel is evolving. The integration of reclined seating within vehicles, made possible without major alterations to traditional layouts, presents a promising avenue for enhancing passenger experiences. This shift, however, comes with unique safety challenges due to the changed seating dynamics.
Research, including insights from General Motors, Volvo & Volkswagen emphasizes the need for innovative safety solutions for reclined seating. Simulations comparing three-point and four point seat belt configurations, in both upright and reclined positions, reveal advantages for the latter at higher speeds. This configuration produces a more balanced force distribution during
impacts, away from vital areas.
While the potential of a four-point restraint system is evident, implementation hurdles arise. Addressing both safety and comfort, a study assesses the user experience for both systems. Building on these insights, a convertible restraint system is proposed, seamlessly transitioning from a three-point to a four-point
configuration based on passenger position. This adaptable design merges safety and comfort effectively.
The proposed design requires redesign of certain components of the seat back & headrest. These are provided as recommendations","Occupant Safety; Automotive design; Restraint System","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:4c30f376-a9f7-4853-bc2f-650cabce57f3","http://resolver.tudelft.nl/uuid:4c30f376-a9f7-4853-bc2f-650cabce57f3","Static Analysis Complements Machine Learning: A Type Inference Use Case","feng, lang (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Software Engineering)","Gousios, Giorgos (mentor); Poulsen, C.B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Type inference plays a pivotal role in modern software development as it aids in understanding code, detecting errors, and facilitating code completion. Two main approaches, static analysis, and machine learning, contribute to this process. Each approach has its own benefits and limitations. This thesis investigates the potential of combining static analysis techniques and machine learning (ML) approaches to enhance type inference capabilities.","Machine Learning (ML); Static analysis; Type Inference","en","master thesis","","","","","","https://zenodo.org/record/8255564 Dataset URL","","","","","","Computer Science | Software Technology","",""
"uuid:bd8b10a3-fbe4-4de9-8838-d0874b23f0e9","http://resolver.tudelft.nl/uuid:bd8b10a3-fbe4-4de9-8838-d0874b23f0e9","Data-Driven RANS Modelling of Junction Flows: An Evaluation of SpaRTA on the Wing-Body Geometry","van Ede, Matthijs (TU Delft Aerospace Engineering)","Dwight, R.P. (mentor); Delft University of Technology (degree granting institution)","2023","Classical RANS (Reynolds-Averaged Navier-Stokes) turbulence models have limited accuracy in the prediction of the flow over the wing-body geometry. Therefore, this work focuses on improving the prediction accuracy of the classical k-ω SST turbulence model for the junction flow by means of the data-driven method SpaRTA. In the SpaRTA methodology higher-fidelity data, in this work LES (Large Eddy Simulation) data, is leveraged to find correction models
that enhance the baseline turbulence model. These correction models aim at correcting the Reynolds stress anisotropy and the turbulent kinetic energy (k) equation. The correction models are obtained by sparsely regressing flow features to the correction fields that are found by the k-corrective frozen approach.
The results showed that the correction fields determined by the frozen method are very effective. Direct propagation of these fields resulted in a solution very similar to the LES data. In contrast to the model search for the k correction, finding a model for the anisotropy correction by means of sparse regression was difficult. The latter is reflected in the CFD (Computation Fluid Dynamics) propagation of the found models on the same flow case as the training of the models. This model propagation showed an improvement in the placement of the horseshoe vortex, however, the vortex topology in the corner region was unsatisfactory. Which is linked to the poor model fit of the anisotropy correction field.
Besides the search for an improved turbulence model, this work also encapsulates an evaluation of the drag reduction by the anti-fairing geometry for the wing-body junction flow. This evaluation was achieved by comparing available wall-resolved LES data sets. The evaluation revealed that the anti-fairing reduces the drag force by a propulsive pressure mechanism over the bottom wall for a specific geometry over which the drag is computed. This geometry included only 5% of the complete wing span, for larger wing spans the drag reduction was not evident.","CFD; Data-Driven; Turbulence modelling; Junction flow; RANS; SpaRTA","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:63d4086e-9f80-4bcd-a659-8f09e4620bd7","http://resolver.tudelft.nl/uuid:63d4086e-9f80-4bcd-a659-8f09e4620bd7","Optical Filter Coated PV Cell for Noise-free Outdoor LiFi","Ibrahim, Aya (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ziar, H. (mentor); Manganiello, P. (graduation committee); Muttillo, M. (graduation committee); Zhou, Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","In today’s society, the need for alternate high-speed data transmission solutions has increased due to the radio frequency (RF) spectrum’s growing congestion. Visible Light Communication (VLC)-based LiFi has emerged as a possible alternative to current wireless technologies like WiFi. The difficulty, though, is that the photodiode performance as a receiver for VLC under outdoor conditions rapidly degrades. To solve this problem, the photodiode receiver was replaced by a PV cell receiver with the primary advantage of being optimized for outdoor conditions.
While earlier research has concentrated on light and modulation optimization to
improve the performance of VLC systems, our research adopts a novel strategy by
analyzing the effect of different light wavelengths on seven different PV cell technologies in hopes to design an optical filter and realize noise-free VLC for PV cells. We specifically are interested in identifying the LED wavelength that has the greatest bandwidth in order to increase the possible data transmission speeds in PV-VLC systems. In order to do this, a thorough characterization of several colored LEDs with different wavelengths was carried out across seven PV technologies, including PERC, AL-BSF (5INCH), AL-BSF (6INCH), SHJ, IBC, Busbar-free Al BSF, and TOPCon. Each LED wavelength was tested under three different intensities of light (100, 300, and 500 W/m2).
In terms of PV technology, TOPCon demonstrated superior performance at low bias voltages, while Busbar-Free Al BSF(EEPV) outperformed the other PV technologies at higher bias voltages, especially at the maximum power point. Furthermore, the analysis of light intensity revealed that the bandwidth does not only depend on capacitance but also on the internal resistance of the PV laminate. For the c-Si solar laminates tested the considerably larger resistance at lower light intensities in the bias voltage interval from 150mV to 450mV resulted in lower bandwidths at lower light intensities. The measurement results under different LED colors, concluded that when operating near maximum power point, the variation in bandwidth between different colored LEDs could significantly affect data rates, particularly when considering the higher SNR results at lower bias voltages that contribute to achieving faster data rates in the PV-VLC system.","LiFi; VLC; PV Receiver; Bandwidth","en","master thesis","","","","","","","","2025-08-28","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:f49db266-2288-43a8-be60-93fd886f96d4","http://resolver.tudelft.nl/uuid:f49db266-2288-43a8-be60-93fd886f96d4","Characterization of High Fiber Volume Fraction Glass Pultruded Plates for Wind Turbine Blades","Zhang, Chi (TU Delft Aerospace Engineering)","Alderliesten, R.C. (mentor); Bhangale, Jaykarna Ashok (graduation committee); Delft University of Technology (degree granting institution)","2023","In recent years, the wind energy industry shows more and more interest in composite materials manufactured with a new process called pultrusion. The advantage of pultruded composite plates includes high fiber volume fraction, low price and simplified blade manufacturing. However, pultruded plates are fully cured and crosslinking is absent at interlaminar interface, which may lead to potential risks when being applied into the real structure. This project focuses on characterizing and evaluating this new type of material.
Microscopy and burn-off test were carried out to measure the actual fiber volume fraction in the material. Roughness of interlaminar surface was measured with microscope by focus variation. These parameters are essential to understand the material.
To characterize the mechanical behaviour of the material, multiple different types of mechanical tests were carried out. Mode-I and mode-II interlaminar fracture toughness were tested with Double Cantilever Beam(DCB) and End-Notched Flexure(ENF) specimens. A new test procedure was developed to measure the mode-I interlaminar fatigue toughness of the material. For in-plane tensile and compression test, Finite Elements Methods(FEM) was used to optimize the specimen geometry in order to obtain results closest to actual material behaviour. During the tensile test, acoustic emission was measured to monitor the damage in the specimens in these tests. Fatigue tests with stress ratio R=0.1 and R=-1 were carried out to understand the fatigue behaviour of the material.","Wind Energy; Composite Material; Pultrusion Process; GFRP; Fracture toughness; Fatigue Analysis","en","master thesis","","","","","","","","2025-08-28","","","","Aerospace Engineering","","51.9899, 4.3753"
"uuid:3f19240a-011a-4acc-a145-061e389b4ece","http://resolver.tudelft.nl/uuid:3f19240a-011a-4acc-a145-061e389b4ece","English: English","Zheng, Yi (TU Delft Electrical Engineering, Mathematics and Computer Science)","Isabella, O. (mentor); Zhao, Y. (graduation committee); Kovačević, K. (graduation committee); Özkol, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Silicon heterojunction (SHJ) solar cell technology is one of the most promising PV technologies because of its high power conversion efficiencies, simple fabrication process and low manufacturing cost. The current world record efficiency of SHJ solar cell reaches up to 26.81%. SHJ solar cell produces the highest VOC over 750 mV among the c-Si solar cell technologies because of the excellent surface passivation provided by the few nanometers (i)a-Si:H layers. The objective of this thesis is to design and optimize the (i)a-Si:H bilayers that not only ensure excellent c-Si surface passivation quality but also allow less-resistive transport of charge carriers in SHJ solar cells.
First, a database focusing on the microstructure properties of (i)a-Si:H layers was established. The database was compiled by systematically varying the PECVD deposition parameters of the pressure, power, and hydrogen dilution ratio. By means of FTIR (Fourier Transform Infrared Spectroscopy) characterizations, higher power and pressure were found to correspond with an increased absorption strength of high stretching modes (HSM), which indicated a higher R* and hydrogen content. These conditions led to the formation of a film that is rich in voids and hydrogen. Conversely, a denser a-Si:H film could be achieved by increasing the hydrogen dilution ratio, where the low stretching modes (LSM) were increased and became dominant. Overall, the built database showcases the possibilities to deposit (i)a-Si:H layers with microstructure factor spanning from 0.193 to 0.805.
Subsequently, passivation optimization of the (i)a-Si:H bilayer on textured (111)-orientated c-Si wafers has been conducted. The optimal passivation is achieved by the combination of a 1 nm underdense i1 layer and a 9 nm dense i2 layer. The void-rich i1 layer with R* = 0.732 and the dense i2 layer with R* = 0.205 improved the passivation greatly from 2 ms to 7.5 ms, as compared to unoptimized STD1 layer (R* = 0.261) and STD2 layer (R* = 0.314). Despite various passivation qualities enabled by various bilayer structures, after hydrogen plasma treatment (HPT), the lifetime of all increased drastically to 17 ms, accompanied by increases FTIR-characterized in both H content and R*, which may indicate that passivation could be saturated after HPT. However, the passivation trend after VHF treatment was not clear. This could potentially be the instability in the PECVD deposition tool.
Finally, (i)a-Si:H bilayers were implemented into FBC-SHJ solar cells, solar cells endowed with a bilayer consisting of X1 layer (R* = 0.382) and D2 layer (R* = 0.205) exhibited an average Voc of 715 mV. Combined with a denser D2 film, RS is reduced, helping to counteract the elevated series resistance associated with the incorporation of the X1 layer, contributing to the enhancement of the fill factor from 78.65% to 80.53%, although at the expense if a slightly lower VOC. The best-performing cell X1 + D2 with treatments gives achieved the highest efficiency of 23.23% (22.33 % on average) and the highest VOC of 717mV compared with an average of 22.24% and 711 mV of the ‘non-optimized’ standard cells fabricated. It is also observed that after treatments, the FF increases while VOC slightly reduces. However, the observed lack of a clear efficiency improvement when using the optimized bilayer as compared to the ‘non-optimized’ standard bilayer could be attributed to the instability of the PECVD tool, which primarily affects the lifetime of precursors, coupled with the relatively large error bars present in the results.","FBC solar cell; SHJ solar cells; microstructure factor; FTIR; Hydrogenated intrinsic amorphous silicon","en","master thesis","","","","","","","","2024-08-28","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:075280f3-2980-4162-ba16-fad36655d54e","http://resolver.tudelft.nl/uuid:075280f3-2980-4162-ba16-fad36655d54e","A school-based intervention to improve children’s eating behaviors in México","Alvarez Villagomez, Guadalupe (TU Delft Industrial Design Engineering)","Schifferstein, Hendrik N.J. (mentor); Dehli, S.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Mexico is one of the countries with the highest number of overweight children
and adolescents in the world and, unfortunately, schools are an important cause
of this problem. Despite the efforts of the Mexican Government in creating new
regulations to reduce the availability of high-calorie food products within and
near schools, their implementation has not been successful.
To contribute to the alarming problem of childhood obesity in Mexico, this
project explored the interactions children have with food at the primary
school context. The main motivation was to propose a new perspective on the
approaches that can be explored when thinking of potential solutions to this
important health emergency. To do so, research was conducted in a city located
in the central area of the country, and it was guided by the research question
“What are the determinants of children’s food choices at primary schools in
Querétaro, Mexico?”
A combination of different research methods was used to give answer to
the previous question: an explorative approach through generative research
techniques such as Context-mapping and Co-design, and a theoretical approach
through the Behaviour Change Wheel model. Through this method it was
possible to uncover problems that provide a new perspective on children’s eating
behaviours, such as their partial knowledge of healthy and unhealthy products
and the lack of support in building positive associations with food.
Based on these findings, the goal of this project was to generate a design that
motivates children from the Naciones Unidas and José Morales Lira primary
schools to develop a balanced diet by creating positive associations with healthy
food during their school experience.
As a result, a class activity was designed serving the functions of providing
a comprehensive education around food options, incentivising children to
perform healthier eating practices, providing them with examples of how to
do it, and enabling them to construct their own balanced meals. Additionally, a
restructuring of the communication language was proposed, in hope of shaping
more non restrictive relationships between children and food.
Finally, the activity was tested with a small group of children, and assessed by
primary schools professors to obtain insights into the steps that can be taken to
extend the impact of this intervention, with the goal of promoting and sustaining
healthy behaviours among children.","Healthy Eating Behaviour; Behaviour Change; Context mapping; Behaviour Change Wheel; Children","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:7c8d4a49-54e9-4fd0-8372-f0e17d7c8b93","http://resolver.tudelft.nl/uuid:7c8d4a49-54e9-4fd0-8372-f0e17d7c8b93","Exploiting modularity during program synthesis","Freeman, Alexander (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dumančić, S. (mentor); Yorke-Smith, N. (mentor); Proksch, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Inductive logic programming is a technique that generates logic programs which keep to a given specification using a background knowledge. We propose a new task in the field of pro- gram synthesis called Time-gated Partition-selection Inductive Logic Programming, consisting of splitting the background knowledge into partitions and selecting only the relevant partitions to a given set of examples. In order to show an initial direction of research and demonstrate the effectiveness of the approach, we have constructed a set of partitioning functions and a selection function. These were implemented using existing graph clustering and community detection algorithms applied to static call graphs of existing programs in the target language and using a linear time evaluation selection function. By comparing the inductive logic programming approach Popper to a version of Popper with its search space reduced using this technique, we show that these partitioning- and selection functions can improve the generated programs on three out of four different domains. Finally we show that there is a difference in partition quality by comparing the results to a random partitioning function. This work establishes background knowledge partitioning- and selection as a useful tool in program synthesis research.","program synthesis; graph clustering; inductive logic programming; community detection","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:110817bb-e926-4e77-8675-63d2bdeafb3a","http://resolver.tudelft.nl/uuid:110817bb-e926-4e77-8675-63d2bdeafb3a","Tailored family adaptation to living in a zero-energy house: Occupant’s crises and conflicts with a heat pump-based system","Jin, Yichen (TU Delft Industrial Design Engineering; TU Delft Human-Centered Design)","Boess, S.U. (mentor); Kernan Freire, S. (mentor); van Beek, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Background
This project belongs to the IEBB project, which advocates for the renovation of ‘zero energy houses’ (ZEH) that synergize insulation and heat pump systems for energy conservation. The ZEH’s energy-saving efficiency depends on user behaviour. From a systems perspective, improper human interactions hinder energy saving, while occupants may find system responses that conflict with their preferences. This study delves into these conflicts and crises, highlighting the variance in post-occupancy adaptation influenced by individual perceptions and familial interplay. The project’s zenith aim is to tailor adaptation, ensuring co-performance between the heat pump
system and households.
Key Insight
In researching residents’ responses to the ‘routine crisis’ introduced by a new system, I discovered that these ‘crises’ could be productive, stimulating household engagement and fostering harmonious interactions with ZEH systems. This insight gave rise to the concept of the ‘enacted interface’ - a distinctive bridge between residents and their automated homes that supports the adaptation process. Through analysis, I identified elements that amplify residents’ engagement with ‘crises’ and observed the influence of family diversity on individual perceptions and responses to them. The results are manifested in two interrelated frameworks: one describing the ubiquity of ‘crises’ and another characterising the classification and impact of different elements.
Design
This design aims to make households curious about ‘crises’ and guide them towards tailored adaptations to new tech. The ‘Clock’ thermostat provides a consistent interface for temperature adjustments, while the ‘Feeling Message Board’ suggests lifestyle tips based on the user’s emotional input and changing scenarios. Both reinforce the system’s ability to communicate contextual and real-time status, motivating users to actively engage with its functions. Additionally, the design stimulates family discussions about the indoor environment and promotes collaborative responses to ‘crises’. Through co-performance of the system’s dynamic feedback and the household’s proactive exploration, the aim is to facilitate tailored adaptation.","living energy neutrally; Family housing; Family behaviour; Human Computer Interaction; Experience-based learning; energy transition; Smart Home","en","master thesis","","","","","","","","","","","","Integrated Product Design","IEBB project",""
"uuid:4b54f388-e360-45d7-8a53-b87d5ab1d833","http://resolver.tudelft.nl/uuid:4b54f388-e360-45d7-8a53-b87d5ab1d833","Breaking the mold of participation: A case study on the influence of Participatory Value Evaluation on strategic formation processes within higher education institutions","Andeweg, Annelotte (TU Delft Technology, Policy and Management)","Mouter, N. (mentor); van der Voort, H.G. (graduation committee); Rodhouse, T.S.G.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the increasing need for entangling the complexity around worldwide crises and transitions, the transdisciplinary collaboration between governmental organisations, multidisciplinary academic institutions and companies is becoming increasingly crucial. Involving citizens within the policy-making processes regarding those crises and transitions already led to various positive outcomes, for example increased awareness, understanding of policies, new innovations, and acceptance of decision-making. This participatory involvement is now effectively executed through the implementation of Participatory Value Evaluations (PVEs). Since HEIs need to fulfill a Third Mission, involving employees within their policy making might lead to several benefits as well. This master thesis explores the added value of applying a PVE within the higher education institution (HEI) context, analysing both the perspectives of employees and the policymakers, and therefore provide valuable insights for future implementations of PVEs within professional bureaucracies. The results show a pivotal role for using the PVE approach within HEIs, compared to the citizen-to-government context. However, further research is needed to establish design principles and preconditions around the PVE approach within a HEI.","Participatory Value Evaluation; higher education institutions; strategic formation processes; professional bureaucracy","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:55f3a343-b46e-4eb2-9f16-a523ed6bf36b","http://resolver.tudelft.nl/uuid:55f3a343-b46e-4eb2-9f16-a523ed6bf36b","Exploring distributive justice in water resource allocation: A rival framings approach on the operationalization of equality in multi-objective optimization models for water systems","Rimon, Farley (TU Delft Technology, Policy and Management)","Zatarain Salazar, J. (mentor); Duran, J.M. (graduation committee); Kwakkel, J.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Water, an essential resource for diverse purposes like environmental protection, urban water supply, energy generation, and agriculture, faces intensifying demand amid depleting supplies. Multi-Objective Optimization (MOO)-models are vital for addressing complex water system challenges with limited resources. However, varying approaches to distributive justice in these models introduce normative bias, leading to uncertainty in the derived implications from the model. This thesis is the first approach to understanding how the operationalization of distributive justice shapes the implications drawn from the 'optimal' outcomes of MOO-models. This thesis studied: 'How do different operationalization formulations for inequality in existing multi-objective optimization models shift the Pareto front?'. A rival framings approach acknowledges diversity in perspectives, for which it is suitable to contrast the operationalization formulation. The rival framing focused on the inequality metric and the aggregation method over time for this metric, both used for the formulation of inequality in the objective formulation. The case study revolves around the Conowingo Reservoir in the Lower Susquehanna River Basin. Utilizing an Evolutionary Multi-Object Direct Policy Search (EMODPS)-model, the research optimizes water allocation by incorporating an equality objective alongside baseline efficiency goals. Results underscore that the trade-off between equality and efficiency is highly dependent on the chosen operationalization for equality. Moreover, for higher levels of equality, the Pareto front will shift drastically in terms of strength and direction of trade-offs. By unravelling the complexities of justice's integration into MOO-models, advances are made in the comprehension of how distributive justice can inform decision-making. Through the elaboration of justice formulations, a future is reachable where justice is not only considered but reached.
In an interconnected decarbonized system where energy carriers are interdependent, new sector-coupling dynamics emerge. Energy-only markets, in theory, yield socially optimal levels of installed capacity across both sectors assuming rational participants and perfect markets. However, risk-averse behaviour among market participants might lead to underinvestment in generation capacity, undermining the efficacy of hydrogen backup.
The main objective of this research is to assess the adequacy of market designs in attracting socially optimal levels of investment in electrolyzers and storage capacity within a decarbonized and integrated system with risk-averse agents.
The stylized stochastic equilibrium model formulated is solved by using the Alternating Direction Method of Multipliers algorithm. Participants formulate their strategy based only on market prices, which are influenced by 18 scenarios that differ in terms of electricity demand, hydrogen demand and variable renewable energy sources availability. The demand for electricity and hydrogen is considered price-elastic.
An energy-only market, an energy market supported by a capacity market for electricity generators, and an energy market supported by capacity markets for both electricity and hydrogen generators are tested under different degrees of risk aversion.
The analysis confirms how risk aversion, by increasing the risk premium required, entails a reduction in installed capacity. Underinvestment is combined with a general reduction in the served energy and an increase in energy prices and frequency of periods of high prices. These trends are more considerable in the hydrogen market, where agents are exposed to risk from the uncertainties in both hydrogen demand and electricity prices.
The energy-only market is more sensitive to the impact of risk aversion due to the absence of risk trading opportunities. In this case, the increase in energy prices is the only solution to recover the risk premium.
Introducing a capacity market for dispatchable electricity generators mitigates the impact of risk aversion in the electricity sector. However, this exacerbates performance issues in the hydrogen market during scarcity periods by amplifying hydrogen price fluctuations. On the other hand, incorporating a capacity market for hydrogen counteracts the effects of risk aversion on the hydrogen sector. This dual approach not only benefits the hydrogen sector but further improves the electricity sector’s adequacy and reduces consumer costs.
Capacity markets are an effective instrument to hedge risk, but their application in an integrated system has to be consistent and include both electricity and hydrogen generation capacity. Furthermore, the strong dependence of hydrogen generation on electricity prices advocates for a direct instrument to hedge risk for renewable generators such as contracts for difference, as an adequate vRES capacity improves the whole system’s performance by reducing hydrogen prices and increasing its availability.
The investigation combined thorough analysis, engaging users and refining designs through iterations. The key findings emphasized the crucial factors of product hardware design and strategic communication in influencing users' abilities and willingness to return the smart pillboxes. Innovations in designing smart pillboxes, such as state switches, clear printed instructions, and digital reminders, have been introduced. Preliminary validations suggest that they are effective, but there is a need for further research to explore their combined impacts. Interestingly, this research highlights the importance of ""Returners"" as crucial stakeholders in the product return ecosystem. This underscores the need for tailored comprehension and design to meet their unique requirements.
Although the recommended guidelines have the potential to benefit a wider range of smart health devices, it is essential to validate them with more extensive participant groups. It is worth noting that the current research mostly focused on user ability, however, future studies are encouraged to explore the motivation dimension, which participants identify as a critical factor. This would help create a holistic approach to encourage voluntary product returns.","Circulair economy; DICE; Behavior change; Product returns; EoL communication; Guidelines","en","master thesis","","","","","","","","","","","","Integrated Product Design","DiCE project",""
"uuid:4e9bc656-6534-4e94-bf25-ba148e2d8c18","http://resolver.tudelft.nl/uuid:4e9bc656-6534-4e94-bf25-ba148e2d8c18","Interaction-aware Planning for Automated Vehicles in the Forced Lane Merging Scenario","Han, Shaohang (TU Delft Mechanical, Maritime and Materials Engineering)","Wisse, M. (mentor); Zhang, L. (mentor); Alonso Mora, J. (graduation committee); Grammatico, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Automated vehicles represent an exciting advancement in transportation, offering a range of benefits that have the potential to revolutionize how we travel. They can improve safety, efficiency, accessibility, and sustainability, holding promise for transforming our cities and communities. However, generating safe, comfortable, and efficient motion plans, especially in interactive scenarios like forced lane merging, remains a significant challenge in the field.
Lane merging is a pivotal skill for automated vehicles, as it frequently involves changing lanes to reach a destination. For instance, when approaching an intersection, a vehicle might need to merge into a specific lane beforehand to execute a turn later. Traditional pipelines in automated driving typically decouple prediction and planning. They assume perfect upstream prediction and generate robust motion plans to avoid collisions with multi-modal predictions. However, in dense traffic conditions, conservative planning might hinder the ego vehicle from merging effectively, resulting in it becoming stuck. This underscores the necessity of combining prediction and planning, a concept we term interaction-aware planning algorithms.
The first major contribution of this thesis is an efficient game-theoretic behavior planner that captures interactions under different behavior modes. In this approach, we represent the behaviors of the vehicles as actions in a matrix game and select the Nash equilibrium to capture their mutual influence. To generate the cost of the action pairs, we model the merging process as a gap selection process and evaluate the trajectories generated by interactive models. The effectiveness of the proposed planner is validated in the high-fidelity CARLA simulator.
In the real world, human drivers may not always adhere rationally to the equilibrium of a game model. They could choose a behavior mode different from the game theory solution. Therefore, it might be more beneficial to consider different motion modes simultaneously, rather than favoring the ""most likely"" one while neglecting the others.
For this purpose, we also explore the usage of Branch Model Predictive Control (B-MPC) in this thesis. By predicting the motion of the surrounding vehicle as a scenario tree, the B-MPC approach can generate a trajectory tree as a motion plan. By executing only the root node, the ego vehicle can consider different future scenarios simultaneously and plan contingency motions. We further extend the B-MPC approach by incorporating interactive policies, using different solving schemes, and including collision avoidance constraints that consider the orientations of the vehicles. The effectiveness of these proposed methods was validated through experiments conducted in a handcrafted lightweight simulator.
Overall, this thesis focuses on developing interaction-aware planning methods to facilitate safe, successful, and comfortable lane merging scenarios. Nevertheless, the major limitations lie in the modeling error and the potential long-tail issues. To address these challenges and further improve motion planning, future work could explore data-driven (learning-based) approaches that leverage real-world driver behavior to generate more informed and adaptable motion plans.","Interaction-aware motion planning; Game theory; contingency planning","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:3bdba271-7ab2-4b3f-872b-ebeda2338cdb","http://resolver.tudelft.nl/uuid:3bdba271-7ab2-4b3f-872b-ebeda2338cdb","Understanding long-term labour market dynamics under deep uncertainty: A system dynamics approach for a labour market system","van Opstal, Lucas (TU Delft Technology, Policy and Management)","Auping, Willem L. (mentor); Schröder, E. (mentor); van Beers, Cees (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands is subject to labour scarcity across a variety of industries. While labour scarcity has occurred in the past, only now the consequences of higher educational attainment have become prevalent in the Netherlands. Due to a shortage of vocational workers and a population that is getting older, circumstances ask for adequate policy-making. Although policies like increasing the formal retirement age are put in place to negate labour scarcity, it remains difficult to understand the long-term outcomes of policy implications made in the present.
Understanding this long-term behaviour of the dutch labour system is deemed useful to develop strategies that result in a well-functioning labour market. Since the labour market is intertwined with other systems (e.g. population, educational system and the economy) and is subject to uncertain future developments it results in increased complexity of understanding long-term dynamics. This research aims to develop a better understanding of the long-term behaviour of these systems in deeply uncertain futures.
This thesis presents a blockchain-based artifact design for the European Union that addresses the re- quirements for reliable hydrogen certification, unifying European certification standards in one system while automating intensive reporting and certification processes. Design Science Research (DSR) helps to approach the research structurally. First, the complex hydrogen certification system is outlined, comprising the stakeholders, the institutional frame, and the technical certification processes. Second, the stakeholders contribute to the requirements engineering through semi-structured interviews. Third, a blockchain-IoT architecture framework is developed to translate the requirements of the hydrogen market into system design components. Fourth, the technical artifact is demonstrated in the complex hydrogen certification context. Last, expert interviews are conducted to evaluate the proposed design.
Concluding, blockchain-IoT can serve the requirements for interoperable, automated, and reliable green hydrogen certification while complying with EU regulations on sustainable hydrogen. How- ever, the technical design aspects required to fulfill requirements are premature and costly. Blockchain can serve as a solution, but the technological readiness of specific design aspects such as Zero- Knowledge-Proof (ZKP), Oracles, and Non-fungible tokens (NFT) induce tradeoffs between costs and the effectiveness of the design. Blockchain introduces a paradigm shift from central to decentral sys- tems, affecting technical architecture, governance, and institutions. Governance of the technological artifact is essential to guarantee a successful implementation in the market. Therefore, a decentral system maintenance council must align the physical hydrogen market with the digital blockchain infras- tructure and enforce mutual functionality. The alignment with institutions is considered to address com- pliance with regulatory green hydrogen standards and interoperability with multiple Voluntary Schemes. The current hydrogen market is characterized by institutional fragility affecting the confidence of green hydrogen producers. The artifact can ensure trust in the information, but institutions determine the rules of the certification game, whether virtual or physical. Moreover, the evaluation found that considering only the European market is insufficient. International trade scenarios would increase the impact of the artifact in complex internationally entangled hydrogen value chains. For example, hydrogen produc- ers outside the EU that comply with internationally accredited Voluntary Schemes could sell hydrogen in Europe. Hence, given the information trust issue in the hydrogen market, the artifact provides the first alternative to conventional centralized certification mechanisms benefiting researchers and practi-
ii
tioners in the blockchain application environment.
The thesis contributes socially, culturally, environmentally, and economically to society. The artifact can guide European policymakers to new decentralized methods of addressing the trustful information-sharing issue in the hydrogen certification market (social impact). Conventionally, certi- fication functions from top to bottom enforcing reporting to national authorities. Blockchain can rein- vent public-private cooperation by decentralizing control and tasks (cultural impact). Deploying the artifact can help to facilitate the EU’s plan to increase green hydrogen domestic production and im- port by 10 million tonnes by 2030 (EU Commission, 2023a). The blockchain artifact can guarantee environmental-benign hydrogen supply by ensuring trusted information on the emissions of hydrogen production (environmental impact). Lastly, the artifact can automate reporting processes for hydrogen producers and certification processes for public bodies and thus contribute to the economic capital of the EU. Public bodies and hydrogen buyers have enhanced trust in the information accompanying the hydrogen supply in the European market, and hydrogen producers have reduced market entrance bar- riers induced through administrative tasks (economic impact).
Methodologically, the thesis contributes to the green hydrogen certification economy: To the knowl- edge of this thesis’s author, the potential of blockchain technology as a tool to facilitate hydrogen certi- fication has not been analyzed yet. The thesis provides tangible design concepts for blockchain-based hydrogen certification systems. Scientific research and blockchain practitioners can develop upon this initial study. Secondly, partly outdated blockchain architecture modeling in combination with IoT infras- tructures is addressed. A framework is developed based on existing scientific research to serve the peculiarities of the hydrogen certification market, which can serve as an ontology for future blockchain designs in energy systems. Third, the socio-technical embedment of the technical blockchain design gives insights into adopting such complex, paradigm shift-inducing information systems in society. Last, the evaluation methods of DSR are addressed in the underlying research project. Interesting insights from practitioners with energy and blockchain backgrounds are discussed. These can serve as recom- mendations for future amendments or extensions of the design. Hence, the artifact can contribute to the theory of DSR and practical blockchain implementation research.
The research is limited to the hydrogen market of the EU and distribution via gas pipelines, neglect- ing navel and road transport. The study covers the first design cycle of the DSR approach. Adding successive cycles with the gradual inclusion of more industry experts, various use cases, and new in- stitutional changes can enhance the artifact’s viability for the hydrogen market. Furthermore, different evaluation parameters could be added, such as the tradeoff between technical optimization and the costs of such interventions. Other use cases could entail considerations of the artifact’s interoperability with hydrogen trade platforms, feasibility for different hydrogen trade scenarios (international trade, but also closed systems), and incorporation of additional requirements addressing hydrogen safety, hydro- gen facility construction, and financial incentives. These complexities can test the artifact’s applicability in the socio-technical context.","Blockchain; Systems Engineering; Hydrogen; Emission Monitoring","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:a4f658f7-f849-4134-a409-1308bce38475","http://resolver.tudelft.nl/uuid:a4f658f7-f849-4134-a409-1308bce38475","Entrainment Coefficient of a Turbulent Plume: An Experimental Investigation with PIV and LIF","Liu, Dongliang (TU Delft Mechanical, Maritime and Materials Engineering)","Hooman, K. (mentor); Elsinga, G.E. (mentor); Delft University of Technology (degree granting institution)","2023","Buoyancy-driven plumes are natural phenomena that occur in widespread applications, such as geological flows or pollutant dispersion from a chimney. One characteristic of plumes is the entrainment process, where the plume stream drags in ambient fluid and mixes with the ambient fluid, and classic plume theory has used an entrainment coefficient to describe the entrainment process. Literature research reveals that there is a general lack of experiment data on the entrainment process of an axisymmetric plume, and there is little consensus on the entrainment coefficient. The aims of the thesis are to address the problem of insufficient experimental evidence and to investigate the entrainment coefficient using two theories (classic entrainment theory and new energy-consistent theory).
The thesis’s method is experimental. Buoyancy-driven plumes with different initial conditions were created in a water tank, and the velocity field and buoyancy field were measured using particle image velocimetry (PIV) and laser induced fluorescence (LIF). In addition, a new combination of urea and sodium sulfate was proposed to perform the refractive index matching (RIM), which is a crucial step for accurate velocity and buoyancy measurements.
The thesis’s results highlighted that the entrainment coefficient is approximately 0.11, despite large variations when using the classic theory to determine the entrainment coefficient, which may help explain different values in previous literature. In addition, the existence of a refractive index field was observed to affect both the velocity and buoyancy measurements. Specifically, the refractive index field caused PIV and LIF to overestimate the velocity field and underestimate the buoyancy field, respectively.","buoyant plume; entrainment coefficient; PIV; LIF","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:4a9d544f-594c-417c-a6d8-b6b8272f5f8c","http://resolver.tudelft.nl/uuid:4a9d544f-594c-417c-a6d8-b6b8272f5f8c","space application for contactless actuator","CHUNG, CHIA-YI (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Precision and Microsystems Engineering)","Cervone, A. (mentor); van Ostayen, R.A.J. (mentor); Delft University of Technology (degree granting institution)","2023","The utilization of CubeSats as a cost-effective solution for satellite missions allows universities to engage in outer space research. Notably, the issue of increased tumbling rates during deployment is highlighted, attributed mainly to propulsion and guiding functions performed by existing contact actuators.
The proposed solution in this thesis employs viscous traction actuators, which manipulate a thin air film to generate both propulsion and guiding forces. The preliminary design of propulsion and guiding functions incorporates these actuators on the inner sides of the deployer case. The design requirements are established as a criterion to evaluate the redesigned propulsion and guiding functions, including minimal exit velocity, maximal tumbling rate, and maximum acceleration. Moreover, the inner envelope accommodates a 3U CubeSat, and estimation for air reservoir volume and pressure ensure adequate space for launch deployment.
Performance simulation is proposed to evaluate whether the design satisfies the design requirements. It consists of the simulation of the viscous traction actuator and the full model simulation of the deployer. For the simulation of the viscous traction actuator, the finite element method is used to calculate load capacity and viscous traction applied by the viscous traction actuator as input to the full model simulation of the deployer. The full model simulation of the deployer assesses CubeSat motion and propulsion force. The successful fulfillment of design requirements and safe deployment are confirmed.
While the preliminary design meets design requirements, a design method is introduced to cater to varied exit velocity and tumbling rate preferences. This approach adjust design parameters based on a propulsion force vs. time plot. Two distinct designs are presented based on this approach, catering to differing preferences.
The selected +EPC design, characterized by low tumbling rates, progresses to a detailed mechanical design encompassing deployer case, actuator, displacement sensor, and air-supplied system. The implementation of the viscous traction actuator follows established research, ensuring structural safety. Other aspects like displacement measurement, air reservoir selection are also discussed in this detailed design.
The research aimed to investigate the current practices of using vehicles’ primary data to improve GHG emissions’ accuracy by creating a system architecture for the data flow from the vehicle to the final visualisation of the GHG emissions. For that, the design science research methodology (DSRM) approach was chosen to answer the main research question of:
”What onboard sensor systems architecture can enable road logistics operators to gather primary data from their fleet to accurately determine their vehicle emissions?”
Four sub-questions were formulated in line with the used DSRM design cycle to answer the main research question and to contribute to the existing body of knowledge via a socio-technical analysis, system requirements, system architecture, and an evaluation.
The research utilised interviews, scientific literature, and informal conversations with industry players as the main knowledge source. The expert interviews were first used during the design process to understand the environment, derive system requirements for the later design, and to create a stakeholder overview. In the second phase of the research, the experts were utilised to evaluate the created design. Interview partners were selected based on their role in the system and potential expertise to help steer the design and evaluation. The feedback received was directly implemented in the designs.
The first step of the analysis was the socio-technical analysis. It revealed the first requirements and design principles for the later design phase, based on the institutional setting and stakeholder demands derived from interviews and the available literature. It also showcased the active and influencing role of the EU in the system, which underlined the need for a socio-technical analysis. Lastly, the stakeholder overview visualised the transport sector’s highly fragmented and multi-stakeholder domain, which industry experts evaluated and approved.
The complete system requirements were established and finalised in the second phase of the research. They were separated into three clusters: institutional, stakeholder, and technical-related requirements and were further categorised into functional and non-functional system requirements. Design principles were also created to steer the design process. Six functional and ten non-function system requirements were derived and four design principles. The requirements were evaluated and approved by the expert interviews and were used as the main input for the design phase. The main conclusion was the stakeholder-specific characteristic of some of the requirements due to the different needs of logistical actors and related IT companies that calculated GHG emissions.
The third phase was the designing of the system architecture. It was separated into two parts. First, the creation of a list of possible design options to address the derived system requirements with another evaluation round with the expert. Second, the creation of the system architecture by creating system architecture components, which incorporate the most fundamental design options from the design phase. The experts again evaluated these system architecture components before they were incorporated into stakeholder-specific system architecture, which captured the overarching processes and data flows of an IT company that specialised in the quantification of GHG emissions of vehicles in road logistics. The main conclusion was that, due to the diversity of system stakeholders, a general system architecture that adresses all stakeholder needs is less feasible than the creation of stakeholder-specific system architectures.
The fourth phase was the evaluation, which happened throughout all stages of this research, and concluded the general correctness of the derived stakeholder-specific system architecture by the experts. It also pointed out potential limitations of the designs. The specific knowledge needed to validate such designs of the technical domain (data management structures), the policy and institutional knowledge, and the specific details of state-of-the-art GHG quantification methodologies makes evaluating the entire system more challenging. Thus, a broad sample of interview partners was needed. Moreover, selecting a design option, especially in the perception and physical layer of the system architecture, can create path dependencies and narrow down the design space. The evaluation phase was concluded by addressing the general success factors of the proposed design. Here the willingness of the logistical operators to adopt the GHG emissions reporting, the importance of methodology alignments and the need for truly value-adding services were especially highlighted as success factors of the system architecture.
The research concluded by recognising the great potential of primary data to improve the accuracy of emission factors in road logistics. Seven main conclusions and contributions to the field of logistics were made:
1. The inclusion of a multi-domain designer perspective when designing an abstract system architecture in the logistical sector - should be mandatory in the scoping of any project.
2. The identification of relevant stakeholder clusters and an abstract stakeholder analysis to be considered when designing in the socio-technical environment.
3. The categorization of systems requirements into institutional, stakeholder and technical requirements to represent the multi-domain character of the system.
4. The need for financial quantification tools of the CO2 reduction for logistical operators to validate their investment decisions.
5. Compliance with leading European GHG emissions quantification methodologies and data regulations - should also be implemented, e.g. in the EU taxonomy.
6. A stakeholder cluster-specific system architecture, which incorporated the derived requirements and outlined business relationships and data flows in the system, evaluated and approved by industry experts.
7. The issue of the stakeholder-specific requirements for the system leading to multiple co-existing system architecture specifications.
Finally, the research concluded with a short and long-term outlook of how the sector might develop and presented potential future research topics, such as the possibility of using other forms of data sharing, such as data spaces or blockchain applications, for secure and trusted data sharing.","Primary Data; Road Logistics; Decarbonisation; Design Science Research; Socio-Technical Analysis; System Architecture","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:f379c390-dd08-418d-bb38-4b2e93c28c9f","http://resolver.tudelft.nl/uuid:f379c390-dd08-418d-bb38-4b2e93c28c9f","Joint Classification and Estimation of UAVs using Multi-Task Learning","Pappas, Apostolos (TU Delft Electrical Engineering, Mathematics and Computer Science)","Fioranelli, F. (mentor); de Wit, Jacco (mentor); Dauwels, J.H.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","br","Radar; Deep Learning; Micro-Doppler","en","master thesis","","","","","","","","2025-08-28","","","","Electrical Engineering | Wireless Communication and Sensing","",""
"uuid:ee06f0fb-aeb1-4fff-8905-1da3df4e5133","http://resolver.tudelft.nl/uuid:ee06f0fb-aeb1-4fff-8905-1da3df4e5133","Improving the data quality checking process during the design phase: Development of a design-integrated data checking and reporting tool","Alonso Candau, Jaime (TU Delft Civil Engineering & Geosciences)","Wamelink, J.W.F. (mentor); van Nederveen, G.A. (mentor); Wang, T. (graduation committee); Arts, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","One of the crucial aspects of BIM is the data rich environment connecting project information from different sub-sectors. (Mesároš et al., 2020). Therefore, developing models with consistent and trustworthy building data has gained significant importance in the industry. In contrast, incorrect or incomplete building data in a model could result in chained mistakes across disciplines, rework, or inadequate models for other stages of the building lifecycle.
Most of the improvements in BIM data in organisations take place in data quality reviews by BIM specialists. The lack of integration and complexity of existing data checking tools raised the expertise leading to assessment tools used mostly by BIM specialists. After a specialist reviews a project, corrections are communicated to the designers to solve the data issues in their models. This process is repeated until the desired quality is reached by the design team. Furthermore, missing, or wrong basic data structure can often lead to incomplete or inaccurate data checking processes.
The higher goal of this research is to produce perceivable benefits in the organisational data checking process. This is approached by facilitating the implementation of BIM standards and increasing the compliance of objects during design periods before entering the organisational review. Previous research showed that professionals would prefer to use simple dedicated quality checkers that can minimise manual tasks precisely and reliably instead of advanced software solutions. Thus, the goal is not to replace current workflows and practices, but instead to enhance basic data structures in models before entering the data reviews, by developing and implementing a new design-integrated checking and reporting tool.
The new checking process was verified and validated with specialists and modelers in three ongoing projects. This research showed that the developed design-integrated tool can produce the perceivable benefits in the organisational data checking process explained below:
•Enhancements in data quality before and after regular organisational checking reviews.
•Decrease in the duration and iterations in the organisational reviews.
•Increase of effectiveness and efficiency in detection and correction of data quality issues.
•Decrease of personnel frustration in the organisational process.
Thus, the research fulfilled the main objective to produce perceivable benefits in the organisational data checking process by developing and implementing a dedicated solution that engages designers in the process. The role-specific approach was essential to achieve a solution that meets the specific needs and system requirements of the target group, the designers. The purpose was to add a new prechecking layer to support and enhance existing data quality practices and processes. The result was a steering instrument for modelers working on the detailed design phase to involve them in identifying and correcting data quality issues.
Although the perceived benefits may vary in different contexts and organisations, the new data checking and reporting solution would raise awareness and promote designers’ engagement in the organisational data checking process, who are in a dominant position to identify and correct data quality issues.","BIM; Business Process Improvement; Data Quality; BIM Standards","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:89770fac-fdc2-402e-bccb-a9a57546871f","http://resolver.tudelft.nl/uuid:89770fac-fdc2-402e-bccb-a9a57546871f","Offshore wind turbine blade installation: Development of a motion-compensated Stewart platform for blade installation with a floating vessel","Macabú Araújo Peres, Jadyr (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Team Mulders; Norwegian University of Science and Technology (NTNU); Heerema Marine Contractors)","Mulders, S.P. (mentor); Nguyen, Dong Trong (graduation committee); de Jong, Jurgen (graduation committee); Kana, A.A. (graduation committee); Gao, Zhen (graduation committee); Skjetne, Roger (graduation committee); Delft University of Technology (degree granting institution); Norwegian University of Science and Technology (NTNU) (degree granting institution)","2023","With the increasing water depths of the new offshore wind farms, the challenging soil conditions, the availability of assets, and other factors, jack-up installation vessels may no longer be suitable to complete the installation scope of work for the new wind farms. Therefore, installation methods and techniques using floating vessels must be further developed to allow safe and efficient installation of wind turbines.
Due to the response of floating installation vessels, excessive motions can be transmitted to the lifted object, making the installation operation very weather sensitive. To increase workability, Heerema Marine Contractors (HMC) has developed the RNA method for wind turbine installation using a semi-submersible crane vessel. This method uses a temporary support structure on the vessel deck (installation tower) where the RNA is fully assembled with the assistance of the GREP (Guided Root End Positioning) tool to constrain the blade root to the top of the installation tower and consequently the hub. Finally, the RNA is installed in a single lift on the WTG permanent support structure. However, the GREP tool is compatible only with a specific range of wind turbine blade dimensions; therefore, for a different-size wind turbine blade a new GREP tool must be designed, fabricated, and mobilised.
This project proposes an improvement to the HMC's RNA method to eliminate the necessity of the GREP tool. That is, a motion-compensated Stewart platform attached to the crane boom, where the blade is fixed to be installed in the hub on top of the installation tower. The project is developed by investigating the initial assumptions; those are crane boom stiffness, blade deflection, installation tower motions and their influence on the vessel's response, and aerodynamic loads acting on the blade during installation. The kinematics (inverse and forward) and dynamics of a Stewart platform are formulated, as well as the mechanical concept of the proposed system and the blade installation process using a Stewart platform attached to the crane boom.
Furthermore, to eliminate the requirement of the GREP tool, a control system is developed to compensate for the blade root motions relative to the hub. The motion control system uses sensors to measure the hub's motions and generate the Stewart platform actuators' set points. Different possible sensor set-ups are evaluated, and a filter is designed to reduce the influence of the sensors' noise. The control system is developed on the basis of feedback PI (Proportional and Integral) and adaptive feedforward control to the actuators (hydraulic cylinders).
It is concluded that it is technically feasible to use a motion- compensated Stewart platform for blade installation in the RNA method. However, the economic aspects of the proposed solution must be investigated.","Offshore wind; Installation; Motion compensation; Control; Motion tracking; Stewart platform; Wind turbine installation vessel","en","master thesis","","","","","","","","2025-08-28","","","","European Wind Energy Masters (EWEM) | Offshore and Dredging Engineering","","52.162842565773715, 4.476150989789608"
"uuid:65721ab2-6934-4c05-ad38-40bc2c74c709","http://resolver.tudelft.nl/uuid:65721ab2-6934-4c05-ad38-40bc2c74c709","Isolated Bidirectional DC-DC Converter and System Level Control for EV Charger","Zhang, Jiantong (TU Delft Electrical Engineering, Mathematics and Computer Science)","Qin, Z. (mentor); Mackay, Laurens (graduation committee); Delft University of Technology (degree granting institution)","2023","An isolated bidirectional DC-DC converter is designed for a dual-output EV charger. Various DC-DC converter topologies are compared, including single-output converters and dual-output converters. Then one suitable topology is selected between the multiport CLLC converter and triple active bridge converter based on the simulation performances for the dual-output EV charger. Meanwhile, an investigation into the voltage regulation for a wide output voltage range is conducted with the research of interleaved buck converters. Finally, the system-level control of the EV charger is designed for different operation modes and the converter is modelled in PLEVS and simulated under different scenarios.","DC-DC converters; Cascade PI Control; Multiport CLLC Converter","en","master thesis","","","","","","","","","","","","Electrical Engineering | Electrical Power Engineering","",""
"uuid:0aed6be5-c2d3-4baa-9e3d-2e9f87f4b0b0","http://resolver.tudelft.nl/uuid:0aed6be5-c2d3-4baa-9e3d-2e9f87f4b0b0","Engineering Design of a Modular Hydrogen Production System","Grevet, Camiel (TU Delft Mechanical, Maritime and Materials Engineering)","Vlugt, T.J.H. (mentor); Moultos, O. (mentor); Rahbari, Ahmadreza (graduation committee); Delft University of Technology (degree granting institution)","2023","The utilization of water electrolysis for hydrogen production is expected to increase significantly in the upcoming decades. Modular system design offers great advantages for emerging markets, whilst providing the necessary flexibility in capacity that intermittent renewable energy sources require. Literature research reveals little information regarding modular water electrolysis systems. However, their availability on the consumer market proves that there is demand for such systems. To facilitate the emerging hydrogen market, a modular engineering design approach is used to develop a containerized alkaline water electrolysis system. This containerized system achieves a high degree of modularity by utilizing multiple modular sections. Each section functions as a stand-alone hydrogen production station, containing all necessary components required for safe hydrogen production. These components include heat exchangers, gas-liquid separators, modular alkaline electrolyzers, and a novel component called flow panels. In conjunction with the Dutch company XINTC, the design of the modular sections and their components is presented. Significant capital expenditure reduction is achieved by operating at atmospheric pressure, allowing for cost-efficient material selection and their corresponding manufacturing methods; both of which are discussed. Thermal, hydrodynamic, and particle dynamic models from literature are presented and evaluated. The proposed hydrogen separator is expected to achieve a separation efficiency of over 99.8%. A thermal system analysis concludes that the system is capable of operating at maximum capacity for ambient temperatures of up to 35 C. Additionally, a hydrodynamic system analysis shows that the pressure drop inside the system is dominated by that of the heat exchanger, and is in conformity with the Pressure Equipment Directive.","Hydrogen; Renewable; Modular; Engineering; Alkaline; Electrolysis; gas-liquid separators; Heat Exchanger","en","master thesis","","","","","","","","2025-08-28","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:0933df0a-eb9c-4d64-9f09-5da9b7566ad8","http://resolver.tudelft.nl/uuid:0933df0a-eb9c-4d64-9f09-5da9b7566ad8","Understanding the Relationship between Lock Complex Effectiveness and System Performance: A Study of the Volkerak Complex","Aslan, Yaren (TU Delft Technology, Policy and Management)","Verbraeck, A. (mentor); Fazi, S. (mentor); Bijlsma, Rienk (mentor); Delft University of Technology (degree granting institution)","2023","Inland Waterway Transport (IWT) is an untapped resource that can be mobilised to achieve a more sustainable transport system without compromising competitiveness. It outperforms rail and road alternatives in terms of low emissions, costs, high capacity, energy efficiency, freight safety, and security. Waterway locks are ageing assets in IWT systems and are infamous for creating bottlenecks. The effectiveness and performance of these locks can be measured and integrated into decision making to establish well-informed operational, maintenance, and renewal policies. This study addresses the following research question:How can the effectiveness of waterway locks be assessed to support lock maintenance and operation? Simulation modelling, which offers an efficient and low-risk evaluation of policy options while incorporating the intrinsic variability of the system, is selected as the core methodology. The simulation model incorporates operational aspects of the system, malfunctions, corrective maintenance activities, and the calculation of various performance indicators. An extensive list of performance indicators is complied through literature research. These indicators include infrastructure occupancy, vessel waiting times, costs, and emissions. In addition to existing indicators, three formulations for Overall Equipment Effectiveness (OEE) are proposed in lock complexes. The applicability of the selected methodology is demonstrated by employing a case study of the Volkerak complex, one of the largest and busiest lock complexes in Europe. Quantitative and qualitative data, collected through operational logs, maintenance reports, and interviews with experts, support that as the lock complex gets older, malfunctions become more frequent. SIVAK, a software package utilised by the Ministry of Infrastructure and Water Management of the Netherlands (""Rijkswaterstaat"", RWS), is used as the basis of the simulation model. Extensions are made to calculate additional performance indicators and to simulate fluttering doors and slowdowns, two types of malfunctions that are diagnosed to be frequent and impactful based on maintenance reports and interviews. Experiments are designed to explore the performance of different maintenance policies, such as mean time to repair (MTTR) and inspection frequency, and different operational policies such as locking regimes under various fleet mix and lock condition scenarios. Stress tests and univariate analyses are also conducted. The study findings highlight the following:
- With rising demand, the significance of lock condition in maintaining acceptable service levels and minimising CO2 emissions becomes more evident. The findings indicate a trade-off between preventive and corrective maintenance efforts. In challenging lock conditions, faster repairs and more frequent inspections are needed to prevent capacity problems, leading to longer waiting times. Notable differences in handling capacity are observed in the three lock conditions studied.
- The concept of baseline OEE proves to be valuable as a maintenance-oriented metric. It emphasises that proficient maintenance strategies can counteract deficiencies in the lock system, resulting in improved capacity, reduced transit times, and reduced CO2 emissions. A general rule of thumb suggests that improving baseline OEE by one point corresponds to about a 1.2%-1.5% improvement in waiting times and emissions.
- Changing MTTR and inspection policies influences baseline OEE scores, but these adjustments must be aligned with the lock condition. Frequent inspections might yield unnecessary availability losses when the lock is well maintained. Similarly, the extent of benefits of shorter MTTRs depends on the frequency of breakdowns.
- A prominent dilemma in lock systems involves balancing transit times and the number of levellings. Locking regimes capture this trade-off, where reducing waiting time thresholds increases levellings and operational costs. However, some strategies can achieve an improvement in both aspects. These include expanding traffic range and considering the current state of the system when assigning lock chambers to incoming vessels.
- Service-based OEE, integrating operational and maintenance policies, aligns better with waiting times and CO2 emissions compared to the service level alone. This composite index can serve the purpose of monitoring waterway network lock systems, helping to identify losses due to unavailability and reduced speed.","","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","","51.689749464783354, 4.408037611044782"
"uuid:9fac47f4-c240-44a1-89c9-f783b331a29a","http://resolver.tudelft.nl/uuid:9fac47f4-c240-44a1-89c9-f783b331a29a","Adaptation of ETSI DCC for multi-lane platoon scenario","Nguyen, DUC HUY (TU Delft Electrical Engineering, Mathematics and Computer Science)","Venkatesha Prasad, Ranga Rao (mentor); Panichella, A. (mentor); Delft University of Technology (degree granting institution)","2023","Platooning involves organizing a group of vehicles with common attributes into a formation. With the help of Road Side Units, they establish Intelligent Transportation System (ITS). To facilitate communication in this system, one of the prominent communication technologies is Dedicated Short Range Communication (DSRC). In Europe, the European Telecommunication Standards Institute (ETSI) standardizes DSRC as ETSI ITS-G5 in which Decentralized Congestion Control (DCC) is used to prevent and control congestion within a wireless channel. In this work, we determine a list of issues, namely traffic inefficiency and impaired communication, with the conventional formation of a platoon, especially an elongated one. Then, a new paradigm of multi-lane platoons is proposed with a list of modifications based on ETSI DCC to establish a prioritization scheme. These modifications are tailored to the characteristics and regulations of a multi-lane platoon. We also point out the issues of the current adaptive DCC parameters, which motivates us to develop a cross-layer mechanism called dynamic β. This proposal aims to improve the performance of the congestion control mechanism. Our findings demonstrate the possibility of preventing channel congestion by reducing the channel load by 22% at the highest message intensity without compromising the performance of each vehicle. For dynamic β, we manifest a higher channel utilization when employing our proposal, compared to what is achieved with the default ETSI parameters. Message delivery ratio, node capacity, periodicity, and message age are also greatly improved. In short, our work provides safer for more efficient traffic.","multi-lane; platoon; ETSI DCC; dynamic","en","master thesis","","","","","","","","2024-08-28","","","","Electrical Engineering | Embedded Systems","",""
"uuid:105bcf3a-8c6d-4dfe-9165-5ba42d415788","http://resolver.tudelft.nl/uuid:105bcf3a-8c6d-4dfe-9165-5ba42d415788","Unsteady aerodynamics and vortex shedding of a wind turbine blade","Iyer, Sowmya (TU Delft Aerospace Engineering)","Ferreira, Carlos (mentor); Bertagnolio, Franck (graduation committee); Grinderslev, Christian (graduation committee); Yu, W. (graduation committee); Xu, G. (graduation committee); van Oudheusden, B.W. (graduation committee); Delft University of Technology (degree granting institution)","2023","The thesis presents an analysis of unsteady vortex shedding and vortex induced vibrations (VIV) on wind turbine blades using a combination of Stereoscopic Particle Image Velocimetry (SPIV) and Computational Fluid Dynamics (CFD). A spanwise uniform blade with a symmetric NACA0021 airfoil section, 0.075 m chord, and 0.4 m span was tested experimentally for a static, plunging, and surging blade oscillating at 90 deg angle of attack, 5 Hz, and 2.5 Hz frequency, 1 chord oscillation amplitude. Equivalent CFD simulation cases were run to compare the results and validate the CFD setup, which was used to analyse additional cases of interest. The extent of the three-dimensional flow behaviour due to the tip vortex was described, along with determining the lock-in region for the two motions using additional simulations. The results indicated that the spanwise convection of the tip vortex and the transition to a ‘2D’ flow were only dependent on the blade aspect ratio and independent of the freestream wind speed, the type of motion, and the oscillation frequency. The lock-in region for the plunging and surging blades was presented, noting that all the surging cases were locked in, most likely due to the large oscillation amplitude. However, the surging cases had a positive aerodynamic damping and hence, VIV would not be excited for a freely vibrating blade. It is suggested as future work to test a flexible blade and study the onset and development of VIV.","Vortex induced vibrations; Vortex Induced Motion; Flow visualization; CFD; wind tunnel experiment; Wind Turbine Blade; lock-in range; Strouhal number","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:fb3c4dcd-7862-4064-94c8-8fba936e67e9","http://resolver.tudelft.nl/uuid:fb3c4dcd-7862-4064-94c8-8fba936e67e9","Incentives for Manufacturers in Certifying Medical Equipment for Low- and Middle-Income Countries: Unveiling the Potential of Appropriate Medical Equipment Label","RAJAGOPAL, AKSHAY (TU Delft Technology, Policy and Management)","Hinrichs-Krapels, S. (mentor); van Beers, Cees (graduation committee); Worm, Anna (graduation committee); Delft University of Technology (degree granting institution)","2023","Medical equipment supplied to low- and middle-income countries (LMICs) are often substandard and inappropriate to the contextual needs. The majority of equipment entering these countries are donated or financed by external agencies. Procurement of equipment also happens through public tendering. However, the assessment and management of the equipment entering these countries are found to be inappropriate and ineffective. This has resulted in equipment that remains unused, malfunctions, or fails to meet its expected lifespan. This ultimately causes harmful consequences to the patient groups in LMIC. It is high time that this situation needs to be addressed and implement measures to provide quality, safe, affordable, accessible, and appropriate health technologies to people in these low-resource settings. One approach to create transparency around the notion of appropriate health technologies for LMICs is by creating a set of measurable criteria, tested in the form of a label. A global team of BioMedical engineers undertook an initiative to implement such a label, namely, ‘Appropriate Medical Equipment’ or ‘AME Label’. This research aims to examine the feasibility and constraints associated with implementing such an initiative from the perspective of medical equipment manufacturers.
The study investigated four main topics to answer the research question: ‘How can a new product label support medical equipment manufacturers to sustainably enter the healthcare market of low- and middle-income countries?’. These are the value of product labels in the market, regulatory challenges faced by manufacturers in the MedTech industry, challenges for manufacturers in entering LMICs, and perception of manufacturers on the concept of AME label. A combination of desk research and qualitative interview was used to deduce the conclusion. Initially, a case study was conducted involving a medical equipment manufacturer based in the Netherlands, whose operations primarily targeted LMICs. The data was collected through one-to-one online semi-structured interviews with managers within this organization. The preliminary findings formed were later validated through survey and interviews conducted with a globally diverse sample size including industry and academic experts.
The results of the study indicated that the adoption of AME label has the potential to benefit manufacturers with enhanced brand value, increased visibility, improved credibility, and greater product transparency in their target markets. These factors can in turn build confidence and trust among LMIC stakeholders on AME-labeled products, opening doors for sustained business opportunities for manufacturers in these countries. By optimizing the product design towards the contextual requirements and including competitive product features, manufacturers could use the AME label as a differentiating factor in their sales. Subsequently, manufacturers could attain higher commercial value for their products and improved operating efficiency in the healthcare market of LMICs. At the same time, it is found that the introduction of the AME label could increase the complexity of the regulatory system. Manufacturers of all sizes face challenges while undergoing any regulatory process, adhering to regulatory norms, or undertaking any product label. This is unavoidable for manufacturers while supplying medical equipment across boundaries. Therefore, the inclination of manufacturers to adopt AME label depends on how effectively it streamlines the supply process, cuts administrative costs, reduces documentation work, and expedites the distribution of equipment to target countries.
Considering the novelty of the label, there are some concerns that could hinder the full-fledged adoption of the AME label by manufacturers. These concerns primarily revolve around the reliability and trustworthiness of the label. To overcome these concerns, the AME team should take into account the following aspects when implementing the label. They should carefully plan and execute steps to ensure that the label is recognized and accepted by authorized bodies like the UN, WHO, etc. It is equally important that measures need to be taken in the direction where the label is validated by all relevant stakeholders in LMICs. It is also essential to establish a clear positioning of the AME label within the regulatory system by highlighting the unique testing methods and distinctive tangible advantages it offers to the manufacturers compared to existing labels. By addressing these concerns, the widespread adoption of the AME label by medical equipment manufacturers could be achieved, leading to the availability of appropriate equipment in LMIC hospitals, and ultimately benefiting the patient groups within.","Health technology assessment; Medical equipment; LMICs; Labeling; Regulations; Adoption; Manufacturer; Incentives; Contextual Design; Challenges","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:47764357-38b0-4993-ade4-02e92e9a1523","http://resolver.tudelft.nl/uuid:47764357-38b0-4993-ade4-02e92e9a1523","Peak Shaving the Electrical Power Demand of Ship-to-shore Cranes: Developing operational policies to maintain productivity under increasingly restrictive peak power limitations","van Meijeren, Michelle (TU Delft Mechanical, Maritime and Materials Engineering)","Schulte, F. (mentor); van Leeuwen, P.W.A. (mentor); Polinder, H. (graduation committee); Khosravi, M. (graduation committee); Tang, X. (graduation committee); Delft University of Technology (degree granting institution)","2023","Electrification of numerous end-users is a worldwide trend to address climate change, according to the International Energy Agency. This trend has also reached container terminal operators. Currently most of the ship-to-shore cranes employed are electrified, leading to an increase in the required electrical power demand and to an increase in the volatility of the electrical power demand of container terminals. As a result, the contractual power demand charged by the grid operator, based on the maximum required power demand (peak power) at any moment in time, is upscaled, leading to additional costs for the container terminal operator. However, the highest required power demand values occur infrequently, leading to significant expenses for a resource that is rarely utilised. By implementing a peak shaving strategy, the peak power can be reduced, leading to a decrease in the contractual power demand related costs. Nevertheless, it is crucial to minimise the impact of the specific peak shaving strategy on the productivity of a container terminal to actually derive economic benefits from its implementation.
The aim of this study is to develop operational policies that effectively maintain productivity for a cluster of six ship-to-shore cranes under increasingly restrictive peak power limitations. A discrete event simulation approach was employed for evaluating the operational and economic impact. In total four policies were developed, two according to the `who fits is served' approach (policy 0 and policy 1) and two according to the `priority based' approach (policy 2 and policy 3). In the first approach the initiation of a movement only depends on the power availability, while for the second approach the initiation of a movement depends on the power availability and the urgency of the movement in terms of productivity. Moreover, for both approaches one policy allows only one kinematic profile (policy 0 and policy 2) and one policy allows varying kinematic profiles (policy 1 and policy 3). A metaheuristic was employed to find near-optimal adapted kinematic profiles.
The findings of this study suggest that the established `priority based' approach is more effective than the `who fits is served' approach in maintaining productivity under increasingly restrictive peak power limitations. When combined with the allowance of adapted kinematic profiles (policy 3), this strategy achieves the most cost savings. Policy 3, has been shown to reduce the contractual power demand related costs by 53\% compared to the baseline scenario, which is the greatest recorded reduction of all created policies without adversely affecting the ship-to-shore cranes' productivity.
The inverter stage, a crucial stage of the modular SST, converts DC power from microgrids to AC power suitable for the 10kV AC grid. The most popular inverter topologies for modular converters are researched and investigated based on their compatibility with the specific application. Factors such as efficiency, power quality, harmonic distortion, number of components, control complexity, and scalability are considered for this process. Along with the topology, modulation techniques are important in producing high-quality output waveforms and efficient power transfer. Different modulation strategies, including pulse width modulation (PWM) techniques and space vector modulation schemes specifically for multilevel converter applications, are reviewed and discussed in terms of how they affect the system's performance.
In addition to the inverter stage, the thesis focuses on designing a modular controller architecture for the SST. The controller design prioritizes maintaining flawless data flow and synchronization between all controllers for converter and AC grid coordination. The central controller and distributed control architectures are studied and evaluated for scalability, modularity, and flexibility. The distributed architecture enhances the system's overall flexibility but is subject to synchronization issues and limitations in communication bandwidth. These issues are addressed in the developed design.
To evaluate the performance of the proposed inverter stage and controller architecture, extensive simulations and experimental validations are carried out. The simulations consider various operating conditions, such as islanded and grid-connected modes, to assess the system's stability, control, harmonic content, and power quality in the output waveforms. The simulation results indicate that the chosen inverter design and modulation strategies successfully attain high efficiency and minimal harmonic distortion in the operation of the converter. The modular, distributed controller design demonstrates its ability to provide seamless operation and effective coordination between DC microgrids and the AC grid.
Overall, this master's thesis contributes to the advancement of solid-state transformer technology by delving into the design of the inverter stage and controller architecture for interconnecting DC microgrids and a 10kV AC grid and providing useful insights. The findings and recommendations can be a valuable framework for future research and development of modular SSTs for grid-connected applications.","Solid State Transformer; Inverter; controller architecture; synchronization; modular design; modulation","en","master thesis","","","","","","","","2025-08-31","","","","Electrical Engineering","",""
"uuid:1383d0bb-96d2-4fa3-86b9-e8e5d59ecaac","http://resolver.tudelft.nl/uuid:1383d0bb-96d2-4fa3-86b9-e8e5d59ecaac","Mobilisation of Private Investors in the Dutch Renewable Energy sector","Ólafsdóttir, Júlía (TU Delft Technology, Policy and Management)","Storm, S.T.H. (mentor); Pesch, U. (mentor); Delft University of Technology (degree granting institution)","2023","The critical importance of investing in renewable energy to mitigate climate change cannot be overstated. Renewable energy projects are capital-intensive and risky. With this unbalanced risk and return profile, private-sector financial actors are often not in a position to fund these projects. Furthermore, the current magnitude of renewable energy financing is still low, particularly in comparison to the climate change mitigation goals set by policymakers. Because renewable energy investments fall short of what is needed, we can speak of an investment gap in renewable energy generation and technologies
This study centres on the (private and public) renewable energy investment gap in the Netherlands. The Netherlands was chosen since the literature lacks a comprehensive overview of the nation’s renewable energy financing. Furthermore, the Netherlands has not yet met its renewable energy targets which signifies the need for increased investments in the renewable energy sector. Government funding for renewable energy projects encounters certain constraints, primarily due to the Stability and Growth Pact, which dictates that EU members must maintain fiscal discipline, meaning that the government has to operate within a specific budgetary limit. Therefore, the private sector has a pivotal role to play in bridging the renewable energy investment gap. This shows the necessity for collaboration between the public and private sectors to mitigate climate change. Governments can use policy instruments to motivate or mobilise additional green investments by private financial actors.
Against this background, this master thesis investigates the following research question: How can the mobilisation of Dutch private investors in the renewable energy sector close the renewable energy financing gap?
To address this question, four deliverables are presented. Firstly, Chapter 2 offers a comprehensive literature review within the designated field. Secondly, Chapter 4 empirically estimates the investment gap in the renewable energy supply in the Netherlands. Thirdly, statistical analysis is used to investigate the relationship between private financing and public policies and financing. Comprehensive data collection was conducted for both public and private financing; specifically, a time-series database (2004-2022) has been constructed for green financing by major Dutch banks and pension funds, drawing on annual reports and sustainability reports published by these actors.
This third deliverable includes two sub-deliverables. Firstly, Chapter 5 presents a descriptive analysis of the Dutch renewable energy financial landscape, based on the database that has been created during this research. This analysis includes research on Dutch commercial banks with a sustainability index, an analysis of the green bond market, an analysis of renewable energy consumption in the Netherlands and public policies. Secondly, Chapter 6 presents a regression analysis performed to understand the relationship between private sustainable financing on the one hand, and public policy support and direct public investments on the other hand. This was conducted with the following statistical methods: an OSL regression, univariate regression, and factor analysis. The fourth deliverable presents a validation of the findings conducted in the literature review and the regression analysis. This validation was conducted through semi-structured interviews with experts in the renewable energy sector, including private financial actors, government policy experts and an expert in renewable energy generation. This deliverable is presented in Chapter 7.
The findings of this thesis report are as follows. The analysis of the investment gap in renewable energy supply in the Netherlands shows that a substantial step-up of 132% in investments towards renewable energy supply is required for the Netherlands to reach its committed decarbonisation targets consistent with the IPCC scenario of 1,5°C global warming. Moreover, when evaluating the investment requirements against the total economic investments, investments directed toward RE need to account for 10.4% to 12.5% of all total investments in the Dutch economy by 2025 to bridge the RE investment gap. This analysis shows a sharp increase in investment requirements from now until 2030; in contrast, the period from 2030 to 2050 may not require a drastic increase. This is based on the significant initial upfront capital required to cover the cost associated with the technology development as well as economic scaling up. However, before that can occur, an initial larger investment is required. After 2030, a slowdown of the investment requirements is based on the belief that the infrastructure will be established by then and the technologies will be mature and more efficient, lowering the cost. This analysed investment gap created the base for the research, showing the need to understand the financing landscape and the relationships between the financial actors and public policies.
Once the investment gap had been estimated, the focus of the research turned to understanding its underlying causes and potential solutions for this underinvestment. A comprehensive primary data collection began when data on private financing for specific financial actors in the Netherlands proved to be missing. The results derived from the created database are various. Firstly, a sustainability index for the Dutch banks was created. This sustainability index serves as a measure of each bank’s commitment to funding aimed to mitigate climate change, relative to their respective market size. Based on this sustainability index, the analysis reveals substantial differences between those banks. Triodos, with the least market share, scores the highest in sustainability while ING which allocates the largest figure
towards sustainability is ranked second last in regards to sustainability in the banking sector relative to its size. This highlights the importance of the bank’s commitment to sustainability, irrespective of its size. Secondly, in regard to the government, expenditures for mitigating climate change are mostly in the form of subsidies towards renewable energy projects. On average subsidies were 88,62% of the total government expenditures towards mitigating climate change. The largest subsidy fund is the Stimulering Duurzame Energieproductie en Klimaattransitie (SDE++). Lastly, the research identified Dutch pension funds as the leading entity in the Netherlands’ green bond market. As of March 2023, these pension funds accounted for 53% of the country’s total green bond holdings. Moreover, they have shown an impressive increase of 286% in their green bond holdings from 2019 to 2023
The statistical findings show a positive effect of direct public financing on private financing in the Netherlands. More specifically, with a 10% increase in public financing, private financing increases by 6%. Monetary policies such as increased environmental taxation and low interest-rate lending positively affect private financing, or for a 10% increase in direct public policy support, private financing increases by 7%. Findings show that with increased renewable energy consumption, private financing increases. FITs and total energy consumption (including both renewable energy and non-renewable energy sources) show an inverse relationship with private financing, with a 10% increase in total energy consumption and price setting by FITs, the private financing decreases by 9,9%. The crucial findings emphasize the urgent need to mobilise private actors, who possess significantly more financial resources compared to the government, in mitigating the climate crisis in the Netherlands. Their active participation is essential for effectively addressing this pressing environmental challenge.
It is crucial to emphasize that, consistent with the literature review and validated by the interviews, the government does risk mitigation and helps to accelerate the renewable energy transition by subsidising heavily on the R&D phase. The Dutch government takes on the role of a market-shaping, rather than merely a market-fixing, as evidenced by their control over which technologies receive substantial subsidies. Furthermore, consistent with the literature review and validated by the interviews, the primary challenge for the Netherlands in implementing renewable technologies pertains to the commercialization phase. This phase, characterized by high-risk projects with inconsistent cash flow, simultaneously demands substantial capital investment. Financial stakeholders identify this as the Achilles heel in climate
change mitigation efforts.
Considering this vulnerability, a possible policy implementation might involve the government to alleviate the risks associated with the commercialization phase, attracting investors who are less inclined to risk, like pension funds, who possess significant capital. This could be achieved by assuring these investors that if they begin to invest in more high-risk projects, they would receive a portion of their investment back in the event of project failure, acting as a guarantee.
The leisure state that is provided by L4AD will challenge the current design process. The design will not only be focussed on the interior, but also take in account the implementation of the autonomous system.
The autonomous system will require more involvement from the UX department earlier on in the process to integrate the HMI system.
Autonomous driving technologies are developing at a high speed. Providing a possibility to improve road safety. “However, this transition also brings in new risks, such as mode confusion, overreliance, reduced situational awareness, and misuse” (Grondelle, 2021). This development in L4AD will change the relationship between the driver and the interior design. Whereas the driver’s role will change from being a driver to becoming a supervisor.
Developing a new design process
The backbone of this design process will be the basic design cycle. Which will be analysed and adjusted. This results in a design process that is less linear as well as optimising the integration of UX design into the interior design process. Whilst going through the basic design cycle certain adjustments and suggestions are concluded in this report.
The approach for this interior design process is more user-centred through the implementation of Vision in Product Design, Rich experiences and the Matrix 3 & Matrix 4 method. Combined with analysing the company's current design departments and user-tests to validate the use of these methods within the automotive industry.
feed sensors with enough energy to be able to collect the data of interest. For the power generation a piezoelectric element is used and placed inside the neck of the implant. The communication from within the implant is achieved with a micro control unit with Bluetooth low energy. As sensors, two thermistors are used and the piezoelectric element can also be used as a force sensor. Multiple experiments were performed to investigate the power generation and power consumption of the circuit. The piezoelectric element was able to harvest 877µW on average during one gait cycle. From the data of the power management integrated circuit it was seen that there was 778mJ available every day. With this energy the circuit is able to measure data from two thermistors every minute and send it three times per day. There is also an option to use the piezoelectric element as a force sensor. The Nominal Root Mean Square Error(NRMSE) when using the element as a force sensor is 0.0314 in the best case. The end result is an instrumented hip implant which completely fits inside a customized hip implant and is able to communicate with a phone via Bluetooth Low Energy.
In addition, hardening concrete will eventually be subjected to external loads, resulting in additional stresses that may require additional reinforcement. However, when it is difficult to accurately predict the development of design stresses, approximations must be made. These approximations may either take full account of the stresses from both hardening and external loading, or reduce the residual stresses by some factor. Such factors may be based on design codes or rough estimates. Consequently, these approximations can lead to either over- or underestimation of the reinforcement required in the design, mainly due to a lack of understanding of the actual design stresses. Therefore, the research question investigated in this thesis is as follows:
What is the effect of applying time-dependent finite element analysis, including the combination of hardening processes and external loads, on improving the prediction of the development of design stresses for partially restrained concrete?
The research starts with a comprehensive literature review covering the stress development during hardening of restrained concrete, the current calculation methods and models and a validation experiment. The validation experiment, a Temperature-Stress Testing Machine (TSTM) found in literature, is modelled and the analysis results are compared with the experimental results to validate the accuracy of modelling the hardening of partially restrained concrete. A method is developed to accurately predict the hardening of restrained concrete using a combination of transient heat transfer analysis and structural non-linear analysis. This non-linear analysis uses a combination of time and load steps to apply the required degree of restraint. The finite element analysis, using measured thermal and material properties from the literature, shows good agreement with the TSTM experimental results.
In order to verify the accuracy of commonly used material models, the Eurocode and fib Model Code material models within DIANA FEA are compared with the results of the TSTM experiment. However, it was found that these material models have inaccuracies due to the use of large step sizes for the Kelvin chains that define the creep and Young’s modulus developments. Therefore, a viscoelastic material model based on the fib Model Code was developed and found to be more accurate. This material model was validated against the TSTM experiment and hand calculations. Although differences were found in the development of design stresses between the experimental results and the prescribed standards, these were mainly due to the difference in autogenous shrinkage and coefficient of thermal expansion.
Using the knowledge gained from the modelling of the TSTM experiment and the validated viscoelastic material model, a case study of the railway underpass in Leiden is performed. The chosen modelling approach is a time-dependent non-linear finite element analysis that includes all construction phases, hardening processes, and external loads within a 3D model of solid 3D elements. The model excludes concrete cracking, reinforcement and prestressing of the deck to limit complexity. This calculation method is expected to provide a more detailed insight into the development of design stresses and ensures high calculation accuracy and completeness compared to other methods. The use of a full 3D model was necessary to accurately model the temperature development in the hardening concrete, which has a significant effect on the design stresses…
The research work focuses on characterizing the interaction of a radial inlet turbine with a downstream diffuser through the size of the rotor tip gaps. In the implementation of power turbines it is common to add a diffuser downstream of it to lower the rotor exhaust pressure and thus increase power extraction for the same inlet conditions. However, diffusersare bulky and take a lot of space in the assembly. This lowers the power density of the machine, increases installation weight in transport applications, and installation costs in ground based operations. In the quest to obtain compact diffusers, researchers noticed that the non-dimensional static pressure recovery (Cp) of this device was higher when operating downstream of a turbine than with uniform inlet conditions. The publications in this field are relatively scarce, and thus there is a knowledge gap with immediate practical application.
Some researchers have focused on the interaction of turbine vortical structures with the boundary layer of the diffuser. They have found out that under this conditions the boundary layer can support steeper pressure gradients without detaching. This is only applicable in very steep diffusers that would stall in isolation. Notably, all the publications in this field deal with axial machines, and as the text will show the picture changes considerably when applying the theory to radial turbines.
This work studies another side of the problem. The text will focus on stable diffusers, and thus there is no boundary layer that needs reinforcement. Turbine rotor tip gaps generate an increase of entropy and reduce turbine power generation. However, these gaps also cast powerful vortexes that affect the diffuser flowfield. This project will study the effect of the tip gap configuration on the pressure recovery of the diffuser, in order to better understand the trade-offs and support the design process. Tip gap sizes are
usually determined from mechanical constraints. This work provides insight into the real cost of a tip gap by analyzing the assembly turbine + diffuser, and thus it guides the designer into where to focus his efforts when working with these mechanical constraints.
The general conclusion of the project is that there is a range of tip gap sizes where the performance of the diffuser in enhanced. In this range, the performance cost in the rotor for increasing the tip gaps is partially compensated by a better pressure recovery in the diffuser. Furthermore, it has been discovered that in a radial turbine it is more influential to close the leading edge gap (axial gap), even when this implies opening the trailing edge (radial) one. This information will guide engineers when choosing the bearings for the machine and dealing with design trade-offs.
As a byproduct of this research, a novel study in radial turbine flow structures is carried out. Not a lot is known about the vortical structures in these machines, and this work provides a first qualitative description about origin and behaviour of this vortexes. Furthermore, different flow modes are identified and correlated to a simple geometrical parameter that is readily available in 0D designs. The combination of this information with previous tip gap flow models, and diffuser-vortex interaction models, will allow the
obtention of improved losses models including the effect of the diffuser from the conceptual design stage. This data could also feed into noise models for radial turbines, a novel field of interest. This work not only provides useful design guidelines, but also sets down the basis for future research leading to a better
understanding and modeling of radial inflow turbines.","radial turbine; Radial turbine; Turbomachinery; turbine; Turbine; diffuser; CFD; Postprocessing; Vortex","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Flight Performance and Propulsion","",""
"uuid:fd590fb5-ce2c-41ee-9268-2ab5a82bcb51","http://resolver.tudelft.nl/uuid:fd590fb5-ce2c-41ee-9268-2ab5a82bcb51","Artificial Intelligence to improve the availability of Mission Critical communication","Mulder, Stan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smeitink, E. (mentor); Bron, E. (mentor); Groote, J. (mentor); Kuipers, F.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In modern society, critical operations, such as emergency response and public safety, rely on communication systems, in this context also referred to as mission critical systems. These systems must meet strict availability requirements, since any failure can lead to severe consequences, including loss of life. Traditionally, dedicated private communication systems, like local Push-to-Talk systems, were used for such critical operations, but there is a growing shift towards utilizing public 4G and 5G mobile networks to achieve better coverage, higher data speeds and more innovative features at a lower cost.
With the increasing complexity and vast amount of data from the network, automation and artificial intelligence (AI) are now becoming essential tools in these communication systems to efficiently manage data, configure networks in real-time, and effectively handle alarms. The use of AI can improve the end-to-end availability of mission critical systems, ensuring communication during critical situations.
The main goal of this research is to investigate whether and how the use of AI can improve the end-to-end availability of mission critical systems, with a specific focus on the Mission Critical Push-to-Talk (MCPTT) system of KPN, which is using the public 4G and 5G network. Currently, the KPN MCPTT system is being used with a relatively limited number of users. However, the vision for MCPTT extends beyond its current implementation, aiming to scale up this service. With an increasing number of users, using automation and AI is essential for optimizing and managing the complexities of this mission critical communication system.
The implementation of AI in the MCPTT system follows a systematic approach, starting with the independent analysis and monitoring of system specific elements. By focusing on these elements and using data such as Call Detail Records (CDR) and log data, insights into the system's behavior can be obtained. Through collaboration with system experts, AI algorithms can be trained to effectively detect anomalies, thereby enhancing the overall availability of the MCPTT system. Looking ahead, the integration of real-time data becomes crucial for proactive monitoring. Establishing a streamlined data pipeline facilitates the flow of real-time information, offering a comprehensive overview of system performance and enabling swift anomaly detection. It is concluded that the monitoring of individual system elements with the use of AI is a first step towards improving the end-to-end availability.
In order to ensure the correct use of AI throughout the complete cycle, it is crucial to look at explainability, safety, and data quality. These points should be included at each stage of the AI process. By ensuring explainability, system experts can gain insights into the decision-making process of the AI algorithms. By using safety mechanisms, potential risks and vulnerabilities can be mitigated. Maintaining data quality is essential to achieve accurate outcomes.","Artifical Intelligence; Mission Critical; Mission Critical Push-to-Talk; Availability; Mobile Networks","en","master thesis","","","","","","","","","","","","Electrical Engineering | Wireless Communication and Sensing","",""
"uuid:033e9964-c69d-4be5-bce1-98bc33645a4c","http://resolver.tudelft.nl/uuid:033e9964-c69d-4be5-bce1-98bc33645a4c","Clouds in HARMONIE: The role of shallow convection parametrization on meso-scale cloud organization","Liberia, Gheylla (TU Delft Civil Engineering & Geosciences)","Nuijens, Louise (mentor); Siebesma, A.P. (graduation committee); de Rooij, Wim (graduation committee); Delft University of Technology (degree granting institution)","2023","Clouds play a crucial role in Earth’s systems, influencing the radiation budget and the hydrological cycle. However, their dynamics are poorly represented in climate models, leading to uncertainties in predicting global temperature changes. To better understand cloud dynamics and improve model parametrizations, the large-scale field campaign EUREC4A focused on studying cloud organization and its potential impact on climate feedback in the North Atlantic trade-wind region, where shallow marine cumulus clouds are prevalent. Within the EUREC4A framework, this study focuses on cloud fields and organization in the HARMONIE weather model to assess the impact of shallow convective parametrizations on its outputs. By comparing three experiments denominated as ’HARMONIE noHGTQS’, HARMONIE noHGTQS noSHAL’ and ’HARMONIE noHGTQS noUVmix’ one can identify discrepancies and determine what the impact of the various shallow convective parametrizations are. Several cloud organization metrics have been computed for the HARMONIE experiments as well as GOES-16 satellite snapshots. The results show that none of the experiments precisely capture the observed diurnal patterns successfully. There exist disparities in cloud cover, cloud quantity, cloud sizes, and the organization index. Removing shallow convective parametrization partly improves the representation of clouds. It exhibits a stronger correlation with the observed cloud cover data from ceilometer measurements and demonstrates a higher capability to replicate the amount of
cloud entities seen in observations in comparison to the other experiments. Furthermore, disabling shallow convection leads to instability and deeper clouds. On the other hand momentum mixing alone has a small impact on clouds, yet it alters wind patterns, resulting in reduced speeds, and little production of precipitation. Overall the model struggles to reproduce detailed cloud patterns such as sugar, mainly due to its coarse resolution. However, it does exhibit a
degree of day-to-day variability in cloud patterns. To gain a deeper understanding on the role of parametrized shallow convection, further investigation into model configurations, momentum mixing dynamics, and hybrid approaches are proposed.","Cumulus; clouds; NWP models; HARMONIE; KNMI; EUREC4A; trade wind; North Atlantic; organization; metrics","en","master thesis","","","","","","","","","","","","Civil Engineering | Environmental Engineering","",""
"uuid:75152f81-cf13-4670-a858-e9a632079c9c","http://resolver.tudelft.nl/uuid:75152f81-cf13-4670-a858-e9a632079c9c","Gender and Accessibility: An Intersectional Approach","Roeleven, Iris (TU Delft Technology, Policy and Management)","Kroesen, M. (graduation committee); Verma, T. (mentor); Goncalves, J. E. (graduation committee); Delft University of Technology (degree granting institution)","2023","In today’s world, the transport system is essential in helping people reach the activities they want or need to attend. However, like in many other fields, inequality can exist in access to various opportunities based on personal characteristics. Little is known about how gender and intersectional factors impact people's perceived accessibility. This thesis aims to fill this knowledge gap using a mixed methods approach. First, desk research shows that perceived accessibility can be influenced by a large variety of factors, including, but not limited to, the primary mode used, perceived safety in transport and socio-demographic factors. Second, Structural Equation Modelling is used to investigate the strength of relationships between the various found factors and gender intersectional factors. This analysis shows that being a woman has a negative effect on perceived accessibility, which can for a part be explained by women feeling less safe in transport at night. Additionally, the analysis shows that for women having young children negatively impacts perceived accessibility, but not for men, while for men income positively relates to access to a car and in turn to perceived accessibility, an effect which is not found for women. Finally, the subsequent qualitative analysis, using workshops, shows that little attention has been paid in the past to (social) safety issues in transport and to differences in needs between different kinds of travellers. In the future, more cooperation between transport institutions and integrated intersectional policymaking in transport would be of significant value.","structural equation modelling; perceived accessibility; Gender Equity; Intersectionality","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:0dc77a6b-efcb-450d-8cb8-b39eb8c6b64e","http://resolver.tudelft.nl/uuid:0dc77a6b-efcb-450d-8cb8-b39eb8c6b64e","GPR wavefield phenomena in the presence of boreholes and permittivity gradients","Simader, Thomas (TU Delft Civil Engineering & Geosciences)","van der Kruk, Jan (mentor); Delft University of Technology (degree granting institution)","2023","Ground penetrating radar (GPR) data has proven to be a powerful tool for non-invasively estimating the electrical properties of the shallow subsurface. Petrophysical relationships allow them to be converted into hydrological parameters such as soil water content (SWC) and porosity. In the presence of thin layers, complex wave phenomena can occur that are usually ignored in standard processing. Recent developments in modeling tools and computation power allow us to include detailed modeling in advanced inversion algorithms, such as full-waveform inversion (FWI).
In this thesis, GPR wave phenomena occurring due to the presence of boreholes in crosshole tomography and the presence of permittivity gradients in surface GPR data acquisition will be investigated. First, these phenomena need to be identified and understood so that they can be effectively included in advanced inversion algorithms.
Using the finite difference time-domain solver gprMax, various models with different borehole radii and different permittivity distributions are evaluated. Additionally, a resistor loaded finite-length antenna model is built to compare its radiative properties with a point dipole source in crosshole GPR applications. The results reveal that the differences between these two antenna types are small, but not equal. The dominant factor that influences the radiated wavefields is found to be the properties of the surrounding medium. For increasing vertical offsets, elongated wavetrains are observed, which are probably caused by waveguiding effects of the water-filled boreholes. The permittivity gradient models for surface GPR reveal scenario-dependent phenomena, notably the presence of a lower halfspace ground wave and dispersive wave propagation for increasing and decreasing permittivity gradients, respectively. Overall, the results suggest that integrating parameters into the FWI workflow, which account for surface gradients, could be beneficial and lead to improved imaging capabilities.","GPR; Gradient; Permittivity; Ground wave; boreholes; Numerical modeling; gprMax; Electromagnetic wave fields","en","master thesis","","","","","","","","2023-09-11","","","","Applied Geophysics | IDEA League","",""
"uuid:5fdcd839-8989-45f4-8ece-017292c4e577","http://resolver.tudelft.nl/uuid:5fdcd839-8989-45f4-8ece-017292c4e577","Empower Cociety: A systemic design approach to unravel Cociety’s potential and design a Social Innovation Strategy","IJpma, Marije (TU Delft Industrial Design Engineering)","Mulder, I. (mentor); Hultink, H.J. (graduation committee); Beermann, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the last decade, European citizens had to overcome multiple severe disruptive events like Covid-19, extreme weather and war at the EU-boarder. With ongoing climate change and political instability, more crises are expected to arise. To deal with these crises an increased resilience of individuals, organisations and society is needed. One organisation eager to contribute to building resilience in Hamburg (Germany) is Cociety. The name is a contraction of a collaborative society.
To create resilience, multi-stakeholder knowledge and an interdisciplinary approach is needed, to be able to deal with the high interdependencies of the system within society. Cociety has the potential to collect and generate this diverse knowledge, as it is an umbrella organisation of over eight non-profit foundations, founded by Dr. Otto, that contribute to diverse aspects of social and environmental sustainability in Hamburg.
However, Cociety is in the start-up phase of an organisation. It still needs to develop a clear strategy to unlock the potential it has. This project clearly defines the potential of and strategy for Cociety, using systemic design and social innovation as theoretical background. Desk and field research, including interviews and a co-creative workshop, formed the basis for a gigamap, showing the system of Cociety and its perspectives. The rest of the project focuses on the internal perspective of Cociety, meaning the collaboration between the foundations and their employees. A Social network analysis (SNA) shows the interconnections and collaboration levels in the current network. From the insights generated in the SNA, a Strengths, Weaknesses, Opportunities and Threats (SWOT) analysis discovers the leveragepoints to design for the improvement of Cociety’s internal network.
The final design of this project is a strategy. An overall vision and a vision for the internal network of Cociety are formulated. A roadmap outlines the key actions need to achieve the vision, supported by three interventions to make the first steps, including an organigram to visualise and access the knowledge available in the network, a meeting format to share the knowledge with the network and an onboarding guide to keep the network active and alive.","Systemic Design; Social Innovation; Social Network Analysis; Participatory City Making Lab; Delft Design Labs; Non-profit organisation; Collaboration","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:5ce57804-357c-4651-b184-1b701d79825c","http://resolver.tudelft.nl/uuid:5ce57804-357c-4651-b184-1b701d79825c","Techno economic analysis of salt cavern hydrogen storage","KANDASAMY, SRIDHARAN (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy)","Ramdin, M. (mentor); Ruijven, Edwin Van (mentor); Stevens, Ruud (mentor); Hajibeygi, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","With increasing interest in developing green hydrogen infrastructure as a way to decarbonize the power, transportation and heating sector the storage of hydrogen becomes a crucial component. Although most present hydrogen storage techniques are of small-scale, the intermittent nature of renewable electricity and expected future green hydrogen production and consumption makes large-scale storage an important factor.
This study evaluates the techno-economic feasibility of storing hydrogen in an already-existing underground salt cavern, one of three large-scale gas storage systems. The work starts with an overview of the large-scale gas storage methods and the challenges associated with storing hydrogen. The current
existing salt cavern storage facility is discussed and is technically assessed for hydrogen storage. The investment and operating costs related to the hydrogen storage method were calculated using models developed, certain components of the model were modeled using Aspen simulation software, which was also used to calculate the cost associated with it. For various scenarios, the cost distribution and the Levelized Cost of Hydrogen Storage (LCHS) were estimated. Based on the outcomes, the LCHS ranged from a best case scenario of 0.34 e/kg to a worst case scenario of 1.94 e/kg. Sensitivity analysis was conducted for the various storage parameters, and based on the dominant component the Net Present Value(NPV) is assessed with an increased LCHS. To conclude the study an estimate for the electrolyser capacity required for the plant to operate continuously was determined.","Techno-economic; Underground hydrogen storage; Salt cavern storage; Hydrogen","en","master thesis","","","","","","","","2025-08-25","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:1fde4d45-bbea-4b35-8cb3-3a0bc2bab908","http://resolver.tudelft.nl/uuid:1fde4d45-bbea-4b35-8cb3-3a0bc2bab908","Enhancing the Mechanical Properties of Engineered Cementitious Composites through 3D printed Auxetic and Non-Auxetic Reinforcement: A numerical and experimental research","Lee-On, Tahira (TU Delft Civil Engineering & Geosciences)","Šavija, B. (mentor); Xu, Y. (graduation committee); Lukovic, Mladena (graduation committee); Delft University of Technology (degree granting institution)","2023","Currently concrete is one of the most used building materials in the world. Despite its ability to withstand compressive loads, concrete has a low tensile strength, making it prone to cracking when pulled apart. Therefore, reinforcement is required to withstand the tensile stresses. This is generally achieved by applying steel rebars into the tension zone of the concrete structure. In recent years, there has been a growing trend of incorporating fibers into concrete mixtures to develop cement-based materials with properties resembling steel, such as Engineered Cementitious Composites (ECC).
This thesis explores innovative approaches to improve the mechanical properties of ECC by employing 3D-printed auxetic and non-auxetic reinforcements. Auxetic materials display a distinctive characteristic where, upon vertical stretching, they exhibit lateral expansion, and upon vertical compression, they undergo lateral contraction. In other words, when subjected to tensile loading, auxetic materials expand horizontally, and when subjected to compressive loading, they contract horizontally.
The main research question revolves around the possibility of enhancing the deformation capacity of ECC through these innovative reinforcements. To address this question, numerical simulations, experimental tests, and comprehensive analyses were conducted.
The study begin with the creation of ECC samples reinforced with 3D printed polymeric meshes, exploring different angles, volumes, and sizes of reinforcements using two distinct 3D printing materials, namely Acrylonitrile Butadiene Styrene (ABS) and Thermoplastic Poly-urethane (TPU). The mechanical characteristics of the composite materials were assessed by uniaxial tensile testing, and their response to stress was thoroughly examined.
The results conclusively demonstrate that the incorporation of 3D printed auxetic and non-auxetic reinforcements significantly increases the deformation capacity of ECC. The auxetic designs have improved deformation and flexibility, which makes them perfect for applications that value ductility and strain capacity. In contrast, non-auxetic designs, in particular honeycomb structures, exhibit higher stiffness and load-bearing capacities, making them appropriate for situations that demand structural rigidity and resistance to deformation.
Moreover, the study highlights the crucial role played by the choice of 3D printing material in influencing the strength and strain capacity of the reinforcement. ABS exhibits superior load-bearing capacity due to its high stiffness, while TPU showcases exceptional strain capacity, owing to its elastic and flexible properties. The investigation of many factors, including angles, volumes, and sizes, highlights their substantial influence on the mechanical characteristics of the ECC reinforcement. These characteristics can be changed to allow for alternatives between stiffness, load-bearing capacity, and strain capacity, which can be used to optimize the design of reinforcement for a variety of applications.
In conclusion, this thesis makes a contribution to the developing topic of ""designer construction materials,"" where the properties of cementitious composites can be tailored and optimized through innovative reinforcement strategies. Future constructions that are durable, flexible, and sustainable will be made possible by the combination of 3D printing technology with ECC. This thesis encourages researchers to go further, where imagination and creativity meet concrete, creating a world where materials work with us to create a physical environment that is more resilient.","Auxetic; non-auxetic; 3D printed reinforcement; ABS; TPU; polymeric","en","master thesis","","","","","","","","2023-08-24","","","","Civil Engineering | Structural Engineering","",""
"uuid:7ef7cff6-9d04-469e-b3d3-6a0d57c4cf8b","http://resolver.tudelft.nl/uuid:7ef7cff6-9d04-469e-b3d3-6a0d57c4cf8b","Prediction of the optimal deep brain stimulation contacts based on local field potentials measured by the implanted neurostimulator in Parkinson’s disease","Muller, Marjolein (TU Delft Mechanical, Maritime and Materials Engineering)","Contarino, M.F. (mentor); Schouten, A.C. (mentor); Tannemaat, M.R. (graduation committee); Serdijn, W.A. (graduation committee); Delft University of Technology (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution); Universiteit Leiden (degree granting institution)","2023","Introduction: Over the past two decades deep brain stimulation (DBS) has emerged as an important therapeutic option for Parkinson’s disease (PD). However, the current DBS programming method, monopolar review (MPR), is time-consuming, requires highly trained personnel and causes discomfort for patients. This study aimed to predict the optimal stimulation contact(s) based on local field potential (LFP) recordings by the implanted leads and a sensing enabled DBS system, and as such, improve the efficiency of DBS programming in PD patients.
Methods: Level-based LFP recordings (OFF-medication) within the first two post-operative weeks in PD patients implanted with directional Sensight leads® and the Percept PC® neurostimulator in the Haga Teaching Hospital were retrospectively analysed. Time and frequency domain data were inspected for artefacts. From the individual theta (4-7 Hz), alpha (8-12 Hz), beta (13-35Hz) and gamma (≥36 Hz) bands the maximum power (Max.) and area under the curve above 1/frequency (AUC_flat) were extracted. The clinically chosen contact during MPR served as reference for all predictions. Machine learning models using AUC_flat features from frequency band combinations were evaluated using nested cross-validation. Two custom ranking methods, pattern based and decision tree, were developed for both beta band features individually. The predictive accuracy (Acc.) of the 1st and 2nd prediction combined was evaluated on a training and unseen test set, considering all data and subgroups based on amount of symptoms during MPR and amount of beta activity above 1/frequency. The ranking methods were additionally compared to an existing algorithm (DETEC).
Results: Recordings from 34 patients (68 subthalamic nuclei) were analysed. Artefacts did not overlap with frequencies of interest or were sporadic of nature. The machine learning model with the highest performance was a linear discriminant analysis combining raw beta and alpha features (AUC: Design: 0.86, Test: 0.69). For the 1st and 2nd predicted contacts combined, the two best performing ranking models were pattern based using AUC_flat (Acc. training set: 86.2%; test set: 100%) and decision tree using Max. (Acc. training set: 87.9%; test set: 100%). Correct pattern based (AUC_flat) predictions were more often 1st opposed to 2nd predictions than correct decision tree (Max.) predictions (Tr = 55.2%, T = 90% vs. Tr = 10.3%, T = 10%). Acc. obtained for subgroups based on amount of symptoms during MPR and amount of beta activity above 1/frequency were similar across all subgroups for the custom ranking methods. The Acc. of the DETEC algorithm was inferior to all custom ranking methods.
Conclusions: This study demonstrates the feasibility of using level-based LFP recordings to predict the optimal stimulation contact in patients with PD. The best results were obtained using the pattern based (AUC_flat) and decision tree (Max.) custom ranking methods. For clinical implementation the decision tree (Max.) ranking method is expected to be favoured. Although prospective research is required to identify the true Acc. of the models in clinical practice, these results show potential to halve the required DBS programming time (only two out of four contacts require evaluation), and can thus improve DBS programming efficiency.","Deep Brain Stimulation (DBS); Local Field Potentials (LFP); Parkinson's Disease (PD); Stimulation Contact Choice; Predictions","en","master thesis","","","","","","","","2025-08-03","","","","Technical Medicine | Sensing and Stimulation","",""
"uuid:50f477e2-d299-451c-8ed2-2322f5138724","http://resolver.tudelft.nl/uuid:50f477e2-d299-451c-8ed2-2322f5138724","Numerical Modelling of Gas Foil Journal Bearings: Analysis of High-Pressure Lubrication Flows","de Waart, Wessel (TU Delft Aerospace Engineering; TU Delft Flight Performance and Propulsion)","Pini, M. (mentor); Delft University of Technology (degree granting institution)","2023","In the pursuit of reducing the climate impact of aviation, there has been an increased interest in the adoption of renewable energy technologies. Examples of revolutionary technologies include hydrogen fuel cell systems and waste heat recovery using the organic Rankine cycle. The design of viable energy conversion systems for aviation poses unique challenges in terms of efficiency, weight and size. To this end, research on small-scale turbomachinery operating at high rotational speeds is increasingly pursued in the context of, for example, fuel cell air compressors or organic Rankine cycle turbines. Such machines typically call for oil-free operation to avoid contamination of the process fluid. Gas foil bearings can prove to be an enabling technology due to their reliability, oil-free operation and compatibility with high rotational speeds.
The use of gas foil bearings to support organic Rankine cycle turbines requires lubrication with complex working fluids at operating conditions near the saturated vapour line or thermodynamic critical point. Although there has been an increased interest in high-pressure gas lubrication in recent scientific literature, there is still a lack of understanding of the effects of non-ideal compressible flows on the performance of (gas foil) journal bearings. In order to further address this knowledge gap, this work focuses on the modelling of such bearings lubricated with dense fluids made by complex molecules like those adopted for waste heat recovery at high temperatures in aviation.
The compressible Reynolds equation governing the thin-film flow within the bearing is discretized using a finite difference method. The solution to the non-linear problem is obtained using a relaxation method in which a thermodynamic software program updates the non-ideal thermodynamic state properties after each iteration. The load-carrying capacity of the bearing is obtained by integrating the resulting steady-state pressure field around the rotor shaft. A perturbation method is applied to obtain the stiffness and damping coefficients used in a linearized rotor-dynamic analysis. The developed computational tool allows for the analysis of bearing performance under varying operating conditions.
The conclusions of this work emphasize the challenge of generating sufficient load-carrying capacity and rotor-dynamic stability associated with high-pressure gas lubrication in journal bearings. Bearings operating with compressible lubricants near the thermodynamic critical point are typically characterized by turbulent thin-film flows with non-negligible molecular interactions. Reduced peak pressures within the gas film are anticipated, resulting in a reduced load-carrying capacity as compared to ideal gas lubrication flows. It is shown that non-ideal thermodynamic effects have an impact on rotor-dynamic stability by affecting the steady-state attitude angle of the bearing.
The modelling of a gas foil journal bearing used to potentially support the turbine of the organic Rankine cycle hybrid integrated device (ORCHID) of the TU Delft has finally been considered. The results show the utility of a numerical model in assessing bearing performance and understanding the associated physics. This work can be used as a basis for future analysis and design of gas foil journal bearings lubricated with high-pressure process fluids.","Gas foil bearing; Reynolds equation; Lubrication; Turbomachinery; Journal bearing; Modelling; Non-ideal compressible fluid dynamics","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:728d6807-d478-42d5-b70d-8eccfd232da0","http://resolver.tudelft.nl/uuid:728d6807-d478-42d5-b70d-8eccfd232da0","Offline tracking with object permanence","Liu, Stan (TU Delft Mechanical, Maritime and Materials Engineering)","Caesar, H.C. (mentor); Delft University of Technology (degree granting institution)","2023","Learning-based approaches are widely applied in the perception system of autonomous vehicles. Thus, a large amount of labeled data are needed to train these data-hungry models. To reduce the expensive labor cost for manual labeling autonomous driving datasets, an alternative is to automatically annotate the datasets using a trained offline perception system. Previous works mainly focused on generating accurate object trajectories in 3D space from point cloud sequence data. However, point cloud sequences might be partially missing due to occlusion. Such occlusion scenarios in the datasets are common yet underexplored in offline autolabeling. In this paper, we propose an offline tracking model that focuses on the occlusion cases of vehicle tracks. It leverages the concept of object permanence which means objects continue to exist even if they are not observed anymore. The model contains two main parts: a re-identification (Re-ID) module that takes online tracking results as input and associates the identities of the tracklets, and an offline motion estimator that recovers the fragmented tracks under occlusion. Both modules innovatively use the vectorized high-definition map (HD map) as one of the inputs to refine the tracking results with occlusion. The model can significantly reduce the number of identity switches and false positives compared to the original online tracking results.","offline tracking; Autonomous driving; Occlusion","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:75adec7e-0ea5-4521-b17a-f315bfa1b085","http://resolver.tudelft.nl/uuid:75adec7e-0ea5-4521-b17a-f315bfa1b085","Fault Detection and Estimation of Fault Redundant Airspeed using Unscented Kalman Filter","Janakiraman, Sathya (TU Delft Aerospace Engineering)","de Visser, C.C. (mentor); Schopferer, Simon (mentor); Delft University of Technology (degree granting institution)","2023","Having a reliable flight envelope is of paramount importance for safe flight operations. Parameters that determine the safe flight envelope are airspeed , angle of attack, angle of sideslip, Euler angle and load factor. These parameters need to be fault redundant, In order to address this challenge, an unscented Kalman estimation routine is proposed involving a novel kinematic model which incorporates the effects of the turbulence. An approach in which two unscented Kalman Filter (UKF) filters operate simultaneously, one with additive faults Augmented Fault Filter (AF) and the other with non-additive faults Sensor Noise and Bias Filter (SNBF). The reasoning behind this approach is that the AF filter has the fault estimated state as the additive fault to the state estimation, which would give an accurate fault redundant sensors data estimate. To address the issue where sensor noise and biases cannot be distinguished from faults occurring in the sensor in the case of a more realistic fault, the SNBF filter is used. The proposed approach is validated with the data generated by Citation-550 Simulator 2023. This approach requires the sensor data from pitot tube, angle of attack vanes, angle of sideslip vanes, roll angle, pitch angle and yaw angle data from the Inertial Navigation System (INS) and for the kinematic equation the Inertial Measurement Unit (IMU) data (Accelerometer / Gyroscope) are used to perform the estimation. This approach can produce a fault redundant estimate of all the 6 sensor states, and in this work airspeed fault detection is the main focus. The approach is valid within the entire flight envelope, and there is no need to design a linear parameter- varying system. Through this approach, airspeed fault detection is performed and a fault redundant airspeed is estimated. The Augmented Fault UKF is found to achieve an unbiased state estimation even in the presence of unknown disturbances.","Airspeed Fault Detection; Unscented Kalman Filter; Realistic Fault Simulation; Fault Detection; Double Model Adaptive Estimation","en","master thesis","","","","","","https://www.easa.europa.eu/en/research-projects/enhanced-fault-detection-and-diagnosis-solutions-air-data-systems Unspecified The main objective of the project is to propose and develop novel methods to detect and/or be robust to multiple consistent and possibly simultaneous air data probe failures. This will improve the EASA certification standards, and support the evaluation of new designs proposed by aircraft manufacturers.","","2023-09-01","","","","Aerospace Engineering","",""
"uuid:5076b5e6-2776-4517-baba-1e8c9e8aff79","http://resolver.tudelft.nl/uuid:5076b5e6-2776-4517-baba-1e8c9e8aff79","Analyzing out-of-plane deformations caused by varying Poisson ratio distributions in a metamaterial","van Soest, Anna (TU Delft Mechanical, Maritime and Materials Engineering)","van de Sande, W.W.P.J. (mentor); Broeren, F.G.J. (mentor); Herder, J.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Auxetic metamaterials offer various novel abilities, one of the abilities is to deform into a dome-shape under out-of-plane deformation. Contrary to a material with a positive Poisson's ratio, which deforms into a saddle-shape. A dome-shape is named synclastic deformation and a saddle-shape is named anticlastic deformation. Under out-of-plane deformation, the magnitude and sign of the Poisson's ratio influence the curvature of the material, and hence the final shape. Starting from a flat plane, manipulation of the Poisson's ratio can create various unusual shapes, such as an egg or a wave-shape. A desired shape might require a uniform Poisson's ratio or a varying Poisson's ratio distribution. Most of the current estimations on the relation between an auxetic material and the deformation shape are performed experimentally. This paper presents an analytical approach which shows the influence of a varying Poisson's ratio on the out-of-plane deformation of a material under pure bending conditions. Four Poisson's ratio distributions are applied which follow the formulas: S-curve, parabolic and cosine in one and two directions. The same model is build in the FEM software COMSOL which serves as the reference model. Comparison of the COMSOL model shows a Mean Absolute Percentage Error between 0.30% and 11.93% for the analytical model. Remarkably, the accuracy of the analytical model is high if a Poisson's ratio distribution varies in one direction, resulting in a Mean Absolute Percentage Error lower than one percent point. A limitation of the analytical model is that the Mean Absolute Percentage Error increases to 0.69% till 11.93% when the Poisson's ratio varies in two directions. The presented analytical approach provides a first step in determining a varying Poisson's ratio distribution that can deform into any desired shape. The resulting shapes are synclastic and combinations of synclastic and anticlastic.","Metamaterial; Auxetic; Synclastic deformation","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:466eea38-2783-4943-a796-1955938fdab5","http://resolver.tudelft.nl/uuid:466eea38-2783-4943-a796-1955938fdab5","Motility of Chlamydomonas reinhardtii at different temperatures: The universe in a droplet","Zhao, Yikai (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy)","Tam, D.S.W. (mentor); Kumar, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Algae remains a focal point of scientific inquiry with its wide-ranging environmental, health, and energy applications. Among model organisms, the unicellular green alga Chlamydomonas reinhardtii holds significance, particularly in light of its responsiveness to temperature alterations impacting swimming velocity. Notably, this study investigates the intriguing ability of live Chlamydomonas cells to modulate suspension viscosity compared to non-living particles.
Under shear flow conditions, a captivating phenomenon termed ""heat thickening"" is unveiled in live algae suspensions. Unlike conventional fluids, heightened temperatures uniquely enhance viscosity in these suspensions due to the interplay between cell-generated stresslets and shear flow. This study aims to characterize the influence of temperature on microalgal swimming speeds, flagella beating frequencies, and its role in viscosity modulation.
An experimental setup with precise temperature control and water circulation is employed to achieve this. Both 2D and 3D tracking methods measure motility and inform the findings. Results demonstrate that swimming velocity and beating frequency increase with temperature until 35 degrees Celsius, followed by a decline. This trend aligns with the observed live cell suspension viscosity measurements, indicating the impact of motility on suspension rheology.
Quantitative research has indicated the simultaneous influence of beating frequency and swimming velocity on suspension viscosity, underscoring the importance of investigating motility's role. This study suggests that the motility of live algae cells holds the potential for interpreting and modulating suspension rheology, warranting further exploration under various temperature conditions. Such insights contribute to understanding algae behavior and its applications across environmental and industrial contexts.","Chlamydomonas reinhardtii; Active fluid; Microalgal motility; Heat thickening; Temperature influence; Rheological properties","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:8b96c859-7e87-4cda-8f7f-6b0ced32b389","http://resolver.tudelft.nl/uuid:8b96c859-7e87-4cda-8f7f-6b0ced32b389","Design & Validation of a 3D-printed Heat Exchanger Manifold","Gupta, Mayank (TU Delft Mechanical, Maritime and Materials Engineering)","Hooman, K. (mentor); Arnaud, L. (mentor); Delft University of Technology (degree granting institution)","2023","Metal additive manufacturing has enabled great diversity and design freedom in heat exchanger design. However, these benefits cannot be adequately realised without optimizing its ancillary components as well, specifically the inlet and outlet manifolds. Optimizing the manifolds can significantly improve the flow distribution inside the heat exchanger core. This in turn will improve the heat exchange performance and reduce flow obstruction. More importantly, it can reduce the size of the setup, which makes for much cheaper and faster manufacturing. Literature on optimization of flows is quite vast and extensive, but experimental validation is lacking. It is not well understood whether 2D optimized parts hold up to 3D validation, and whether it differs for laminar and turbulent regimes. In this work, a manifold is numerically optimized in 2D and 3D for various flow regimes including both laminar and turbulent flow. The ideal set of assumptions for each specific case is then prescribed based on the results. Validation is performed for some of the geometries after manufacturing them via 3D-printing.","Fluid dynamics; Topology Optimisation; Optimization; 3D printing; Heat Exchanger; Manifold; Manifold optimization; Maldistribution","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:333cc722-ac3d-40ca-9ccb-814542187db0","http://resolver.tudelft.nl/uuid:333cc722-ac3d-40ca-9ccb-814542187db0","Solidarity in EV charging: A discrete choice experiment to assess interest in charging schemes","Zandstra, Joery (TU Delft Technology, Policy and Management)","Pudane, B. (mentor); Dobbe, R.I.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Currently, the electricity grid in the Netherlands is reaching its capacity, resulting in congestion issues. One of the factors that causes the electricity grid to become overloaded is the increasing use of EVs (electric vehicles). A situation in which a large number of EV users in a certain area charge their EV at the same time can significantly increase the risk of congestion in the electricity network. To avoid such situations it is necessary to change the charging behaviour of the EV users.
The literature shows that smart charging systems, such as charging schemes, are having a high potential to solve these grid capacity problems. In the context of this study, a charging scheme is defined as a contract between an EV user and the charge card provider/electricity supplier stating, among others, at what times an EV user could charge his/her EV. However, to ensure that charging schemes can effectively contribute to solving the grid capacity problems, it is important that many EV users are willing to participate in such schemes.
Therefore, the research objective is to study how EV users will react to various charging schemes. In particular, the aim is to assess the effectiveness of appealing to someone's intrinsic motivation versus providing extrinsic incentives. First of all, with regard to the extrinsic incentives, this study specifically concerns monetary incentives. By presenting different discounts, it is possible to test whether a monetary compensation influences the willingness to participate in a charging scheme. Secondly, with regard to someone’s intrinsic motivation, this study focuses on appealing to someone's solidarity as a form of an intrinsic motivation. EV users with a certain degree of solidarity can be motivated to participate in a charging scheme when solidarity incentives are obtained (social recognition, togetherness and the development of friendships). By emphasizing the social benefits of a charging scheme, solidarity incentives could possibly increase the willingness to participate in a charging scheme.
Since the objective of the study is to research how EV users will react to various charging schemes, a survey containing a discrete choice experiment is used. To be able to examine the effectiveness of providing an extrinsic incentive (monetary compensation) versus appealing to someone's solidarity by emphasizing the social benefits of a charging scheme, the survey contains two charging scheme versions, an incentive-based version and an intrinsic-based version. By using two survey versions, it is possible to examine separately whether it is more effective to appeal to someone's intrinsic motivation versus providing a financial compensation.
The results show that the willingness to participate in a charging scheme was generally higher in the intrinsic-based version than in the incentive-based version. Based on this, it can be concluded, within the boundaries of the selected attribute ranges, that appealing to someone's solidarity by emphasizing the social benefits is more effective than offering a financial compensation. This is also substantiated by the fact that the financial compensation attribute included in the incentive-based charging schemes does not influence the willingness to participate.","electric vehicle; charging scheme; solidarity; choice analysis; extrinsic motivation; intrinsic motivation; electricity grid","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:59ba30e3-213a-4f93-a89b-57b1168e9f3e","http://resolver.tudelft.nl/uuid:59ba30e3-213a-4f93-a89b-57b1168e9f3e","Assessing the Potential Danger of Hail Impacts on Stiffened Carbon Fiber Composite Panels in Aircraft Structures","Smit, Lars (TU Delft Aerospace Engineering)","Alderliesten, R.C. (mentor); Delft University of Technology (degree granting institution)","2023","This study evaluates the potential danger of hail impacts on stiffened composite aircraft structures. Test specimen are created using Carbon Fiber Reinforced Polymer (CFRP) with and without an Aluminium longitudinal stringer. These specimen are first indented to explore the effect and behaviour of stiffening elements and varying boundary conditions. Then an impact gun is used to impact the specimen with ice balls, simulating hail impacts in order to assess the potential danger of hail stones impacting the stiffened structure. It is concluded that the critical locations for damage formation are where deformations are most suppressed, such as the stringers and ribs of the aircraft. In these locations more damage at the same energy levels as well as a lower threshold energy level for damage initiation is observed. Additionally, it is shown that repeated impacts in close proximity of each other, such as during hailstorms, can increase the resulting damage compared to separate single impacts. Ice impacts demonstrate only a fraction of the kinetic energy is absorbed during an impact, but large hailstones occurring during rare hailstorms can definitely cause serious damage in the critical locations in aircraft. So, for future research, testing and certifying the potential of hail impacts must be recognised and determined in the critical stiffened locations within an aircraft","Impact testing; Hail; stiffened panel","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:c18cb6cf-3574-484d-aacc-dabd882341de","http://resolver.tudelft.nl/uuid:c18cb6cf-3574-484d-aacc-dabd882341de","Deep Learning for Geotechnical Engineering: The Effectiveness of Generative Adversarial Networks in Subsoil Schematization","Campos Montero, Fabian (TU Delft Civil Engineering & Geosciences)","Vardon, P.J. (mentor); Taormina, R. (graduation committee); Zuada Coelho, B.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis introduces a novel Generative Adversarial Network application called SchemaGAN, which has been adapted from the Pix2Pix architecture to take Cone Penetration Test (CPT) data as a conditional input and generate subsoil schematizations. For training, validation and testing, a database of 24,000 synthetic schematizations of size 32x512 pixels was created, representing a broad spectrum of stratigraphical complexity in the layered models. Each synthetic cross-section was additionally transformed into a CPT-like image with less than 1% of the original data remaining at random locations along the model. After training for 200 epochs, the best-performing SchemaGAN Generator was chosen from the validation, and the effectiveness of SchemaGAN in generating subsoil schematizations was tested against traditional interpolation methods (Nearest Neighbour, Inverse Distance Weight, Kriging, Natural Neighbour) and newer methods such as Inpainting. The evaluation metrics obtained reveal that SchemaGAN outperforms all other methods, with results characterized by clearer layer boundaries and accurate anisotropy within the layers. In contrast, Nearest Neighbour and Kriging are characterized by a lack of continuity and blurry layer boundaries respectively. Inverse Distance, Natural Neighbour and Inpainting fail to come close to the performance of the other methods. The superior performance of SchemaGAN is confirmed through a blind survey, in which SchemaGAN ranked as the top-performing method in 78% of cases according to experts in the field. Results also suggest that SchemaGAN is the least affected method by the location of CPT data along the cross-section. In a real case study, SchemaGAN demonstrates better predictive accuracy for known CPT data than both Nearest Neighbour and Kriging interpolation methods. The future potential lies in refining its performance by considering enhancements such as training with real CPT data, incorporating additional conditional inputs, and exploring larger inputs or specialized databases. All the code related to the project has been made publicly accessible.","geotechnical; machine learning; generative adversarial networks; schematization; subsoil","en","master thesis","","","","","","","","","","","","Geoscience and Engineering","",""
"uuid:5fa2a662-3e60-4f79-ac28-4c4a6e4aacaf","http://resolver.tudelft.nl/uuid:5fa2a662-3e60-4f79-ac28-4c4a6e4aacaf","PLAI: Positive Implementation of Generative AI in Education Through Play-Based Learning","Visser, Titus (TU Delft Industrial Design Engineering; TU Delft Human-Centered Design)","Lomas, J.D. (mentor); Gielen, M.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Generative AI has had a profound impact on various sectors since mid-2022. The rapid adoption by students poses challenges for student assessment and raises concerns about student development. This thesis delves into the subject and proposes a positive implementation in education through play-based learning. The project uses a human-centred iterative design approach, consisting of co-design workshops with children, teachers and expert interviews. The research shows that teaching children about AI and generative AI can be done from a young age using play-based learning.
The study captures the diverse perceptions of elementary school children and teachers regarding generative AI. Key findings highlight children’s concerns and needs with regards to generative AI. Cooperatively formulated design criteria point towards children’s main interests for products or services with generative AI or AI to have a play-based nature, foster creativity and inspiration, safeguard their privacy and security and help them achieve their goals.
The research in this thesis also explores educators’ perceived challenges and interests in classroom integration of generative AI. Key findings include the perceived necessity for both teachers and students to learn about generative AI, the interest across different levels of education and that the age deemed appropriate for students to be introduced to generative AI is often considered to be at the end of primary school or at the start of secondary school.
From the research several design principles are proposed to create an engaging, ethical and human-centred AI learning experience. To facilitate this experience and to spearhead the integration of generative AI into education, a company named “PLAI” is conceptualised. The company aims to implement generative AI in education in a positive way by providing learning material which stimulates engagement, creativity and social learning while safeguarding privacy and security by offering play-based and scaffolded learning in workshops. Future recommendations and plans include the development of a multi-modal generative AI model which can run locally on school servers and is alignable with their curriculum.
Limitations of this study include a lack of direct interaction and research with high school students, a need to explore text based generative AI interactions with students, and a need to assess generative AI’s long-term effects on student well-being.","Generative AI; Child-AI interaction; Teacher-AI interaction; Play-based learning; Human-Centered Design; Iterative approach; workshop design; Implementation; Education; creativity; AI Literacy","en","master thesis","","","","","","","","","","","","Integrated Product Design","","52.002627, 4.370436"
"uuid:cb55128e-13f9-4609-be65-4aded5dd9f8f","http://resolver.tudelft.nl/uuid:cb55128e-13f9-4609-be65-4aded5dd9f8f","Material Jetting: Exploration on mixtures of solid and liquid for shock absorption","Quintana Arntz, Vicente (TU Delft Industrial Design Engineering)","Doubrovski, E.L. (mentor); Boyle, J.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research project delves into the potentials of material jetting printing through an exploration of voxel printing techniques that integrate solids and liquids. The focus centers on assessing the shock absorption (SA) capabilities of diverse samples incorporating varying materials and printing patterns. The key materials employed in this investigation comprise Agilus, a flexible substance, and Veroclear, a rigid material. The project advances through multiple phases, commencing with an extensive literature review and culminating in the successful fabrication of a range of varied samples.
The research objectives encompass two main aspects. Firstly, the goal is to generate a series of test samples characterized by distinct attributes, facilitating a comprehensive evaluation of their shock absorption abilities. This entails manipulating factors like material composition and printing patterns to yield a diverse array of samples. Secondly, leveraging the test outcomes, the aim is to conceptualize a product that effectively demonstrates the potential of material printing technology. This product will exemplify optimized shock absorption characteristics, serving as a tangible illustration of the technology’s possible applications. In this design process, the diverse assortment of test samples and their individual traits are considered, leveraging these findings to craft an inventive and practical wearable product.
The realization of these objectives initiates with an understanding of a material printing apparatus equipped for voxel printing. Thorough research is undertaken to amass pertinent information concerning voxel printing methods, material attributes, and shock absorption principles. Armed with a solid knowledge foundation, the project progresses to the printing phase, wherein an array of samples is produced employing rigid, flexible, and liquid materials. Meticulous attention is dedicated to selecting printing patterns, enabling a comprehensive exploration of the impact of different geometries on shock absorption.
The subsequent stage encompasses subjecting the printed samples to controlled shock tests. This examination aims to discern patterns, correlations, and trends that can inform future design choices. Drawing from these findings, a proposal outlining future projects capitalizing on voxel printing technology is formulated. This proposal takes the form of a conceptual project designed to effectively showcase the material’s capabilities. Ultimately, this research endeavors to stimulate the development of ingenious and efficacious applications of voxel printing, thereby contributing to the advancement of materials engineering and product design domains, exemplified through a conceptual wearable product that serves as a reference for the potential utilization of the technology.","Material Jetting; additive manufacturing; 3D printing; Material exploration; Product design; shock absorption; protective equipment; voxel based additive manufacturing","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:b03f6df7-5fec-4f67-9dd1-f3aee82ed502","http://resolver.tudelft.nl/uuid:b03f6df7-5fec-4f67-9dd1-f3aee82ed502","Designing Circular Applications of Mycelium-Based Materials for Aircraft Cabins","CHAUDHURY, Mrinal Chaudhury (TU Delft Industrial Design Engineering)","Balkenende, A.R. (mentor); Karana, E. (graduation committee); Carre, Camille (graduation committee); Delft University of Technology (degree granting institution)","2023","This graduation project (Hyfen) elucidates opportunities for mycelium-based innovations in circular aircraft cabin design with a focus on material properties, applications and comparative environmental impacts.
Aircraft cabin interior elements account for 10% of an aircraft’s empty weight, and are replaced 4-5 times during the lifetime of an airframe. Thus, cabin elements are responsible for a significant portion of an airliner’s environmental impacts due to operational emissions and improper waste handling. An understanding of the need to apply circular principles to the cabin led to
heightened interest in mycelium-based materials which are lightweight and biodegradable.
The design goals were 1) Understanding the material properties of mycelium-based materials based on aircraft cabin requirements 2) Identifying optimal applications of mycelium-based materials in an aircraft cabin and developing selected demonstrators & detailed designs 3) Assessing the circularity and comparative Life Cycle Impacts of selected applications.
These goals were achieved through an adaptation of the Material Driven Design methodology. The outcomes of this project included conceptual design and demonstrators of two applications. First, is an optimized bionic partition with mycelium acoustic panels and filler material, weighing 40% less (41,6 kg) than a conventional nomex honeycomb-based composite partition (67 kg). The second is a modular packaging cum meal tray for airlines, aiming to reduce single-use plastic waste.
These specific applications were detailed to highlight the temporal & versatile properties such as competitive insulation (acoustic & impact), damage-resistant textures, foam-like compressive properties, mouldability into complex shapes and comfortable tactile interactions for passengers. They also have a high potential to mitigate the environmental impacts of an aircraft cabin due to weight savings in the bulky interior panels, as demonstrated by a final circularity and fast-track life cycle assessment.
Takeaways from this thesis also include insights into the optimal application families, including hot & cool cases, galley, business class & first class seat shelving systems, cushions and upholstery for seats and even decorative filler material for armrests and accessories. These applications to different degrees, leverage the unique material properties (e.g. low weight, mouldability, apparent sustainable advantage, warm & comforting textures etc.) of pure mycelium and
myco-composite materials. It also adds to an understanding of the design requirements for circular applications using mycelium derivatives and aims to inspire further research & development for deployment.
This thesis provided conclusive foundational qualitative evidence on the potential environmental advantage of mycelium applications over plastics, composites and other petroleum-derived materials in an aircraft cabin. Future recommendations include looking into standardization, commercialization, usability and acceptance. Project Hyfen aimed to be visionary and embolden the stringent aerospace sector to seek solutions in nature for its circularity transition - with biobased materials like mycelium being the building blocks, literally and figuratively","BIODESIGN; mycelium based composites; Aerospace structures; Aircraft Interior Design; biobased materials; Life-cycle Assessment; Circular design","en","master thesis","","","","","","","","2023-11-01","","","","Integrated Product Design","Interdisciplinary Thesis Labs, Leiden Delft Erasmus Centre for Sustainability",""
"uuid:9b09d0d3-201f-4dc6-9df8-3b84e282a2b7","http://resolver.tudelft.nl/uuid:9b09d0d3-201f-4dc6-9df8-3b84e282a2b7","Small Scale Pumped Thermal Energy Storage Modeling and Optimization","Al Radi, Ali (TU Delft Mechanical, Maritime and Materials Engineering)","Klein, S.A. (mentor); Pecnik, Rene (mentor); Hooman, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Variable green energy sources were sought to supply the growing demand for energy without impacting the environment. Wind and solar energy are at the forefront of the energy transition. However, their intermittent nature poses a challenge that necessitates the development of energy storage technologies.
Several technologies were studied over the years including pumped hydro energy storage, compressed air energy storage, electrochemical energy storage, and pumped thermal energy storage.
Pumped thermal energy storage provides a mean to store excess electrical energy in the form of heat by employing a heat pump cycle during the charging process and a heat engine cycle during the discharging process. There are multiple variations of pumped thermal energy storage cycles of which Rankine and transcritical cycles. Unlike Brayton cycles, Rankine and transcritical cycles typically operate at low-temperature levels < 200o𝐶 which facilitate their
integration with low-temperature waste heat in order to boost their round trip efficiencies even beyond 100%. Therefore, the focus of this thesis was to model and optimize Rankine and transcritical thermally integrated small scale pumped thermal energy storage.
Critical to the storage system’s efficacy are the performances of its key components: the compressor and expander. Geometric models were developed and validated for these components, facilitating the determination of their isentropic efficiencies and their variation with pressure ratio and rotation speed.
Additionally, a small-scale pumped thermal energy storage system model was developed to study the system performance. The choice of working fluid and operating parameters were guided by both a simplified optimization scheme and a multi-objective approach utilizing the Non-Dominated Sorting Genetic Algorithm-II (NSGA-II). This yielded 𝑅13𝐼1 as the optimum working fluid with source temperature 𝑇𝑠𝑜𝑢𝑟𝑐𝑒 = 80o𝐶 and storage temperature 𝑇𝑠𝑡𝑜𝑟𝑎𝑔𝑒 =140o𝐶. This configuration led to a transcritical heat pump charging cycle and a subcritical heat engine discharging cycle employing pressurized water as the storage medium.
Consequently, the compressor and expander geometric models were integrated into the pumped thermal energy storage system model working with 𝑅13𝐼1 at the source and storage
temperature mentioned earlier which yielded a round trip efficiency of 𝜂𝑟𝑡 = 93%, energy density 𝜌𝑒𝑛 = 12𝑘𝑊ℎ𝑟/𝑚3, and exergy efficiency 𝜓𝑒𝑥 = 29%.
Finally, a case study was demonstrated with the integrated cycle employed in a Dutch household solar system where dual electric and thermal panels are employed for the electrical and heat energy input in the pumped thermal energy storage. The system was developed for a 1𝑘𝑊 electrical input from the solar system to be stored for further use during off peak hours.
Special methods and test programs are developed tailored for two test vehicles, and some of the test results are collected and analyzed. Assisted by the failure analysis technique, the physical change of solder joints can be observed.
Findings in this study show the parameter shift during the solder joint degradation and also the mathematic model that describes the relationship between the crack of the solder joints and resistance increment.
The purpose of the research study is to assess the performance of different supply chain configurations. The performance of these different configurations is assessed on the key performance indicators project cost, project duration, utilization of the vessels and the waiting on weather duration. In order to assess the effect of using different supply chain configurations on the key performance indicators, 6 different experiments were performed within a developed simulation model.
Planners can use the qualitative insights of this report as a starting point for the choice of the preferred supply chain configuration when planning an offshore wind farm installation project. This will enable planners to make educated choices in the planning phase and give these planners insight into the different factors that are important in the supply chain of offshore wind farm installation projects. From a theoretical point of view, this report contributes to the sparse literature on the supply chain and logistical decisions in the field of offshore wind farm installation. Next to these insights, the weather module used to generate synthetic weather data improves Markov models discussed in literature and provides a solution to the problem of persistence that is present in conventional Markov models.","Offshore Windfarm; Offshore Wind; Monopile Installation; Foundation; Simulation; Discrete Event Simulation (DES); Markov Chains; Synthetic Weather; Weather Modelling; Supply Chain; Configuration; Logistics; Installation Vessel; Marshalling Yards; Supply Vessel; Supply Chain Configuration; TIL","en","master thesis","","","","","","https://github.com/scurom/LOGSIM Code repository for the run simulation model","","","","","","Civil Engineering","",""
"uuid:53b90585-7b59-486a-a0aa-a481c582820f","http://resolver.tudelft.nl/uuid:53b90585-7b59-486a-a0aa-a481c582820f","Developing Modular Interconnections for Disassemblable PV Modules","Mahajan, Mihir (TU Delft Electrical Engineering, Mathematics and Computer Science)","Manganiello, P. (mentor); Delft University of Technology (degree granting institution)","2023","The remarkable expansion of the PV industry can be attributed to cost reductions and technological advancements, resulting in solar energy becoming an appealing and sustainable option. However, there is a growing concern regarding the environmental impact of disposing decommissioned solar panels. To address this issue, Biosphere Solar, a startup based in Delft, is actively engaged in developing recyclable and repairable solar panels, embracing a circular and sustainable approach. Their ongoing research is centered on solar modules made without EVA lamination, with the goal of facilitating easier disassembly. A crucial aspect of achieving disassembly of solar cells involves exploring modular interconnections that allow for the disconnection of interconnections between solar cells.
Although modular interconnections are already employed in batteries, electrical switches, and communication systems, their potential application in connecting solar cells has not been extensively explored. This thesis explores various interconnection approaches aimed at facilitating modular interconnections within PV modules constructed using interdigitated-back-contact (IBC) solar cells, following the structure established by Biosphere Solar for PV modules. The objective is to allow for the replacement of damaged solar cells without adversely affecting neighboring functional cells. Four distinct concepts were investigated, each utilizing the dog-bone contact wire to connect IBC solar cells in series. These concepts include a desolderable interconnection using a single dog-bone wire soldered with SnBi (a low melting temperature solder alloy), desoldering between the contact regions of two dog-bones connected through the fusion of tin coatings at overlapping areas, interconnection mechanisms based on contact pressure within a polymer-based cell-bed, and a contact pressure interconnection mechanism based on magnetic force. Both contact pressure interconnection mechanisms involve two dog-bones to create a series connection between a pair of solar cells.
After evaluating the technical feasibility of the aforementioned concepts, prototypes were developed, each incorporating the specified approaches. Furthermore, two reference prototypes were fabricated to establish benchmarks for comparison. Both prototypes employ conventional interconnections with a single dog-bone and SnAgCu solder alloy. The difference lies in the module build-up: one follows the Biosphere Solar approach (without EVA), while the other adheres to the traditional module build-up (with EVA and lamination). For the sake of simplicity, the reference prototype constructed using the Biosphere Solar approach and utilizing the SnAgCu solder alloy is referred to as the ’SnAgCu’ prototype, while the one built using EVA lamination is referred to as the ’EVA’ prototype.
I-V measurements revealed that the prototypes with single dog-bone based interconnection soldered using the SnBi solder alloy and two dog-bones with molten joint connections achieved the highest efficiencies (21.51% and 21.17%, respectively) compared to the other prototypes based on concepts explored for creating modular interconnections. The improved cell-bed design, which has a screw-based mechanism, showed an increase in efficiency (20.68%) compared to the slider-based mechanism (18.46%). The prototype with magnetic force-based interconnections achieved an efficiency of 19.94%. The two reference prototypes had efficiencies of 21.58% (SnAgCu) and 21.98% (EVA).
All prototypes, except for the one utilizing the slider-based interconnection in the cell-bed, underwent thermal cycle tests. Toward the conclusion of the thermal cycle testing, the prototypes featuring desolderable interconnection mechanisms (a single dog-bone soldered using the SnBi solder alloy and two dog-bones with soldered contact regions) exhibited the highest efficiencies compared to the other prototypes developed to explore potential concepts for modular interconnections.
An important quality of the F.E. model that is presented in this research is to describe the temperature field that is experienced by the deposited material in the wire and arc additive manufacturing process. In contrast to the traditional method of monitoring the substrate temperature, the F.E. model allows to describe the temperature field that is experienced by the deposited material constituting both the multilayer weld deposit and the component. Accordingly, the F.E. model is capable to describe the effect of the locally attained temperature field on the microstructure of the deposited material constituting the multilayer weld deposit in terms of the thermal characteristics including the temperature distribution, the locally attained temperature values, the cooling rates and the temperature gradients throughout the component.
Two cooling methods are proposed to control the heat dissipation from the component to the environment based on the application of an interlayer waiting time and immersing the component into a cooling medium. The results show a significant effect of the cooling methods on the temperature field that is experienced by the material constituting both the multilayer weld deposit and the component. Indicating that the cooling methods that are proposed in this research are effective to control the microstructure and the temperature field that is experienced by the component in the wire and arc additive manufacturing process. The resulting microstructure is characterised in terms of the microstructural morphology and the microstructural constituents, using optical microscopy based on the average grain size and the distribution of alloying elements throughout the material constituting the multilayer weld deposit. In addition, the average grain size and the distribution of the alloying elements throughout the material are evaluated in terms of the hardness values.","WAAM; F.E. model; Thermal analysis; Microstructural characterisation; Welding simulation; Microstructure; Additive manufacturing; Metal Additive Manufacturing; Metal 3D Printing; Finite Element modelling","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:401a08dd-cc9f-42a9-bd45-6bdbc0a40665","http://resolver.tudelft.nl/uuid:401a08dd-cc9f-42a9-bd45-6bdbc0a40665","Dual Voltage and Current Feedback Class-D Amplifiers with High LC Filter Cut-off Frequency and Nonlinearity Suppression","Fan, Haochun (TU Delft Electrical Engineering, Mathematics and Computer Science)","Fan, Q. (mentor); Zhang, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Class-D amplifiers have gained significant importance in automotive applications due to its high efficiency. However, to meet strict electromagnetic interference (EMI) requirements, an LC filter is necessary, which, unfortunately, introduces nonlinearity to the system. This project proposes an architecture by implementing a dual voltage/current feedback loop to suppress the nonlinearity of the LC filter. The voltage feedback is put after the LC filter to suppress its nonlinearity. The current feedback splits the complex poles from the LC filter to stabilize the system.
By implementing current feedback as the inner loop, this design achieves a significant reduction in the LC filter’s bulk and cost in comparison to state-of-the-art, while maintaining a good total harmonic distortion (THD) level of around -110 dB. This architecture is also robust to +/-30% variations in LC filter cut-off frequency. The chip is fabricated using a 180-nm BCD process, with a die size of 3 mm × 2.28 mm.","Class-D amplifiers; LC filer; current feedback; nonlinearity suppression; THD","en","master thesis","","","","","","","","2025-08-17","","","","Electrical Engineering | Microelectronics","",""
"uuid:3e68bc30-5a8c-4bd8-9970-ff1ce59e5c19","http://resolver.tudelft.nl/uuid:3e68bc30-5a8c-4bd8-9970-ff1ce59e5c19","Exploring Gender Disparities in Accessibility Levels: A Comprehensive Analysis of Transportation Modes, Activity Types and Personal Characteristics","de La Vega Bayma de Oliveira, Luisa (TU Delft Technology, Policy and Management)","Goncalves, J. E. (graduation committee); Verma, T. (mentor); Kroesen, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Accessibility is a fundamental concept concerning urban and transport planning as it is the elementary basis for socio-economic development in cities. It can be described as the potential to reach spatially distributed opportunities. Recent research has identified that excluding person-based features from this analysis might cause inaccurate measurement of accessibility. Age, gender, and income, for instance, are responsible for varying accessibility levels drastically. In addition, studies worldwide have shown that women face different challenges in reaching locations and spatially distributed opportunities. Despite the findings, this research identifies a gap in understanding how a person-based perspective, mainly gender, and other personal characteristics, affect accessibility levels, considering various travel purposes and transport modes. Thus, this research aims to answer the question, ”How do person-based characteristics, mainly gender, can impact accessibility levels?”. The primary objective is to explore how these characteristics influence accessibility metrics, identify the urban groups most affected by the absence of this perspective, and determine the key personal characteristics that significantly impact accessibility levels. To address these objectives, this study considers a combination of quantitative and case-study research approaches. It investigates the Metropolitan Region Rotterdam- The Hague in The Netherlands by applying surveys to obtain perceived accessibility data. In the same region, spatial analysis is conducted by mapping transport networks and points of interest. Then, this study compares spatially calculated accessibility with self-reported accessibility and the presence of mismatches. In addition, cluster analysis identifies the urban profiles most vulnerable to mismatches and their main characteristics. A Binary logistic regression is conducted to determine the variables’ importance in the mismatch occurrence. From the survey answers, it is identified that women have less access to cars than men. In addition, the comparison between perceived accessibility and spatial accessibility uncovers that women present the most critical mismatches to reach activities by car. In other words, several women perceive the car as an impractical option to access points of interest that are spatially considered reachable by car. It raises the hypothesis that the lack of car access highly impacts their accessibility perception. Furthermore, the clustering analysis reveals that foreign women exhibit a higher prevalence of car-related mismatches when compared to other urban groups. Moreover, this research identifies that fathers of young children also encounter greater disparities across all transportation modes. Additionally, the binary logistic regression underscores the importance of safety as a critical factor influencing women’s perception of walking as a viable mode of transportation. This safety importance is also identified from the survey answers. The findings raise new hypotheses that warrant investigation in transport engineering, urban planning, and social sciences. Consequently, this research can contribute to developing more inclusive transport policies and establishing a more equitable transport system.","Accessibility; Gender; Mobility; Perception; Data Science; Spatial Analysis; Equity","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:fd4b027e-e47b-415c-96f8-ac80638fbab8","http://resolver.tudelft.nl/uuid:fd4b027e-e47b-415c-96f8-ac80638fbab8","On the usage of wavelet-based techniques for Synthetic Image Detection","Joyandeh, Arian (TU Delft Electrical Engineering, Mathematics and Computer Science)","Jongbloed, G. (mentor); Loog, M. (mentor); Kekkonen, H.N. (mentor); Delft University of Technology (degree granting institution)","2023","With the rise of zero-shot synthetic image generation models, such as Stability.ai's Stable Diffusion, OpenAI's DALLE or Google's Imagen, the need for powerful tools to detect synthetic generated images has never been higher. In this thesis we contribute to this goal by considering wavelet-based approaches for synthetic image detection.
We will introduce multi-level discrete wavelet transform, which to the best of our knowledge has never been considered for this goal prior to this work. A similar approach that has been considered for the goal of synthetic image detection, is the multi-level wavelet packet transform used by Wolter et al. We will show that not only is our proposed approach more efficient and easier interpretable, it also performs better in a number of experimental settings and therefore forms a suitable addition to the toolset for the detection of synthetic images.
Moreover, we will try and generalize performance of our used classifiers to out-of-dataset samples and see that our used classifier in general does not allow for such generalization. Finally, we will discuss the challenges of this work and offer interesting directions for further research.","Wavelets; Synthetic Image Detection; Diffusion Models","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:bd87896a-2dc2-4be7-8369-b603971b9a19","http://resolver.tudelft.nl/uuid:bd87896a-2dc2-4be7-8369-b603971b9a19","Design of a Gallium Nitride DC-DC MPPT Converter for Bipolar DC Microgrids","Requejo Ruiz, Emilio (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Photovoltaic Materials and Devices)","Manganiello, P. (mentor); Smets, A.H.M. (graduation committee); Chandra Mouli, G.R. (graduation committee); Mackay, Laurens (graduation committee); Maroulis, Gerasimos (mentor); Delft University of Technology (degree granting institution)","2023","The use of DC microgrids in combination with photovoltaic (PV) energy generation sources results in higher efficiencies by avoiding AC to DC conversion. Moreover, bipolar DC grids allow for more system flexibility and increased reliability at the cost of an additional conductor when compared to unipolar DC. However, maximum power point tracking (MPPT) converters are still required in order to optimize the power delivered to the microgrid by PV systems. The development of more efficient and cost-effective DC-DC MPPT converters will be proven vital considering the expected growth in solar PV installation as a consequence of the relentlessly increasing global energy demand.
The objective of this thesis is to design a DC-DC MPPT converter for bipolar DC microgrids based on gallium nitride (GaN) high-electron-mobility transistors (HEMTs). GaN transistors are wide-bandgap semiconductor devices that can operate at very high switching frequencies due to their superior electron mobility compared to other semiconductor materials used in electronic switching. Increasing the operational frequency of an electronic converter allows for smaller passive components, which in turn has the potential to result in system size and cost reductions. A complete electrical and thermal analysis of the performance of these components is simulated and presented in this report, and the implications of employing these devices for the selected application are evaluated. The design overview of the DC-DC MPPT converter using these GaN HEMTs is also showcased in this work, as well as the results obtained from testing the assembled DC-DC MPPT converter for bipolar DC microgrids. The main conclusions of this work aim to clarify the advantages of GaN devices in MPPT applications, as well as demonstrate a design solution to the integration of MPPT converters to DC microgrids. The project was developed in collaboration with the company DC Opportunities, and the designed converter was assembled and tested in their laboratory.","Photovoltaic; MPPT; DC Microgrid; Gallium Nitride; DC Converters","en","master thesis","","","","","","","","2025-08-25","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:ddee8ba5-2f45-42f0-a174-3e62ab1dcf51","http://resolver.tudelft.nl/uuid:ddee8ba5-2f45-42f0-a174-3e62ab1dcf51","Energy Hubs' Contribution to Network Management","Cabral de Noronha e Menezes, Inês (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hakvoort, R.A. (mentor); Ramirez Elizondo, L.M. (graduation committee); Jongepier, Arjen (graduation committee); Delft University of Technology (degree granting institution)","2023","As the transition towards a sustainable energy system gains momentum, the concept of energy hubs has emerged as a promising solution to make the existing energy system more efficient. This thesis aims to investigate the contribution of energy hubs to network management in the Dutch energy system. The research questions focus on identifying the key components and objectives of energy hubs, understanding the roles and interests of stakeholders involved, assessing the effectiveness of energy hubs in achieving policy goals, and exploring the opportunities and threats they present to the Distribution System Operator (DSO).
The study employs a mixed-methods approach, combining theoretical analysis, stakeholder interviews, SWOT analysis, and case studies. Through a comprehensive literature review, a holistic understanding of energy hubs is established, providing a foundation for further analysis. Stakeholder interviews offer insights into the perspectives and interests of various actors in the energy sector, shedding light on the roles they play and the potential benefits of energy hubs.
The thesis analyses the theoretical and practical value of energy hubs, considering their potential to optimise network capacity, enhance renewable energy integration, and improve system flexibility. SWOT analysis allows for a thorough examination of the strengths, weaknesses, opportunities, and threats associated with energy hubs. The analysis of case study projects further enriches the findings by providing real-world examples and highlighting their applicability in practice.
The results demonstrate that energy hubs have the potential to contribute significantly to network management, offering benefits such as reduced grid congestion, increased renewable energy utilisation, and enhanced collaboration among stakeholders. However, limitations exist, including the need for a clear definition, standardised legal procedures, and a comprehensive understanding of the specific conditions under which energy hubs are most suitable.
This thesis contributes to the existing knowledge on energy hubs and their impact on network management. It offers a comprehensive analysis of their key components, stakeholder dynamics, policy implications, and practical considerations. The research findings provide valuable insights for both academia and industry, informing future research directions and aiding DSOs in harnessing the full potential of energy hubs to facilitate the transition towards a sustainable and resilient energy system.","Energy hub; network management; energy transition","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:3ff7fad8-f085-4e31-972c-622151bc640b","http://resolver.tudelft.nl/uuid:3ff7fad8-f085-4e31-972c-622151bc640b","Minimum entropy constraints for 3D structurally-coupled joint inversion of near-surface geophysical data acquired at the Rockeskyller Kopf, Germany","Ziegon, Anton (TU Delft Civil Engineering & Geosciences)","Wagner, Florian (mentor); Delft University of Technology (degree granting institution); ETH Zürich (degree granting institution); Rheinisch-Westfälische Technische Hochschule (degree granting institution)","2023","Geophysical methods are widely used to gather information about the subsurface as they are nonintrusive and comparably cheap during acquisition, however, the solution to the geophysical inverse problem is inherently non-unique which introduces considerable uncertainties. Therefore, independently acquired geophysical data sets can be jointly inverted to reduce ambiguities in the resulting multi-physical subsurface images. Zhdanov et al. (2022) introduce a novel cooperative inversion approach using joint minimum entropy constraints in the regularization term of the objective functionals to create more consistent multi-physical images with sharper boundaries. Here, this approach is implemented in an open-source software and its applicability on electrical resistivity tomography (ERT), seismic refraction tomography (SRT) and magnetic data is investigated. A synthetic 2D ERT and SRT data study is used to demonstrate the approach and to investigate the influence of the governing parameters. The findings showcase the advantage of the joint minimum entropy (JME) stabilizer over separate, conventional smoothness-constrained inversions. The method is then used to analyze field data from Rockeskyller Kopf, Germany. 3D ERT and magnetic data is combined and results confirm the expected volcanic diatreme structure with improved details. The multi-physical images of both methods are consistent in some regions as similar boundaries are produced in the resulting models, which have been lacking in previous studies. Because of its sensitivity to hydrologic conditions in the subsurface, observations suggest that the ERT method senses different structures than the magnetic method. However, these structures in the ERT result do not seem to be enforced on the magnetic susceptibility distribution, showcasing the flexibility of the approach. Both investigations outline the importance of a suitable parameter and reference model selection for the performance of the approach and suggest careful parameter tests prior to the joint inversion. With proper settings, the JME inversion is a promising tool for geophysical imaging, however, this thesis also lists some objectives for future studies and additional research to explore and optimize the method.","Geophysics; Joint Inversion; Subsurface Imaging; Applied Geophysics","en","master thesis","","","","","","","","","","","","Applied Geophysics | IDEA League","",""
"uuid:385fc721-d4b3-4994-be2b-3033217fc423","http://resolver.tudelft.nl/uuid:385fc721-d4b3-4994-be2b-3033217fc423","Surgical Instrument for Fasciectomy to Avoid Nerve Injury in the Hand: Conceptual Design and Evaluation","Hupkens, Lisanne (TU Delft Mechanical, Maritime and Materials Engineering)","Breedveld, P. (mentor); Smit, G. (graduation committee); Kraan, Gerald A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Goal: Nerve injury is a typical complication of a fasciectomy, a surgical treatment for Dupuytren's contracture. This research focuses on the creation of a surgical device to prevent this medical complication.
Design: A mechanical feedforward-based device with a spreading and cutting mechanism was proposed. Stretching the target tissue causes a larger biomechanical difference between this tissue and the nerves. This helps to avoid damaging the nerves during the dissection phase. The DisDis prototype with a precision handling grip was develop. It uses a nitinol tube for the spreading mechanism and a conventional scalpel for the cutting mechanism.
Evaluation: The performance and ergonomics of the prototype are disappointing, compared to the conventional fasciectomy dissection method. Despite this unfavourable result, it is thought that mechanical feedforward-based devices could be still make a positive impact.","Surgical Instruments; Tissue Discrimination; Fasciectomy; Nerve Injury; Dupuytren","en","master thesis","","","","","","","","2025-09-01","","","","Mechanical Engineering","",""
"uuid:257ddaef-23f0-49bd-b321-610992c0330f","http://resolver.tudelft.nl/uuid:257ddaef-23f0-49bd-b321-610992c0330f","Feasibility Analysis of Synthetic Air as a substitute for SF6 inside Dielectric Coated GIS","Ganesan, Shruthi (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ghaffarian Niasar, M. (mentor); Vaessen, P.T.M. (graduation committee); Lekić, A. (graduation committee); Bodega, Riccardo (graduation committee); Delft University of Technology (degree granting institution)","2023","The urgent need to decrease greenhouse gas emissions and enable clean energy transition has driven the power industry to seek compact and eco-friendly solutions for power transmission. Gas Insulated Switchgear has been a key technology in this regard, using Sulphur Hexafluoride gas as an insulating medium. However, is a potent greenhouse gas with high global warming potential, necessitating the search for more environmentally friendly alternatives.
Siemens Energy has proposed ""Clean Air"" as a substitute for inside high voltage. Clean Air, also called Synthetic Air is a homogeneous mixture of 80% N2 and 20% O2. However, due to its lower electrical strength compared to SF6, the GIS design with Synthetic Air as the insulation gas requires optimization and newer insulation techniques to maintain compactness and reliability.
The goal of this thesis is to analyse the breakdown strength of Synthetic Air as a substitute for SF6 inside the High Voltage GIS and examine the performance of its breakdown strength with dielectric coatings on the surface of the electrodes.
Initially, experimental studies were conducted to investigate the breakdown strength of Synthetic Air under various pressure ranges. Comparisons with compressed air reveal that Synthetic Air demonstrates similar electrical breakdown strength.
Next, the study focused on understanding the influence of dielectric coatings on the breakdown behavior of Synthetic Air for applications in GIS. Methodical optimization of coating thickness and air gap distance were done to effectively minimize the electric stress inside the air gap. The test setup was designed with electrodes coated with neat epoxy and the AC breakdown tests were performed. Compared to the uncoated electrodes, the coated electrodes showed significant improvement in breakdown strength.
Though the coated electrode did not breakdown at the voltage at which the uncoated electrodes broke down, with higher voltages, a new phenomena of surface flashover was observed. The observations of surface flashovers across the dielectric coatings demonstrated the ability of these flashovers to travel longer distances at remarkably low voltage ranges. This research concluded by postulating two hypotheses to explain the observed surface flashover phenomena. These hypotheses were researched and analyzed using FEM simulations and experimental investigations.
This project aims to find intrinsic factors that influence RWA performance in WDM and propose novel RWA approaches with enhanced performance. Existing dynamic RWA methods are reviewed from the literature and simulated in a self-built performance evaluation model. As the availability of every edge at every wavelength is constantly changing, we can transform the WDM network into a multi-layer temporal network structure. In order to uncover the essential reasons for the differences between the performances of the different methods, we investigate the multi-layer temporal network with graph theoretic analysis to explore correlations between specific multi-layer metrics and RWA performance. A few single-layer network connectivity metrics are applied in multi-layer networks including the number of connected components, the size of the largest components, the spectral radius, the algebraic connectivity, the effective resistance, the sum of betweenness, and the number of reachable node pairs. The experimental results show that the maximum value of spectral radius and algebraic connectivity over all layers are the best 2 multi-layer metrics describing the performance of the RWA methods.
Building upon this analysis, four new routing methods are proposed based on the previous methods and the two best-adapted multi-layer graph metrics, including the Least Spectral Radius Deduction (LSRD), Least Algebraic Connectivity Deduction (LACD), Least Hopcount and Congestion Path (LHCP) and Congestion Weighted Shortest Path (CWSP) methods. All new methods are fitted in the evaluation model and it has been proven that the CWSP method has better performance compared to all other RWA methods based on its improvement of selected multi-layer graph metrics.","routing and wavelength assignment; WDM network; multi-layer network","en","master thesis","","","","","","","","2024-02-29","","","","Electrical Engineering | Telecommunications and Sensing Systems","",""
"uuid:fd4aa48e-f12a-451b-8187-81ea42ebb01f","http://resolver.tudelft.nl/uuid:fd4aa48e-f12a-451b-8187-81ea42ebb01f","Time-lapse GPR full-waveform inversion to monitor heat tracers at the Krauthausen test site","Mueller, Brianna (TU Delft Civil Engineering & Geosciences)","van der Kruk, Jan (mentor); Klotzsche, Anja (graduation committee); Delft University of Technology (degree granting institution); Rheinisch-Westfälische Technische Hochschule (degree granting institution); Idea League (degree granting institution)","2023","Understanding solute and heat transport processes in aquifers is crucial for monitoring and protecting the groundwater critical zone. Crosshole ground-penetrating radar (GPR) is a useful method for enhancing transport characterization in aquifers. Particularly, GPR full-waveform inversion (FWI) can provide subsurface images with resolution at the subwavelength scale, making it a well-suited method for monitoring tracer plumes. While previously applied to a salt tracer test, its effectiveness for other tracer types with different
conductivity and permittivity contrasts remains unexplored. Here, we apply this method to two tracer tests conducted at the Krauthausen test site in northwest Germany: (1) a natural gradient heat tracer test and (2) a forced-gradient combined heat-salt tracer test. Both tracers use hot water, which provides a contrast in both electrical conductivity and dielectric permittivity with the groundwater. This should permit improved monitoring capabilities with GPR
FWI in comparison to the salt tracer, which only provides a contrast in electrical conductivity. However, this comes with new challenges in the processing workflow, specifically, in the starting model strategy. The results illustrate that using the ray-based permittivity tomogram and
a homogeneous conductivity as FWI starting models for each time-lapse dataset is the best strategy. Additionally, we applied an amplitude analysis approach to improve the starting model in regions with low ray coverage. The effects of the heat tracer were detected over the entire depth range of the aquifer, from 3-11 m, and especially at mid-aquifer depths from 6-8.5 m. For the heat-salt tracer, we were able to detect separate effects from the salt and heat: the salt was observed at depth in the aquifer, in accordance with the salt tracer test, and the
heat was observed approximately two days after the salt effects at mid-aquifer depths (from 5.5-7.5 m). In regions where minimal effects from the tracer were observed, specifically for the heat tracer, the consistent results between independent time-lapse datasets demonstrate the repeatability of the method, indicating the suitability of GPR FWI for hydrogeophysical time-lapse studies.","FWI; GPR; Hydrogeophysics; Monitoring; |Geophysics; Heat transport; Groundwater tracer","en","master thesis","","","","","","","","","","","","Applied Geophysics | IDEA League","",""
"uuid:ea00740d-d94e-4a10-a930-de4cf1740aa3","http://resolver.tudelft.nl/uuid:ea00740d-d94e-4a10-a930-de4cf1740aa3","Data assimilation for geothermal doublets using production data and electromagnetic observations: Assimilation of production and EM data","Oudshoorn, Christiaan (TU Delft Civil Engineering & Geosciences)","Werthmüller, D. (mentor); Voskov, D.V. (graduation committee); Slob, E.C. (graduation committee); Schmelzbach, Cédric (graduation committee); Delft University of Technology (degree granting institution); ETH Zürich (degree granting institution); Rheinisch-Westfälische Technische Hochschule (degree granting institution)","2023","The data assimilation process for geothermal reservoirs often relies on well data which primarily offers insights into the immediate vicinity of the borehole. However, integrating geophysical methods can provide valuable information beyond well proximity, possibly enhancing reservoir predictions. Electromagnetic methods can be sensitive to the decreasing conductivity from heat extraction in geothermal reservoirs. A scheme to incorporate electromagnetic data into a data assimilation process for geothermal reservoirs is presented and implemented in this study. First, an ensemble of prior models representing the reservoir uncertainty is used to determine the moments of the resulting temperature field using a forward geothermal simulation. Source and receiver locations are determined by maximizing the distance of the path through the expected temperature changes while ensuring that the source and receiver are not excessively distant. Subsequently, a conductivity model is implemented using an empirical relationship. The expected electric field response can then be simulated using an electromagnetic forward model. To assimilate the data, the Ensemble Smoother with the Multiple Data Assimilation (ES-MDA) method is employed. The findings demonstrate that the incorporation of electromagnetic data provides more information regarding the temperature field, which when combined with the localized data from the production well improves the temperature forecast accuracy of both the production well and the entire reservoir model.","Electromagnetic; Geothermal; numerical; Reservoir Simulation; Data Assimilation","en","master thesis","","","","","","","","2024-02-11","","","","Applied Earth Sciences","",""
"uuid:2308611c-3ff6-46fc-aa50-16efa539b8df","http://resolver.tudelft.nl/uuid:2308611c-3ff6-46fc-aa50-16efa539b8df","Coded Excitation for Doppler Ultrasound Imaging of The Brain","ZHU, LEXI (TU Delft Electrical Engineering, Mathematics and Computer Science)","Leus, G.J.T. (mentor); Kruizinga, Pieter (graduation committee); Delft University of Technology (degree granting institution)","2023","Doppler ultrasound imaging of cerebral blood flow faces challenges arising from a low signal-to-noise ratio (SNR) and a wide dynamic range. Echo signals received from blood cells are significantly weaker compared to surrounding tissues, such as the skull or brain soft tissue, resulting in inhibited visualization of small blood vessels and deep brain areas. To address this issue, this thesis explored the feasibility of employing and improving coded excitation techniques to enhance the SNR of Doppler ultrasound images. Furthermore, an optimized code for Doppler ultrasound imaging is designed, represented by a generalized encoding matrix.
The research begins with the definition of a linear signal model that incorporates the encoding matrix. Subsequently, a trace-constraint optimization problem is formulated based on maximizing the Fisher information matrix to find the optimized encoding matrix. The feasibility and performance of the optimized encoding matrix are assessed through simulations on both small and large array settings, which operate above Nyquist sampling frequency and under Nyquist sampling frequency respectively. The imaging results indicate that the optimized code exhibits higher SNR in deep image regions compared to existing coded excitation methods like Barker code while using the same number of transmissions, bit length, and same average transmit energy, albeit with a trade-off of decreased axial resolution. Nonetheless, this resolution degradation can be mitigated through the application of the iterative imaging technique LSQR. Finally, the optimized code is tested in a clinical transducer setting, and a blood flow simulation is conducted. The outcomes showcase the capacity of the proposed optimized code to enable higher SNR in Doppler ultrasound imaging and more accurate and informative clinical assessments.","Doppler ultrasound imaging; Coded excitation; Optimization","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:d5e20a37-32d4-4394-ae57-6c5d0bf3613e","http://resolver.tudelft.nl/uuid:d5e20a37-32d4-4394-ae57-6c5d0bf3613e","Enhancing Sweep Efficiency Assessment for CO2 Plume Geothermal: Tracer Field Campaign Recommendations on the Basis of Reservoir Simulations using Tagged CO2","Rhodes, Abby (TU Delft Civil Engineering & Geosciences)","O Saar, Martin (mentor); Delft University of Technology (degree granting institution)","2023","The CO\textsubscript{2} Plume Geothermal (CPG) Consortium was created in March 2023 to demonstrate the potential and technical feasibility of CO\textsubscript{2} Plume Geothermal at candidate sites in an integrated academic and industry synergistic collaboration. Following 12 years of theoretical CPG research, the consortium will execute a field demonstration in order to de-risk future commercial scale projects. Tracer campaigns performed during a field demonstration can provide information on how the plume evolves over time, which may be extrapolated in time and space to estimate the long-term, large-scale heat recovery potential for commercial CPG projects. Gas tracers, however, have not yet been employed for these purposes. Here we define the optimal tracer field campaign recommendations based on reservoir simulations of the Sleipner field in offshore Norway. We found that the determination of CO\textsubscript{2} plume development and sweep conformance was optimized using 7 tracers (N=7) in one-month intervals (dt=1). Additionally, we found that our homogeneous model possesses the largest sweep of the three models, as a uniform permeability permits greater flow of CO\textsubscript{2} at greater depths in the reservoir. Based on our results, we recommend injecting 35 kg (5 kg each) of 7 perfluorocarbon tracers over 5-6 hours in one-month intervals for determination of CO\textsubscript{2} plume development. However, for different reservoirs of interest, similar simulations, such as used in this study, should be run to identify a suitable number of tracers (N) and a suitable injection interval (dt) for determining CO\textsubscript{2} plume development and convergence for that site. From our findings, a tracer campaign would help reduce uncertainty in modelling, predictions and history-matching and improve understanding of sweep efficiency and long-term heat recovery. Tracer campaigns performed during a CPG pilot demonstration can then enhance the sweep efficiency assessment for a commercial CO\textsubscript{2} Plume Geothermal power plant.","Geothermal Energy; carbon storage; Reservoir Modelling; CO2 Plume Geothermal; CPG","en","master thesis","","","","","","","","","","","","Applied Geophysics | IDEA League","",""
"uuid:66e2a9fc-e248-4d63-bb62-e0462b112e5a","http://resolver.tudelft.nl/uuid:66e2a9fc-e248-4d63-bb62-e0462b112e5a","Application of the Non-Intrusive Reduced-Basis Method in Magnetotellurics for High-Temperature Geothermal Systems","Lindner, Nadja (TU Delft Civil Engineering & Geosciences)","Draganov, D.S. (graduation committee); Wellmann, Florian (mentor); Degen, D.M. (graduation committee); Grayver, Alexander V. (graduation committee); Delft University of Technology (degree granting institution)","2023","","Magnetotellurics; Machine Learning; Geothermal","en","master thesis","","","","","","","","2024-12-31","","","","Applied Geophysics | IDEA League","",""
"uuid:c468d8f4-b7de-4e91-9d80-e1bb0c462e3a","http://resolver.tudelft.nl/uuid:c468d8f4-b7de-4e91-9d80-e1bb0c462e3a","Grid Impact Assessment of Unbalanced Penetration of Distributed Generation, Electrified Mobility & Heating in the Near and Far Future","Wesseling, Yme (TU Delft Electrical Engineering, Mathematics and Computer Science)","Damianakis, N. (mentor); Chandra Mouli, G.R. (graduation committee); Bauer, P. (graduation committee); Lekic, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The EU strives to lower greenhouse gas emissions. To reach this goal, many energy intensive processes in the residential sector such as heating and transportation will be electrified using heat pumps and electric vehicles (EVs) respectively. Simultaneously, a transition of electricity generation to sustainable sources will take place, necessitating an increased adoption of rooftop photovoltaic (PV) systems.
The adoption of PV systems, heat pumps and EVs, also known as low carbon technologies (LCTs), can increase three-phase unbalance in low voltage (LV) distribution networks as many of these components will be connected to a single phase of the three-phase network. Threephase unbalance is undesirable in a three-phase system, as it causes among others, energy losses and a suboptimal use of network capacity.
The aim of this thesis is to evaluate the impact of different combinations and penetration levels of LCTs on three-phase unbalance in different real LV distribution networks through simulations and how unbalance is affected by LCT location, season and LCT control schemes.
Simulations were performed on six different grids, varying in level of urbanization and loading, with increasing levels of LCT penetration (0%, 50%, 80%, 100%). In these simulations, LCTs were integrated in varying combinations (PV & EV, PV & HP and PV & EV & HP). For every simulation, the maximum and mean voltage unbalance factor (VUF) was determined. Seasonal effects and the effect of an LCT control scheme were also evaluated.
Simulations showed that the voltage unbalance factor exceeded the legal limit of 3% for two of the six grids for high levels of LCT penetration when all LCTs are integrated. Combining all three LCTs resulted in the highest unbalance levels. Varying the locations of the LCTs resulted in significant differences in unbalance levels. Comparing a winter week with a summer week, the overall unbalance is similar, however, the contribution of the PV systems to the unbalance is increased, while the contribution of EV chargers and heat pumps is decreased.
The effect of the LCT control scheme was limited.
As the integration of LCTs will increase considerably in the near future, three-phase unbalance levels exceeding the limit of 3% will occur more often. To prevent unbalance levels from structurally exceeding the legal limit of 3%, more effective control schemes should be designed and implemented.
However, when they deflect the load bearing capacity in the degrees of constraint reduces considerably due to the risk of buckling induced by off-axis loading. Flexures are made thicker to prevail this phenomenon, but this also results in an increased actuation stiffness and ultimately a reduction in efficiency in their degrees of freedom. In this paper, a new concept is presented based on origami folding principles that exhibits a smaller decrease in support stiffness when it is deflected out of plane. On a conventional leaf flexure, a crease pattern is drawn based on compliant origami-inspired degree-4 joints. To make the origami-inspired leaf flexure out of metal lamina emergent mechanisms (LEM) are used for the crease lines. The prototype is analysed using a FE model which is validated by an experiment. Subsequently, a sensitivity analysis is performed on four characteristic design parameters. The results show that this new origami-inspired leaf flexure with $L = 65mm, w = 40 mm$ and $t = 0.30mm$ made of AISI 1.4301 stainless steel maintains 80.2 $\%$ of its initial support stiffness for a deflection of $y = 3mm$ compared to 36.9 $\%$ for a conventional leaf flexure with the same outside dimensions. However, the absolute support stiffness at $y = 3 mm$ of this new flexure was only 5 $\%$ of the leaf flexure for the same displacement. In conclusion, the decrease in support stiffness was reduced considerably, albeit at the expense of the actual magnitude of the support stiffness. For future studies more research is required on crease line design to improve the absolute values of the support stiffness.","Compliant Mechanism; Leaf Flexure; 2D flexure; Origami","en","master thesis","","","","","","","","2025-08-24","","","","Mechanical Engineering | Mechatronic System Design (MSD)","MEGABEAM",""
"uuid:11359550-bdd9-4ac9-996b-37dd93c248b9","http://resolver.tudelft.nl/uuid:11359550-bdd9-4ac9-996b-37dd93c248b9","Motion Comfort in the Flying-V","Deeb, Basem (TU Delft Aerospace Engineering)","Pool, D.M. (mentor); Stroosma, O. (mentor); Wijlens, R. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","2025-08-24","","","","Aerospace Engineering","",""
"uuid:bf436d60-8975-471b-8d27-cb18ef480002","http://resolver.tudelft.nl/uuid:bf436d60-8975-471b-8d27-cb18ef480002","A redesign and implementation plan of the Oog voor Naasten en Nabestaanden toolkit for the LUMC","Cheung, Samira (TU Delft Industrial Design Engineering)","Sonneveld, M.H. (mentor); Beets, M.F. (mentor); Delft University of Technology (degree granting institution)","2023","Research shows family caregivers involved in palliative care are not yet supported to the desired extent. Therefore, the Leiden University Medical Centre (LUMC) started the Oog voor Naasten en Nabestaanden (ON2) project, a Palliantieproject financed by ZonMw. The ON2 project helps healthcare organizations with improving the care for family caregivers in their organization. Currently, the LUMC supports organizations in setting up and carrying out their projects. From 2024 onwards, organizations need to independently use the ON2 toolkit 3.0 to set up their own projects. However, the current ON2 toolkit 2.0 is not guiding and supporting enough for organizations to use it without any external support.
The aim of this graduation project is to create a redesign of the ON2 toolkit 2.0 that supports and guides users throughout the new ON2 trajectory 3.0. Qualitative research is conducted with six healthcare organizations, to map the current ON2 trajectory 2.0, the available support and experiences of participants.
The qualitative research indicates that project teams of different healthcare settings express a preference for compact and visual information, support when orientating and setting up their projects, and a well-structured ON2 toolkit 3.0. Moreover, the willingness of healthcare professionals to provide care to family caregivers plays a crucial role in the successful implementation of ON2.
A desired vision was formulated and used as starting point for the ideation and conceptualization phase. The vision aims at increasing the awareness of healthcare professionals on the added values of ON2 for themselves and making the redesign more accessible, guiding, supportive, inspiring and user-friendly.
The final concept of the ON2 toolkit 3.0 has four main features. Firstly, informing users about the ON2 trajectory 3.0. Secondly, providing the ON2 materials. Thirdly, inspiring users about the ON2 trajectory 3.0 by sharing experiences of participants. Lastly, creating a profile to independently perform the ON2 trajectory 3.0 and set up your own project.
The final concept was tested on the basis of two qualitative interviews and a questionnaire (n=8). The target group was very positive about the concept and experienced the new toolkit as structured, intuitive, clear, user-friendly, visually pleasing, calm and inviting. A roadmap was created for the LUMC to further develop and realize the ON2 toolkit 3.0.
Some limitations in this graduation project are the amount of users that were interviewed and involved in the test sessions, the concept is not tested with all healthcare settings and it was not feasible to test the concept in practice. Therefore, the concept cannot be guaranteed to be effective in every context and for independent use.
It is recommended that the LUMC conducts test pilots with current and new organizations before launching the ON2 toolkit 3.0, and after the launch collects feedback from users to further optimize the toolkit. In addition, the LUMC should make clear agreements with stakeholders about the management and maintenance of the toolkit. Lastly, it is recommended to add content incrementally, starting with the most essential content and adding more content over time to make the toolkit more guiding and supportive.
While existing research offers critical discussions, practical analyses, and technical solutions regarding discrimination, there is a lack of well-defined design principles specifically addressing discrimination challenges in financial lending in the Netherlands. This study aims to bridge the gap by providing a concrete set of design principles that architects can utilize to effectively design non-discriminatory financial lending systems, while also recognizing the potential for policymakers to draw inspiration from these principles and develop universal guidelines or other policies to address this issue more broadly.
This study combined the Design Science Research approach and Principle Based Design to derive design principles for a socio-technical topic like non-discrimination. The study started with identifying the factors contributing to financial discrimination in the lending system through desk research and 13 semi-structured interviews with a wide range of scientific experts and industry professionals. To make the concept of discrimination more applicable in the context of financial lending, the study defined specific dimensions which are differential treatment, differential outcome, unequal access, and lack of transparency. This study then identified and categorized various factors that can contribute to one or more dimensions of discrimination into six domains: regulatory, human interaction, user experience, business, data, and technical. These domains help identify the specific areas in which discrimination can arise within the lending context and how different factors may overlap across multiple domains. This also highlighted the complexity of discrimination, demonstrating that discrimination is not limited to one domain, and addressing a single factor can have cascading effects, either reducing or exacerbating discrimination across other domains.
The study proceeded to identify the challenges encountered in realizing a non-discriminatory financial lending system in the Netherlands. This was accomplished through a combination of semi-structured interviews and literature review, which led to the identification of 13
challenges. Design principles were then developed that could address those challenges in collaboration with seven business and IT architects. These principles were also evaluated in two workshops involving another group of architects. Based on the feedback received, efforts were made to improve the structure and consistency of the principles, resulting in a final set of 11 principles. To enhance clarity, the principles were rearranged and grouped into four categories: culture, governance, process, and models.
The study acknowledges that while the identified design principles can contribute to reducing discrimination in the financial lending system, they do not fully resolve the problem. This is because many discriminatory issues are deeply rooted in society. However, the principles are valuable additions to existing practices and tools, providing a framework for organizations to improve their lending practices. In addition, the involvement of regulatory authorities is essential to address discrimination in the lending system. Without regulatory incentives or oversight, organizations are not motivated to proactively implement such principles or other initiatives aimed at promoting non-discriminatory lending practices. Moreover, the design principles have the potential to be applied in other areas, such as governmental financial allowances, extending their impact beyond the financial lending system.
This graduation project started from a very broad inquiry: how can we help children realize the power they have over what the future will be like, through a museum experience? In other words, how can we invite children to speculate about futures in a way that is empowering for them? These questions were posed by Nieuwe Instituut, the museum for architecture, design and digital culture of Rotterdam; they represent the ultimate goal of the Co-Learning Expo, which is currently in its early stages of development.
This project’s goal was to provide design guidance on how to engage children in speculation about futures through an empowering, transformative museum experience.
In order to do this, literature on futures, children’s development, children’s empowerment and participation in museums was reviewed, as well as case studies of cultural institutions who have co-designed exhibitions or other experiences with children. This allowed for a better understanding of the context that the Co-Learning Expo is seeking to fit into, and to position it as a co-designed participatory exhibition. To gain insight on how participation and futuring are approached in the field of design, several methodologies were looked into, such as Participatory Design, Speculative Design and Context Mapping.
To truly grasp what children’s perceptions and attitudes towards the future are, participatory, generative activities were carried out with them. Additionally, parents and a teacher were interviewed, in order to get adults’ perspectives as well.
The insights gained in the research phase of this project were translated into design requirements for the design of empowering museum experiences for children regarding futures. In order to fit the needs of the final users of this guidance — design agency Opperclaes and the Co-Learning department of Nieuwe Instituut — the format of these requirements was improved through three iterative cycles. The result was Designers of the Future, a flexible toolkit meant to support its users during ideation and evaluation processes for museum experiences — such as the Co-Learning Expo. Through its use, the main challenges to be addressed are brough to the table, in order to truly create an empowering experience for children.
The final toolkit was evaluated with design agency Opperclaes and an expert on co-design with children, with positive results overall. Since this project was meant to be a first incursion into this line on inquiry, recommendations for future research as well as next steps are offered.","Children empowerment; Transformative Experience Design; Co-speculation; Participatory Design; Contextmapping","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:c46b1034-9cc7-450a-a28e-ffc44ed44426","http://resolver.tudelft.nl/uuid:c46b1034-9cc7-450a-a28e-ffc44ed44426","Repack the packaging of fast moving consumer goods","Hietink, Line (TU Delft Industrial Design Engineering)","Magnier, L.B.M. (mentor); Kroon, C.P.J.M. (mentor); Delft University of Technology (degree granting institution)","2023","This research examines the potential for sustainable packaging in the context of supermarket products, focusing on the concepts of reuse and standardisation.
The central question addressed is whether consumers retain positive attitudes towards purchase intention, brand image, perceived quality, aesthetic attractiveness, and perceived environmental friendliness when packaging for fast-moving consumer goods (FMCGs) is standardised to its core function of protection, while marketing elements are transferred to online communication channels. The study uses a wide range of methods, including reading lots of articles, talking to people who know a lot about the topic, analysing data, experiments and in-depth interviews.
The findings reveal positive consumer responses towards the concepts of reuse and standardisation. Although no statistically significant results emerge from the mixed experiment design, the analysis of open-ended questions demonstrates a clear and positive attitude among participants. Consequently, it can be concluded that consumers generally show a favourable and cooperative attitude towards packaging standardisation and reuse, provided that convenience, hygiene and accessibility are prioritised.
This research is of significant relevance due to the substantial volume of plastic waste generated by packaging, evolving legislative frameworks, and the urgent need for environmental changes. It emphasises the necessity for proactive changes and innovative approaches to address sustainability challenges in the packaging industry. By shedding light on consumer perceptions and preferences, this study offers valuable insights for businesses and policymakers seeking to navigate the transition towards more sustainable packaging practices.","Packaging; Standardisation; Reuse; Sustainable","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:33ce91da-df39-4b69-8b52-ec50013dacfa","http://resolver.tudelft.nl/uuid:33ce91da-df39-4b69-8b52-ec50013dacfa","Predicting the Swap Spread with a Dynamic Nelson-Siegel Model: A Novel Approach to Predict the Spread between Two Correlated Interest Rates","Swanenburg, Jade (TU Delft Electrical Engineering, Mathematics and Computer Science)","Kurowicka, D. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis aims to develop a methodology for predicting the swap spread, which is defined as the difference between the German government bond interest rate and the Euribor swap rate. Thus far, the prediction of interest rates is limited to the prediction of a single interest rate. This thesis introduces the simultaneous prediction of the spread between two correlated interest rate curves. The methodology developed in this thesis considers the dependence between the bond rate and the swap rate. The study utilizes a Dynamic Nelson-Siegel (DNS) model, which is extended to incorporate the correlation between these two rates. The simulation studies reveal that the variants simultaneously predicting both the swap and bond rates using a restricted VAR(1) model for factor dynamics outperform the other variants in predicting the swap spread. Another important aspect considered is the stationarity of the latent factors. The simulation studies demonstrate that the stationarity of the empirical DNS factors accurately represents the stationarity of the true DNS factors. This motivates the reformulation of the DNS model into a new variant where the first-order differences of both the swap and bond rate latent factors are modeled by a restricted VAR(1) model.
A case study validates the developed new variant of the DNS model, demonstrating predictions for the swap and bond curves that have an accuracy comparable to the accuracy of the benchmark model. The key advantage of the DNS model over this benchmark model is that the DNS model predicts the swap curve and bond curve over the whole maturity spectrum. The prediction over the whole maturity spectrum is crucial to compute the spread between the two rates, which emphasizes the relevance of the new model presented in this thesis.","Interest Rate Prediction; Swap Spread; Dynamic Nelson-Siegel; Linear Gaussian State Space Model; Kalman Filter","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:873cc936-d799-40c6-97d5-b168012ad090","http://resolver.tudelft.nl/uuid:873cc936-d799-40c6-97d5-b168012ad090","Evaluating the Performance of Multivariate Imputation by Chained Equations (MICE) when Predicting Missing Well-Log Data in Sedimentary Basins","Baez Lozada, Luis Carlos (TU Delft Civil Engineering & Geosciences)","Rongier, G. (mentor); Abels, H.A. (graduation committee); Soleymani Shishvan, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research evaluates the applicability of Multivariate Imputation by Chained Equations (MICE) for estimating missing well-log data across different sedimentary basis. Utilizing various machine learning techniques including XGBoost (XGB), Random Forest (RF), K-Nearest Neighbors (KNR), and Bayesian Ridge (BR), the performance of MICE was tested on three different data sets from distinct geological contexts and preprocessing conditions with minimal user input.
The main results indicate that the performance of MICE varied across different data sets and well-logs, highlighting the complexity of imputing missing data in heterogeneous sedimentary basins. The number of iterations in MICE did not significantly impact the performance of the models, while data quality, pre-processing, and geological complexities played crucial roles. The Force-200 data set, which underwent extensive preprocessing, demonstrated better imputation performance compared to the Montney and Beetaloo data sets. Additionally, XGB often outperformed other algorithms, predicting missing values with different number of iterations.
The main conclusions drawn from this study emphasize the need for more research to minimize user input and to develop more robust and flexible approaches to imputing missing data in well-logs. The study highlights the challenge of determining a single set of hyperparameters optimal for all the well-logs, suggesting the need for more adaptable models or even advanced techniques like deep learning techniques. The research also suggests the importance of refining pre-processing techniques, exploring further combinations of well-logs, and developing cross-validation approaches that effectively replicates real-world scenarios to advance the application and reliability of MICE in data imputation of subsurface data with missing values.
Currently, there are three main methods for analyzing PVE datasets: descriptive statistics, Latent Class Cluster Analysis (LCCA) and choice modelling. A tool that can identify relations between participant features and their preferences can provide additional insights to policy makers and researchers. If machine learning is to be applied to PVEs, it could be used to predict choice task outcomes based on features of the participant. However, the opaque algorithms in machine learning can make it difficult for a human to understand how the results were produced, which can make it difficult to interpret.
The field of Explainable AI has risen as a response to this issue: the aim of explainable AI methods is to give insight in the inner workings of the machine learning algorithm. An example we further research is SHAP (SHapley Additive exPlanations). This thesis focuses on the implementation of SHAP in PVE analysis, under the following research question: ”What additional insights does machine learning with SHAP provide for PVE quantitative analysis compared to conventional methods?”
To answer the research question, a case study is performed by applying the SHAP method to the PVE of the National Programme Regional Energy Strategy (NP RES). A Random Forest machine learning model provided the best fit to the dataset. When these results of SHAP analysis of the random forest model are compared to the results of the LCCA, it is apparent that SHAP provides more insights (26 versus 11). SHAP is able to reveal patterns on a smaller scale than LCCA. The resulting insights are different to the results of the LCCA analysis. Many of the insights from SHAP analysis are not seen in the LCCA.
Overall, it can be concluded that applying SHAP results in new insights that were not found with other methods used on the NP RES PVE case. This study has shown that SHAP can be a relevant tool to gather insights about the PVE data and the differences among participants. It can gather individual effects of demographic variables on the choices participants make. Therefore it can lead to more and refined policy advice to governments.
PVE experiments are still in their infancy. The ability of SHAP to provide additional insights into PVE experiments within this thesis provides an incentive to further use SHAP in PVE experiments, including academic PVEs. SHAP predominantly provides insights into the relation between participant characteristics and their valuation of options in the choice task, allowing for the diversity of groups within the participant population to be directly addressed. This direct address broadens the range of results and does justice to the diversity of our society.
The disadvantage of outsourcing data to a cloud provider, is that organizations are not in control of their own data.
When organizations are not in control of their data, they are subject to privacy risks.
Privacy risks should be avoided, especially when sensitive data such as medical or financial records are involved.
Therefore, organizations protect their data by only outsourcing encrypted data to cloud providers.
However, data analysis on encrypted data is significantly reduced due to computational and communicational overhead.
A commonly used data analysis method, such as k-Nearest Neighbour Search (k-
NNS), is useful when for finding similar records in a database for a given query.
Previous research shows success using k-NNS methods while preserving privacy, by using fully homomorphic encryption.
However, previous solutions required the client to be online and help in the protocol, or make use of non-colluding servers.
Therefore, we introduce our k-NNS protocol, which outsources all the work to the cloud server and the client is not involved in the computation.
Our k-NNS protocol shows success on data sets used to test k-NNS applications, however is significantly slower than solutions which involve the client or non-colluding servers.","Privacy; k-NNS; outsource; cloud","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:1cc97406-ec79-4628-b724-113ea6411209","http://resolver.tudelft.nl/uuid:1cc97406-ec79-4628-b724-113ea6411209","Control of Dynamical Systems via Deep Kernel Learning","Tan, Martin (TU Delft Mechanical, Maritime and Materials Engineering)","Laurenti, L. (mentor); Kok, M. (graduation committee); Sharifi Kolarijani, M.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the field of Systems and Control, optimal control problem-solving for complex systems is a core task. The development of accurate mathematical models to represent these systems’ dynamics is often difficult. This complexity comes from potential uncertainties, complex non-linearities, or unknown factors that might affect the system. Because of these challenges, there is a need for methods that can understand the dynamics using available data and control strategies that can work with such models without relying too much on expert knowledge or task-specific insights. These methods are essential for creating efficient and reliable solutions in a wide variety of applications within the discipline. The need for models that do not require expert knowledge has spurred the interest in applying machine learning methods to control problems. Probabilistic Inference for Learning COntrol (PILCO) is a model-based Reinforcement Learning (RL) algorithm known for its probabilistic approach to model-based RL. By employing Gaussian Process (GP) dynamics models, PILCO integrates uncertainties into its learning process, allowing it to derive control policies from limited data. PILCO’s use of the Squared Exponential (SE) kernel in its GP can restrict the learning capacity. Especially in higher-dimensional spaces, due to the SE kernel’s inherent smoothness assumption that might not capture complex or non-smooth dynamics effectively. The algorithm’s reliance on moment matching for approximating posterior distributions introduces another weakness, which can lead to inaccuracies in non-Gaussian or multi-modal contexts. These shortcomings may limit PILCO’s efficiency and scalability in more complex, higher-dimensional tasks or in situations where the underlying dynamics are not well-captured by the chosen kernel and approximation methods. This thesis introduces Deep Kernel PILCO (DKL PILCO), a novel framework that uses Deep Kernel Learning (DKL) for learning the dynamics, and the Unscented Transform (UT) to propagate the uncertainty. The effectiveness of this approach is demonstrated across various tasks, highlighting the potential of DKL and UT to enhance the scalability and efficiency of model-based RL methods such as PILCO, making it a promising candidate for real-world control applications.","Gaussian Process; Reinforcement Learning; Machine Learning; Control Strategy","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:cc2368df-9c8d-400e-9e0e-273909dbb7f9","http://resolver.tudelft.nl/uuid:cc2368df-9c8d-400e-9e0e-273909dbb7f9","Comparative Analysis of Green Hydrogen Policy Mixes of the EU and the US","Subramanian, Manjula (TU Delft Technology, Policy and Management)","Lukszo, Z. (mentor); Goyal, N. (graduation committee); Bruninx, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Sustainable energy transition is the need of the hour, more so, because of the accelerated effects of climate change. This necessitates rapid, continuous, and persuasive political and technological approaches to enable an ecosystem of green alternatives for countries to support their net-zero ambitions. One such emerging technology approach is green hydrogen, which is hydrogen produced from renewable sources. Green hydrogen is considered as a versatile energy carrier to support transitioning of industries, energy systems and transport, towards sustainability. As such, globally, many countries have increasingly considered green hydrogen as a part of their decarbonisation plans. Today, at least 26 countries have adopted hydrogen policy strategies and supporting policy instruments. However, mere adoption is not enough – a test of policy effectiveness is required to evaluate whether policies will be executed in keeping with their stated objectives, whether the various policy instruments/tools introduced to support overall strategies will be collaborative or may conflict with one another, and whether the policies will address issues systematically or ad hoc. It becomes important to understand whether policy strategies and instruments, the underlying processes, and their characteristics - collectively called a policy mix- could actually support policy goals, objectives, and ambitions of various countries.","Green hydrogen; Sustainable energy transition; policy mixes; comparative analysis; EU; US; Climate change","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:2e5d6795-ebd0-4091-a603-160d90c23e49","http://resolver.tudelft.nl/uuid:2e5d6795-ebd0-4091-a603-160d90c23e49","Labour shortage in the Netherlands after 2021","de Bruin, Douwe (TU Delft Technology, Policy and Management)","Storm, S.T.H. (mentor); Pesch, U. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis investigates the unprecedented labour market tension in the Netherlands post-2021, by analyzing the core drivers behind the labour market. A framework based on labour demand and supply is made in order to study the key drivers of the labour market. Through quantitative analysis it is found that the labour market tension after 2021 is primarily caused by a surge in the demand for labour, which in turn is likely to be caused by four key factors, government spending, more expenditure due to COVID-19 savings, exogenous investments in some sectors and increased net exports. It is advised to policy makers to focus more on tempering the demand for labour, if they desire to loosen up the labour market.","Labor market; Labor shortage; COVID-19; Netherlands","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:62c8df02-e2a7-47a3-9981-b5539f312bec","http://resolver.tudelft.nl/uuid:62c8df02-e2a7-47a3-9981-b5539f312bec","Reduce, Reuse, Recycle: On exploration of solution reuse in VRPTW","Venkataraman, Gautham (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dumančić, S. (mentor); Yorke-Smith, N. (graduation committee); Caesar, H.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Solving routing problems efficiently is instrumental in minimizing operational costs in logistics. These routing problems are hard to solve and often take a lot of time to find a good solution. In this thesis, we present a methodology that tackles the challenge of efficiently solving recurring instances of the Vehicle Routing Problem with Time Windows by recycling solutions. By making more problem-specific assumptions, we introduce a solution recycling approach that can leverage shared solution structures across similar instances. This accelerates the solution-finding process. We implement our methodology in the framework of constraint programming and show that such a methodology is actually useful and is a concept that is yet unexplored in combinatorial optimization.","VRP; Routing; Optimization; VRPTW; Heuristic","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:e26ee508-013f-4f03-88b2-fd3374aed2c8","http://resolver.tudelft.nl/uuid:e26ee508-013f-4f03-88b2-fd3374aed2c8","Comparative analysis of radar sensing waveforms for communications: Proposing time delay between radar bursts for robust parallel sensing and communication applications","van Oostrum, Joost (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yarovoy, Alexander (graduation committee); Krasnov, O.A. (mentor); Litjens, R. (graduation committee); de Wit, Jacco (mentor); van Rossum, Wim (mentor); Delft University of Technology (degree granting institution)","2023","A novel new waveform is compared with two other existing waveforms to create a radar network. In this radar network one primary radar transmits a waveform for sensing and communication and one or multiple radars receive these waveforms. The constraint for the radars is that all radars can build up a radar picture and situation awareness. What also means that the performance of the primary radar does not degrade. This new radar type is called cooperative hitchhiker with communications. In this radar network the main task is sensing, therefore additional communication signals are used to increase the performance of the sensing task. The advantages of parallel sensing and communications are reducing interference, dual use of the scarce electromagnetic (EM) spectrum in a congested EM environment and it is possible in a bistatic configuration to detect a bistatic scattering object.
The three waveforms which are compared are phase coded frequency modulated continuous wave (PC FMCW) linear frequency modulated – minimum shift keying (LFM-MSK) and a new waveform time delay between radar bursts (TDBRB). TDBRB is a simple but effective communication method on top of the sensing waveform and can be used with staggered waveforms. Where the first two waveforms make use of binary phase shift keying (BPSK), TDBRB uses a time modulation with the inserted time delay between two successive radar bursts. To distinguish the two radar bursts the first burst has a linear frequency modulated (LFM) upchirp and the successive burst, after the inserted time delay, has an LFM downchirp. PC FMCW and LFM-MSK data are compared with simulations and an experiment of the TDBRB waveform.
The conclusions are that PC FMCW has the highest data rate, followed by LFM-MSK, and TDBRB has the lowest data rate for line-of-sight connections. The first two make use of the information in one pulse, or FMCW chirp. This results in a lower signal-to-noise ratio (SNR) and is therefore only suited for line-of-sight connections. With a matched filter and coherent integration of the TDBRB signals, this waveform is most suited for non-line-of-sight connections and communication over an object-of-interest at the cost of a lower bit rate. TDBRB has a similar performance for the different Swerling cases as the detection probability of a regular radar. The degradation in performance with the TDBRB waveform is only a fraction of the burst time because the time delay is added to an original radar burst. These results make TDBRB most suited for non-line-of-sight communication and for communications with a low signal-to-noise ratio.
The study is conducted within a socio-technical context, guided by the Design Science Research Methodology (DSRM). This study centers around five sub-research questions distributed across design cycles within DSRM. The preliminary research (including a focus group, semi-structured interviews and expert talks) revealed inefficiencies in communication regarding care around the medication process. The statements gathered during this research phase were validated on a bigger scale by conducting a survey. The statements resulted in a number of requirements, which were formalised and categorised using a System Requirement Structure (SRS) design. The SRS resulted in five main areas: supporting healthcare professional participation, regulating access, enabling clear and concise content, supporting agreements, and supporting patient participation. The low-level requirements of each of these areas were used to construct the platform modules and platform architecture, using a layered approach (showing the business, information and technology layer). The platform architecture was developed through iterative evaluations with domain experts, enhancing quality and detail. The evaluations also resulted in a new perspective on the platform-based information system, rather seeing it as a platform-based ecosystem solution.
The practical contribution of this research lies in two areas. Firstly, the designed platform addresses the need to improve communication within the healthcare domain, offering a communication service that can significantly enhance efficiency and collaboration among healthcare providers and patients. The insights and requirements from various perspectives can also be applied to enhance communication practices in other healthcare lines and countries. Secondly, the platform-based ecosystem design provides valuable insights into the roles, responsibilities, and interactions among different stakeholders, serving as a blueprint for developing similar ecosystems in other domains. The design also aligns with the Communication Platform as a Service (CPaaS) concept, offering the potential for further exploration in other industries.
Additionally, the research contributes to the scientific literature by addressing the research agenda on digital platforms by providing a comprehensive overview of how platform-based ecosystems can be conceptualised and designed in the healthcare sector. The findings can guide further research on feasibility, financial implications, and governance in platform-based ecosystems. The study’s value also extends to other domains, such as education and government, where ISs play a crucial role. Moreover, this study found that the interoperability layers can be applied and adapted within the healthcare context and potentially in other IS ecosystems, providing strong guidance and support for designing platforms and services. The research design demonstrates the relevance and applicability of interoperability layers, and with further experimentation, these layers may have broader applicability across different domains. Overall, the study’s positioning in Design Science Research (DSR) places it as an improvement for communication and an exaptation for the platform-based ecosystem, with potential for reuse and further research in various domains and contexts. The academic contribution also entails identifying knowledge gaps that must be explored in the future: the next steps.
Several areas need further research to enhance the development of the platform-based ecosystem and communication service. Firstly, understanding the patient’s perspective through focus groups or interviews can provide valuable insights into communication preferences and platforms. Secondly, detailed research on communication variety in healthcare and specifying platform content and user details will ensure successful implementation. Thirdly, following the Architecture Development Method (ADM) cycle can optimise the business, information, and technology architecture, cost-sharing decisions and stakeholder governance. Additionally, exploring governance frameworks like the Institutional Analysis and Development (IAD) framework will facilitate feasible implementation. Lastly, developing new design principles and guidelines for digital platform (ecosystem) design will better support the platform-based ecosystem’s growth and refinement.","Information Systems; Platform Architecture; Design Science Research Methodology; Interoperability; Requirements Engineering; Platform-Based Ecosystem","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:b1e90b62-b66b-4b86-aaa4-5f7913f67b92","http://resolver.tudelft.nl/uuid:b1e90b62-b66b-4b86-aaa4-5f7913f67b92","Reducing waste and carbon emissions of single-use medical devices by reprocessing auto-injectors: Reprocessing the YpsoMate 2.25 ml auto-injector","Osorio Escobar, Mariana (TU Delft Industrial Design Engineering; Alliance to Zero)","Diehl, J.C. (mentor); Hoveling, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","Single-use auto-injectors have been around for more than 40 years, serving as a safe and comfortable way of self-injecting drugs through the intramuscular route. But, as much as their design has improved the injection experience, their existence poses a threat to the environment. Like many other single-use medical devices, their production and disposal generate a great amount of waste and carbon emissions (Benedettini, 2022). This Master Thesis represents the joint effort of both Alliance to Zero and Delft University of Technology to transition current single-use auto-injectors into a circular model. The approach involves exploring one of the Circular Economy strategies - Reprocessing - and adapting it to the life cycle of the YpsoMate 2.25 ml auto-injector. By proving if the YpsoMate 2.25 ml can be reprocessed, a possibility for reusing other single-use auto-injectors opens up.","circular economy; Reprocessing; Healthcare; medical devices","en","master thesis","","","","","","","","2025-08-24","","","","Integrated Product Design","Approaching single use autoinjectors into circularity",""
"uuid:bd93e276-c8a4-418c-8c8a-fac613582409","http://resolver.tudelft.nl/uuid:bd93e276-c8a4-418c-8c8a-fac613582409","Electrical Impedance Measurements in the Gastrointestinal Tract: Cancerous or Non-Cancerous?","Remmerswaal, Evan (TU Delft Mechanical, Maritime and Materials Engineering)","Dankelman, J. (mentor); Hendriks, B.H.W. (graduation committee); Dekker, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Objective: This work focuses on the feasibility of using Electrical Impedance Spectroscopy (EIS) to differentiate between cancerous and healthy tissues in real-time alongside the GI tract. This is to see whether it is possible to support surgeons when taking biopsies, or to see if it is possible to make biopsies obsolete with EIS. Methods: The study is limited to three tissue types representing the GI tract. These tissues are the esophagus, ileum, and colon tissue. Impedance measurements are taken with a 4-electrode probe on a Hewlett Packard 4192A LF HP Impedance Analyzer. All measurements are taken over a frequency range of 1 kHz to 7 MHz in 300 steps. Seven patients are included: three esophagus, two
leum, and two colon. Forty-eight ex vivo measurements are taken; 32 are on healthy tissue and 16 on cancerous tissue. Almost all measurements are verified by histological assessment (golden standard). In this work, a combination of parameterization with a classification method is made to create a classification strategy. These can be listed as the Cole impedance model, the two-pole Cole impedance model, the two-pole Cole impedance model in combination with a
Constant Phase Element (CPE), and PCA. The Cole impedance models are combined with thresholding, and the PCA is combined with a SVM. Results: The thresholding algorithm is created in combination with the α2 parameter (P < 0.05) from the two-pole Cole impedance model in combination with a CPE. The thresholding value is determined in a LOOCV approach via ROC curves created to search for the threshold that gives the most significant summation of sensitivity and specificity. In the combination of PCA and SVM, the PCA uses three principal components (containing 96.37% of the total variance), and the SVM applies an Radial Basis Function (RBF) kernel. For the 2-pole Cole impedance model with CPE
in combination with thresholding, we find an accuracy 0.5208, sensitivity 0.4375, specificity 0.5625, Positive Predictive Value (PPV) 0.3333, Negative Predictive Value (NPV) 0.6666, and Mathews Correlation Coefficient (MCC) 0.0000. For the PCA in combination with the SVM, we find an accuracy of 0.4167, a sensitivity of 0.0000, specificity of 0.6250, PPV of 0.0000, NPV of 0.5556, and MCC -0.4082. Conclusion: It is concluded that we cannot create an algorithm that either supports surgeons or replaces a biopsy in the GI tract with the current setup. This is highly likely due to the extremely low amount of data that is included to train
the algorithm.","electrical impedance spectroscopy; cancer; biopsy; Support Tool; Gastrointestinal; Support Vector Machine; principal component analysis; Parameterization; Threshold; Thresholding; Impedance analysis; Impedance; conductivity; Permittivity","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:371088fe-cec2-47fa-8b4c-f61e95f29b62","http://resolver.tudelft.nl/uuid:371088fe-cec2-47fa-8b4c-f61e95f29b62","Added value of Choice Models and Discrete Choice Experiments for future pandemic policy: (Dis)-advantages of Mixed Logit and Latent Class models for analyzing (un)-labeled Discrete Choice Experiments that weigh societal impacts of COVID-19 policy during different pandemic phases","Korthals, Daniel (TU Delft Technology, Policy and Management)","Grossmann, I. (mentor); Mouter, N. (mentor); Delft University of Technology (degree granting institution)","2023","The first registered infection with the COVID-19 virus occurred in China at the end of 2019. The virus spread all over the world, turning a single infection into a pandemic. Most countries implemented policies to limit the spread of the virus. In general, these policies can be divided into pharmaceutical and non-pharmaceutical interventions. Vaccines are pharmaceutical interventions. Examples of nonpharmaceutical interventions are temporary closure of restaurants and bars or a limit to the number of people that are allowed to gather for social events. Although, these non-pharmaceutical interventions were effective in slowing down the spread of the COVID-19 virus, they also severely affected people’s social and economic life. For example, freelancers who worked in restaurants and bars lost their income and students experienced a decrease in mental health due to the lack of social engagement. These societal impacts of COVID-19 policy gradually reduced the public support and adherence to these COVID-19 measures over the course of different pandemic waves. Measuring how people weigh the societal impacts of COVID-19 policy during these waves, provides interesting insights that can improve the effectiveness of pandemic policies for a future pandemic. A way to measure how people weigh the societal impacts of COVID-19 policy during different waves of the pandemic is by conducting Discrete Choice Experiments (DCE). These DCEs are often analyzed with Multinomial Logit (MNL) models. The MNL model is able to quantify the relative importance of different societal impacts for the population as a whole. However, there also exist other models, such as the Mixed Logit (ML) model and Latent Class (LC) model, and different types of DCEs, such as the labeled and unlabeled DCE, that have their own advantages and disadvantages in eliciting the relative importance of societal
impacts during different phases of the pandemic. For instance, ML models are able to elicit how preference heterogeneity is distributed among individuals and the LC model is able to divide people into different classes with their own preferences. Also, the labeled DCE is able to measure how people weigh societal impacts when the COVID-19 measures that cause these impacts are explicitly mentioned. Unlabeled DCEs do not explicitly mention these measures, but only weigh the societal impacts.
Over the course of the end of 2022 and the beginning of 2023, the pandemic transitioned into an endemic. Therefore, this study will not only evaluate the advantages and disadvantages of using these models and DCEs during the pandemic, but also during the endemic, by asking the following question: What are the (dis)-advantages of using ML and LC models over MNL models to analyze (un)-labeled DCEs that weigh societal impacts of COVID-19 policy during the pandemic and endemic? The eventual goal of this study is to find out where the added value lies of ML and LC models compared to MNL models and labeled compared to unlabeled DCEs in informing future pandemic policy makers during different pandemic waves and the endemic. To answer the main research question, this study formulated three subresearch questions. The first subresearch question is defined as follows: What are the differences in using ML, LC and MNL models to analyze (un)-labeled DCEs that weigh societal impacts of COVID-19 policy during the pandemic according to the literature? To answer this question, the study conducted a literature review on the results obtained from labeled and unlabeled DCEs with MNL, ML and LC models in different waves of the pandemic. In the second part of the study, the following subresearch question is addressed: What are the differences between the results produced by ML, LC and MNL models obtained from (un)-labeled DCEs that weigh societal impacts of COVID-19 policy during the endemic? This question is answered by conducting a labeled and unlabeled Discrete Choice Experiment during the endemic. The third and last sub research question asks: What are the (dis)-advantages of using ML, LC and MNL models to analyze (un)-labeled DCEs that weigh societal impacts of COVID-19 policy during the pandemic and endemic according to experts? To answer this question, three expert interviews were conducted.
The results of this study show that the main advantage of the ML model is its ability to test for the existence of preference heterogeneity among individuals in a sample. This can help to check the reliability of the estimates produced by the MNL model. The reason for this is that the existence of preference heterogeneity means that the estimates of the MNL model do not adequately represent the majority of the sample, if the heterogeneity is high. Additionally, the existence of preference heterogeneity is a reason for further research into the origins of this heterogeneity. In this case, the LC model is able to estimate different classes with different preferences and characteristics that represent different subgroups in society. Providing such a classification is the main advantage of the LC model. The main disadvantage of the ML model is that it is time consuming to estimate the model.
For the LC model the main disadvantage is its sensitivity to changes in covariates and initial values. With regards to the DCEs, the study shows that the main advantage of the labeled DCE is its ability to measure the effect of COVID-19 measures on how respondents weigh societal impacts. For the unlabeled DCE, the main advantage is its ability to measure how people view different societal impacts without explicitly mentioning the COVID-19 measures that caused these impacts. With regards to the added value of DCEs for informing future pandemic policy in different waves of a pandemic and in an endemic, the study shows that unlabeled DCEs are most suitable to give a baseline estimation of the preference for societal impacts at the beginning of a pandemic. So that, these insights can be taken into consideration when the first pandemic policy package is created. Further, the study shows that labeled DCEs should be applied during and in between pandemic waves to evaluate and adjust implemented pandemic policy. Finally, a labeled DCE can be implemented during the endemic, that follows the pandemic, to evaluate the impact of COVID-19 measures. The insights obtained from this can help to inform a future pandemic. With regards to the added value of the ML and LC model in informing future pandemic policy in different waves of the pandemic and the endemic, the study shows that the ML model can be used to test the reliability of the mean coefficients of important societal impact attributes. This helps to verify if a specific societal impact attribute is a good target for mitigation with generic pandemic policy during pandemic waves. In between pandemic waves, the LC model can be used to elicit the origin of preference heterogeneity among people in the sample for different societal impact attributes. These insights can be used to create customized pandemic policy with increased public support and adherence for when a next pandemic wave hits.
The most important limitation of this research is the lack of unlabeled DCEs from both the pandemic and endemic and the lack of labeled DCEs from the endemic that are included in the literature review. A drawback of the included DCEs is that these experiments do not include exactly the same societal impact attributes and that these experiments are conducted during different waves of the pandemic in different countries. These factors make it difficult to compare the results of the studies. Also, this thesis recommends that future studies conduct further research on the societal impacts of COVID-19 measures with models that are extensions of the models that are used in this study, such as the logit or probit model and the LC model with distributed preference coefficients. Furthermore, it would be valuable to analyze the societal impacts of COVID-19 measures with models outside the domain of choice modeling, such as data driven models. Furthermore, this study emphasizes that a lot of research can be done into how and by whom the insights of this research should be implemented in the pandemic policy decision making process. For instance, questions for future research could be, should the insights of this study function as advice for the creation of pandemic policy or as directive? And does the government or the National Institute of Public Health decide upon this?
In order to test and evaluate the conceptualized framework, it got applied to a case study. The Horizon Europe granted project ALICIA represents the case study environment. ALICIA intends to establish a CE for industrial automotive manufacturing equipment (machinery and robots) within Europe. Since circularity for industrial automotive manufacturing equipment constitutes, especially on this scale, an under-researched field, this research also investigates such an automotive CE approach. Through the framework’s demonstration, significant findings could be obtained. First, even though the framework manifested as effective due to the achieved insights, which are subsequently further expressed, future recommendations for further development regarding the framework's recognized limitations are suggested. Another crucial insight the case study provided emphasizes the major challenges that exist in realizing a CE for automotive production equipment. Those challenges were identified by assessing the ELSA risks of ALICIA and the design points to mitigate such. To realize equipment circularity, detailed data must be shared by companies that often contain sensitive corporational information. Originating from the automotive industry’s competitiveness, such data exchange is hard to realize as it can jeopardize companies’ privacy. To overcome this, it requires collaboration between the companies and clearly defined data-sharing policies to enable such data exchange. Such ideal data exchange should ensure that still, sufficient data is shared to realize equipment circularity but simultaneously does not infringe a corporation’s privacy which could harm their market position by disclosing it to competitors.
Additionally, since the automotive industry is a profit-driven one, socio-ethical dimensions must also be incorporated into such equipment CE from the beginning. Compared to the electric mobility transition, which is another sustainability endeavor of the automotive industry, socio-ethical dilemmas that refer to unethical origins of certain parts (e.g., lithium batteries), such as child labor or farm desiccation, are prevalent. To prevent such or similar dilemmas within the sustainability agenda of an automotive CE, it requires the embedding of socio-ethical considerations that were detected throughout the case study. These considerations contain to ensure, inter alia, an ethical origin of parts used for equipment refurbishment. Otherwise, if these socio-ethical issues are neglected from the start, potential drawbacks might be difficult to remedy during an already ongoing CE implementation. These both outlined challenges with their socio-ethical considerations must be more precisely addressed in the future by relevant experts.
Thus, this research's key findings cannot only be seen as beneficial for the methodology of assessing socio-ethical considerations in CE from the context of RRI but also for the circularity of automotive production equipment. Both results constitute fundamental groundwork for further recommended research in these lacking research fields.","Circular Economy; CE; Responsible Research; RRI; ELSA; automotive manufactur*; automotive equipment","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:1cf84fad-1b3d-4f0c-9538-46a3ca5e76b9","http://resolver.tudelft.nl/uuid:1cf84fad-1b3d-4f0c-9538-46a3ca5e76b9","Towards Coherent and Effective Self-Management during the Post-Diagnosis Stage: Vomo: An application empowering individuals with Pulmonary Fibrosis","Zhang, Ruixuan (TU Delft Industrial Design Engineering)","Goossens, R.H.M. (mentor); Rietjens, J.A.C. (mentor); Jung, Jiwon (mentor); Delft University of Technology (degree granting institution)","2023","Pulmonary Fibrosis (PF) is a rare and incurable interstitial lung disease, which causes lung scarring, leading to an irreversible decline in patients’ lung function and breathing difficulties. In Europe, Pulmonary fibrosis (PF) affects over 400,000 people, and it claims the lives of about 100,000 people each year (EU-IPFF, 2023). In light of the prevailing medical personnel deficit and the stress on healthcare systems, a collaborative synergy between healthcare practitioners and patients becomes indispensable to facilitate the transition of healthcare services from hospital settings to domiciliary environments and to tailor individualized home care.
This endeavor is spearheaded by Erasmus MC, a preeminent specialist center for PF in the Netherlands. In collaboration with the Convergence program and TU Delft, this initiative embarks on exploring the PF patient journey map while develop innovative design solutions. These solutions are aimed at empowering patients to engage in self-management and to enhance their Health-Related Quality of Life (HRQoL).
The overarching methodology of this project adheres to the Enhanced Data-enabled Design (EDED) approach by Jung (2023), which leverages data collected within the community, user, and design contexts and adopts an iterative design process. Firstly, getting inspired by the online patient community data which contains more than 40,000 of patient stories, the initial problem areas that patients have most struggles are defined.
Subsequently, the insights gained in the community context are further examined in the user context. First, the preliminary patient journey map was validated and refined, and the initial problem areas were scoped down through co-creation and interviews with Healthcare Professionals (HP). Secondly, a user behavior study was conducted to uncover PF patients needs in their self-management process by reusing community data and leveraging the Information-Motivation-Behavioral Skills framework. The result of this study addresses the problem of this project, as the primary needs of PF patients to achieve effective and coherent self-management behavior are to acquire information related to five main aspects:
- Understanding and management of medication;
- Understanding and management of oxygen therapy
- Understanding Treatment and medication options
- Lifestyle adjusting to adapt to changes in life
- Symptom monitoring and management
Therefore, the final design goal of this project is defined as: “ How to provide PF patients with required, reliable, and understandable information to support their self-management behavior in their post-diagnosis stage.”
Accordingly, the user needs in information and the design goal collectively establish the foundation design concept: Vomo, a Product-service systems (PSS) to facilitate PF patient self-management in the post-diagnosis stage. The delivery of Vomo is facilitated through an application that is accessible to its end users. The present PSS has undergone a redesign process, drawing inspiration from the preexisting framework of a PSS known as Erasmus MC's IPF-Online. This project presents a comprehensive analysis of the rationale for the redesign of certain functions and proposes strategies for the future implementation of these revised functions inside the existing product.","Pulmonary Fibrosis; Self-management; Medisign; Artificial intelligence (AI)","en","master thesis","","","","","","","","","","","","Design for Interaction | Medisign","","52.0126341,4.3555860."
"uuid:192abe8e-36a2-4b35-887a-eaf26e98b943","http://resolver.tudelft.nl/uuid:192abe8e-36a2-4b35-887a-eaf26e98b943","Energy hubs as the solution to net congestion: A governance and innovation perspective on implementing energy hubs","Kramer, Twan (TU Delft Technology, Policy and Management)","Hoppe, T. (mentor); Kamp, L.M. (graduation committee); Woertman, A. W. (graduation committee); Helbing, A. V. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands is dedicated to countering climate change, and a necessary approach is transitioning to renewable energy and promoting the electrification of the Dutch industry. However, this shift from a centralized to a decentralized energy system has led to grid congestion due to the existing grid structure designed for lower energy demand and centralization. Limited grid capacity requires improvement, but the traditional solution of grid expansion proves difficult, resulting in a rising number of unmet requests for transmission capacity. A swift solution is needed to avoid hampering the energy transition and economic growth.
One proposed solution is the implementation of Energy Hubs (Ehubs) in congested areas, but this concept is relatively new and requires further exploration. This study aims to understand how Ehubs can be integrated into the Dutch energy system from a governance and innovation perspective. The research utilized qualitative methods, including desk research and expert interviews, employing four theoretical frameworks: Multi-Level Perspective (MLP), Strategic Niche Management (SNM), Governance of Change (GoC), and Backcasting.
The MLP framework identified three main influences on Ehubs' development. Positive aspects include their potential to alleviate congestion, while legal uncertainties regarding shared grid capacity and the financial and temporal demands of Ehubs impede progress. The SNM framework revealed that difficulty in initiating pilot projects hindered Ehubs' niche-level development. Stakeholders shared high expectations for Ehubs but lacked empirical confirmation, generating uncertainty. The GoC framework indicated that the concept of change through Ehubs is widely accepted, fostering legitimacy. Instruments were derived from the MLP and SNM frameworks to guide the GoC framework, culminating in a shared vision via Backcasting.
A shared future vision states that by 2030, local Ehubs would ease energy flow and transport capacity exchange, reducing grid congestion and expanding beyond electricity to heat and other energy forms. Established parties are encouraged to initiate Ehubs' development, reaffirming expectations through pilot projects. Overcoming challenges in setting up pilots could draw insights from citizen energy communities, while future research should explore aligning their requirements with Ehubs. Furthermore, the adaptability of GoC and Backcasting frameworks to sustainable transitions should be examined, and a follow-up study could assess evolving expectations and instrument effectiveness.
Recommendations for stakeholders revolve around three main changes in the follow-up agenda:
1. Practical learning through pilot projects should be prioritized.
2. Legal certainty for Ehubs must be established.
3. Ensuring societal benefits from Ehubs requires measures to align them with societal goals.
Stakeholders are encouraged to actively contribute to implementing these changes, shaping Ehubs' future impact effectively. This iterative process ensures ongoing adaptability and enhancement.","Energy hub; Governance of Change; Strategic Niche Management; Multi-Level Perspective; Backcasting","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:e4c716e3-a26f-4a95-8617-dec3ace24ebb","http://resolver.tudelft.nl/uuid:e4c716e3-a26f-4a95-8617-dec3ace24ebb","Finite Element Simulation of Light and Correlation Propagation in Tissue: A finite element model simulation of diffuse optics in static and dynamic conditions","GUO, Wenpei (TU Delft Mechanical, Maritime and Materials Engineering)","Bhattacharya, N. (mentor); Iskander-Rizk, Sophinese (graduation committee); Zhang, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","The investigation of the interaction between lasers and brain tissue holds significant theoretical and practical significance in the field of brain physiology studies. A two-dimensional finite element-based simulation model was constructed using the commercial finite element simulation software COMSOL Multiphysics to investigate the propagation of light and photon correlation in tissues. The simulations included static and dynamic conditions of light propagation in tissue. In both cases this diffuse light propagation itself was studied along with photon correlation using the solution of the diffusion equation. Subsequently, the obtained simulation results are assessed and summarized by considering the outcomes of data fitting. The findings indicate that the accuracy of simulating light propagation is higher for larger sizes, although satisfactory results can be achieved for correlation propagation simulations in the small size range. The simulation results remain unaffected by the refinement of the mesh, provided that the fundamental criteria for mesh size are satisfied.","","en","master thesis","","","","","","","","2024-12-31","","","","Mechanical Engineering","",""
"uuid:a2605d9a-630a-417f-a80a-85148d5e0a34","http://resolver.tudelft.nl/uuid:a2605d9a-630a-417f-a80a-85148d5e0a34","Understanding farmers’ micro irrigation adoption behavior: a case study in Maharashtra, India","Šaponjić, Anja (TU Delft Civil Engineering & Geosciences)","Pande, S. (mentor); Mostert, E. (graduation committee); Scholten, L. (graduation committee); Adla, Soham (graduation committee); Delft University of Technology (degree granting institution)","2023","India, a predominantly rural country, relies on agriculture, with smallholder farmers owning a small portion of cultivable land. Maharashtra, a major cotton-growing region, faces water scarcity and drought events, leading to low crop yields and farmer indebtedness, followed by high suicide rates. Micro irrigation, such as drip and sprinkler systems, can improve water use productivity and mitigate the impacts of climate change on farmers’ incomes and thus livelihoods.
Psychosocial factors play a crucial role in farmers’ decisions to adopt irrigation technologies. Incorporating both contextual and psychosocial factors provides deeper insights into the adoption processes of various irrigation systems. The RANAS model has been recognized for behavior change strategies in developing countries and was applied in this research. It integrates psychosocial factors and is used to examine the impact of psychosocial factors on behavioral outcomes.
Regression analysis was performed on survey data in order to identify the factors that are assumed to have the ability to explain irrigation and micro irrigation adoption behavior. Four models were created, each focusing on a specific irrigation system: overall uptake, furrow irrigation, sprinkler irrigation, and drip irrigation. This approach allowed for a deeper understanding of the influential factors for different irrigation systems and avoided the generalization of the results. In an attempt to broaden the understanding that can be obtained from regression results, qualitative, open-ended field interviews were conducted with farmers and key informants. The field insights revealed how the local context dynamics influence the factors that have a predictive capability on the adoption of micro irrigation systems.
Farmers’ adoption of drip irrigation systems is influenced primarily by their confidence in financial capabilities and technical skills. However, the adoption of sprinkler irrigation systems is more complex. In addition to financial and technical considerations, farmers also consider factors such as easy access to water sources, concerns about future water availability, and descriptive norms, i.e. the actions other farmers take. This indicates that the barrier to adopting drip systems is mainly financial, while the adoption of sprinkler systems also involves to a certain extent normative influence and water-related concerns.
The RANAS method is effective in identifying predictive variables for micro irrigation adoption by breaking down the complex problem into manageable components. However, it has limitations. It overlooks the dynamic nature of the adoption process and fails to consider the significance of factors at different stages of behavior change. It also underestimates the role of economic and institutional constraints, which can influence farmers’ investment capacities and perceptions. Additionally, the methods reliance on the design of survey questions may introduce bias and affect the reliability of the interpreted empirical data.
Field insights highlight the significance of context-specific factors and the integration of economic capacities in farmers' adoption decisions. Economic stability is crucial for implementing micro irrigation systems, and financially vulnerable farmers may be risk-averse toward new technology. Community support and reduced risk perception can facilitate adoption. Examining profit margins and market prices provides a better understanding of adoption than just income. Considering practical aspects such as crop suitability and awareness of climate change and market dynamics further explain adoption choices. Trust-building is essential to enhance farmers' willingness to adopt irrigation systems.","","en","master thesis","","","","","","","","","","","","Water Management","'Water efficiency in sustainable cotton-based production systems in Maharashtra, India'",""
"uuid:8c94e002-06fe-47c8-bed5-3c22b29cb5cd","http://resolver.tudelft.nl/uuid:8c94e002-06fe-47c8-bed5-3c22b29cb5cd","A Co-Design Tool for Medical Product Development","Pobuda, Alex (TU Delft Industrial Design Engineering)","Albayrak, A. (mentor); Diehl, J.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","The client of this graduation project, Dune Innovation, aims to facilitate and execute a structured design process for medical device development. This is a complex task most notably during the early ‘discover’ phase, or fuzzy front end. In medical device development, the first stages of the design process are crucial in ensuring the adoption chances of a new product. During the discover phase, the focus is to gather design inputs or requirements to reduce the uncertainty and risk in future project development. By logic, Dune Innovation does not have sufficient knowledge on all design criteria to solve these uncertainties. Therefore, the company seeks interaction with stakeholders to find unknown design inputs that can help to make progress. To do so, the intention is to organize meetings or interviews with these stakeholders. Unfortunately, this is no easy task. Stakeholders in the medical field often are short on time and don’t prioritize collaboration with Dune Innovation. Even if they manage to make time, standard interview methods are suboptimal for creativity and exploration. This struggle leads to ongoing uncertainties and longer lead times in projects.
This thesis project delivers a new approach to interview settings during the discover phase of medical device development for Dune Innovation. This is achieved by developing a tool which embraces co-design strategies during stakeholder interview settings. By providing transparency on the clients’ design process towards the interviewee, the desired effect is to increase engagement, improve the quality of discussion and outputs. The goal of the session is to generate new design inputs together with the interviewee that are relevant for the projects design process. The concept of the co-design tool is based on these issues and aims to enable a structured co-design session between a facilitator and a stakeholder in a physical interview setting. The tool offers a functionality that should help in reaching a shared understanding between both parties, as a foundation for the following co-design sessions. The tool should engage participants to actively contribute their expertise, insights, and ideas during a 90-minute interview session, with the goal to generate new inputs for the project. The printable design of the tool encourages the use of pens and post-it’s to spark design thinking and creativity.
Validation rounds showed a great potential of the tool. All participants shared their enthusiasm after concluding a session. It showed that during use of the tool participants were engaged for the entire length of the session. Both the facilitators and interviewees had enjoyable experiences. The validation rounds proved that shared understanding could be reached within 15 minutes. Validation also showed that the tool encourages sketching, writing, and unexpected discussion, which led to new design inputs for the client. Although more testing Is necessary, the concept shows great value for future implementation.
A methodological blend of comprehensive literature review and a detailed case study at AF-KLM was employed. The literature review revealed established technology adoption frameworks while also highlighting potential gaps, particularly concerning the airline industry's unique context. The case study at AF-KLM provided empirical depth, identifying various adoption barriers and potential strategies to overcome them.
Key findings underscored the importance of strategic alignment, managerial commitment, user acceptance, and continuous assessment in the VR adoption process. Based on these insights, a tailored technology adoption framework was developed, offering a roadmap for entities in their VR adoption journey. While the framework was specifically crafted for AF-KLM, its principles hold broader applicability.
This research contributes significantly to the academic understanding of technology adoption in specialized industry contexts. Practically, it offers a strategic tool for organizations, ensuring that VR adoption translates to tangible benefits. As the technological landscape continues to evolve, this research serves as both a reflection on VR's current adoption challenges and a guide for future endeavors.","Virtual reality; Technology adoption; Barriers; Strategies; innovation; airline industry; Air France-KLM","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:dce4314c-b77b-47bd-8722-95cbe97d37b2","http://resolver.tudelft.nl/uuid:dce4314c-b77b-47bd-8722-95cbe97d37b2","Ritualia: a toolkit for multi-cultural food ritual observations, analysis and idea generation","Germanò, Gaia (TU Delft Industrial Design Engineering)","Love, J.S. (mentor); Huisman, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","The present research delves into the social aspects of food, commensality, and food rituals. It investigates the interplay between embodied gestures, artifacts, and language in shaping food cultures. To do this, the study delves into the acculturation of dietary habits within two multicultural communities in the Netherlands, mixed households of Turkish-Dutch and Dutch-Surinamese individuals.
Recent years have seen a shift in food studies towards recognizing food as a cultural practice embedded in embodied experiences, social interactions, and language usage. This exploration extends to food rituals, reflecting and reproducing social norms and values, while language in the culinary world serves as a dynamic space for intercultural communication and identity negotiation. The complexity of multicultural contexts poses a challenge for designers aiming to develop inclusive solutions that resonate with diverse cultural perspectives. Context mapping techniques have emerged to address this, offering designers insights into users' contexts, including their cultural and linguistic dimensions. However, ethical considerations and the need for a robust framework for ritual observations demand reliable tools.
To this end, the Ritualia toolkit was developed. It provides a systematic approach to observing and analyzing rituals, guiding designers in understanding the material world with artifacts, habitual actions and gestures, roles played by diners, and the language they use in food contexts. This toolkit equips designers and practitioners with the means to navigate the intricacies of diverse cultural settings, fostering culturally sensitive design solutions.
By relying on solid anthropological and cultural frameworks, these toolkit contributes to bridging the disciplines of interest for gaining a deeper understanding of cultural practices and values. Applying an interdisciplinary approach allows designers to engage with diverse cultural contexts, crafting concepts contextually tailored.
As food studies progress, this study contributes to a deeper comprehension of the complex interplay among food, culture, and societal dynamics in the modern world, hoping to spark new research and design initiatives that dive deeper into this aspect of human existence.
What is the added value for policy-making of including the qualitative arguments from a Participatory Value Evaluation alongside the quantitative data in the Latent Class Cluster Analysis?
The Participatory Value Evaluation of the National Environmental Program (NMP) has been used as the case for this research. The qualitative arguments were coded with Qualitative Content Analysis (QCA) to include them in the LCCA.
It can be concluded that in the case of the NMP PVE, there was added value in including qualitative arguments in the LCCA. Not in the way of adjusting policies but to adjust governmental communication strategies accordingly. Additionally, because the complexity of citizens' opinions is preserved and communicated to policy-makers, this can lead to better policies that are more responsive to citizens' needs and concerns. Finally, citizens may feel more recognised and heard when the qualitative data is analysed comprehensively.\\
Next to this societal impact, this research also has an academic impact. It has never been tried to include the qualitative data of a PVE in an LCCA. This research has answered the curiosity of PVE researchers to analyse qualitative arguments more thoroughly. From a broader perspective, there was a lack of literature on a method to include qualitative data in an LCCA and an assessment of its added value. This study revealed that a qualitative LCCA model can serve as an additional validation step of the quantitative LCCA model.
The following recommendations are proposed to further optimise this new method: 1) Explore ways to standardise the QCA method, 2) Investigate the possibilities of automated QCA methods to save time, 3) Test the qualitative method on multiple cases and 4) Explore how governmental communication strategies can be tailored based on qualitative data to reach different subgroups effectively.
Our findings revealed that the fines fraction significantly influenced wall friction at a fines content of just 10%. The fines could increase or decrease the wall friction angle depending on the material. Additionally, the fines content adversely affected the flowability, with flowability reaching the flowability of the fines fraction at 30% fines content. Mixtures of RDF with waste or fresh wood pellets showed consistent wall friction and flowability similar to the base materials. We observed that a higher angle of repose, angle of tilt, and Hausner ratio indicated lower flowability. However, their predictive accuracy was limited, and we do not recommend relying on them for hopper design.
Contextual research has pointed out that the current bicycle helmet market is oversaturated and has very little differentiation between products. Up to now, marketers and designers have been trying to sell bicycle helmets by emphasizing their functional product attributes which are primarily safety-related. However, research showed that safety is not an effective attribute to communicate to Dutch consumers. Instead, marketers and designers should focus on communicating the symbolical attributes of bicycle helmets and express/cater to the user's lifestyles. Using these guidelines, the Fabriqué helmet concept was designed for a target group called Bikes&Blazers. This target group consists of Dutch formally dressed urban cyclists that often ride E-bikes. The Fabrique helmet is influenced by several of the target group's fashion values and combines these into its visual design. This results in a helmet that fits the target groups' formal outfits and caters to their lifestyles. Lastly, several recommendations are given for how to market the Fabriqué helmet.","Bicycle helmet; Urban mobility; Cycling; Strategic Product Design","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:257d0128-7c58-4b78-93cc-e9c1a0576281","http://resolver.tudelft.nl/uuid:257d0128-7c58-4b78-93cc-e9c1a0576281","qRV32: RISC-V 32-bit instruction set extension to address the control of diamond qubits","Costantini, Jacopo (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Quantum & Computer Engineering)","Wong, J.S.S.M. (mentor); Delft University of Technology (degree granting institution)","2023","RISC-V is an open-source Instruction Set Architecture that offers a simple, modular, and scalable design. Its extensions allow for customization and optimization based on specific execution workloads. One of these workloads could be quantum computing, which exploits the concepts of superposition and entanglement to manipulate qubits and perform computations that would be infeasible for classical computers. The customization offered by RISC-V presents a remarkable opportunity to develop specialized architectures that can efficiently address the execution of quantum algorithms, bridging the gap between classical and quantum computation.
In this thesis work, a RISC-V 32-bit instruction set extension called qRV32 is developed to address the control of diamond qubits, based on an existing QISA. The architecture defines the encoding syntax for the machine-level instructions and the exchange protocol for control and data in the system. Accordingly to this specification, the hardware of a control core processing the ISE has been designed. Custom functional units and necessary peripherals have been added to the base core CV32E40P in order to implement the desired control functionalities. The thesis also proposed additional work to ease the complete design and functionality of the system. In particular, an assembler targeting qRV32 has been developed, enabling the automated translation of assembly instructions to machine-level code. Furthermore, an experimental model is developed to evaluate the parallelism of the system.
The resulting architecture is eventually tested and evaluated. Software simulations are used to test the functionality of the control core and the custom components. Eventually, a simplified version of the model is used to estimate the parallelism of the core, which can control 23605 network nodes when operating at fclk = 55MHz.","RISC-V; QUANTUM; ISA; ISA extensions","en","master thesis","","","","","","","","","","","","Computer Engineering","",""
"uuid:c1643ecd-5288-4990-aba7-32bd346bbef5","http://resolver.tudelft.nl/uuid:c1643ecd-5288-4990-aba7-32bd346bbef5","4D Printed Soft Magnetic Memory Materials: Automating the printing of soft magnetic memory materials and inspiring creative applications","Soni, Jayneel (TU Delft Industrial Design Engineering)","Ghodrat, S. (mentor); Huisman, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Magnetic shape memory materials are special types of materials that can change their shape in the presence of a magnetic field, this happens because of how the incorporated magnetic micro or nano particles arrange themselves. Magnetic shape memory materials have many exciting applications, especially in the fields of robotics and devices that move or change shape. They can be used to create smart devices that can move in a controlled way, like small robots or machines used in medical procedures. These materials are also useful for sensing and responding to changes in their environment. This project has already seen development at the TU Delft in the Department of Industrial Design Engineering in the form of the 2 graduation projects of Sanne van Vilsteren and Kevin van der Lans. In this project, the development of the 4D printer setup was overhauled from the previous setup and redesigned to improve upon the various shortcomings of the previous set up. The ink was also experimented with to some extent, testing parameters such as its viscosity and reaction to external magnetic fields. In addition to this, multiple concepts were ideated, and designed through, to create a set of demonstrators in various fields such as haptics, biomimicry and simple mechanisms to show the various functionalities that can be achieved by this printer.","4D Printing; Shape memory materials; Magnetic Soft Materials","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:69704b5c-8f39-4e37-9f24-e2beb302e55b","http://resolver.tudelft.nl/uuid:69704b5c-8f39-4e37-9f24-e2beb302e55b","Semi-Personalised Earphones: scan for comfort","van Es, Ruben (TU Delft Industrial Design Engineering)","Huysmans, T. (mentor); Delle Monache, S. (mentor); Haartsen, Jaap C. (mentor); Delft University of Technology (degree granting institution)","2023","Current earphone designs follow a universal approach, which might fit average body shapes comfortably but lead to discomfort for others. Leveraging technologies like AI, simulations, and digital models enables efficient creation of personalized products at scale (Sony, 2018). With the development of new AM techniques, printing options are becoming faster and the materials more versatile. Techniques for printing flexible materials, such as silicones and printing multiple materials within the same print (Rossing et al., 2020), allow a larger scale of design properties, increasing the possibilities for which products will be fit for mass customisation.
When people customise or personalise a product, they intensify their emotional connections to the product (Mugge et al., 2009). Involving customers in the creation of their earphones leads them to be more emotionally invested in the product.
To create a personalised product, it is essential to obtain data of the individual body part as everyone is unique. For this project, the customer should be able to scan their ears by themselves at home. To evaluate which scanning methods best represent the shape of the ear while being easy to use, the 3D scanning methods and the physical representations of those scans are validated. Through tests it is determined that the Truedepth scanner provides the best results for the envisioned use case of scanning at home.
Customers perform multiple activities per day with which they would prefer to use their earphone. By designing for extreme use cases (dancing with lots of head movements and long consecutive use of the earphones), the design is expected to perform well in other use cases as well.
Since earphone tips provide the main point of retention in the ear, they typically are the cause of irritation among users. To increase the level of comfort, the pressure should be equally distributed to parts of the concha.
The concept Seal is based on the Truedepth scan data of the concha. Seal distributes the retention force across the concha, rather than providing retention in the auditory canal. The part that fits in the cymba concha is made of flexible material, providing a softer touch and therefore more comfort. The seal creates a sealing effect at the entrance of the auditor canal using a flexible collar. Therefore, it does not need to enter the auditory canal which means that its audio canal can remain short. The advantage of this is that the seal fabricates as little extra geometry as possible.
The prototypes show that it is possible to design earphones based on scanned data that are gathered by a smartphone or tablet. This provides the customer with new listening experiences. However, the success of the concept partly depends on the availability and the quality of scanners in smartphones in the future.
This thesis assesses the different possible three-phase DC-AC converter topologies for a transformerless interface between a bipolar DC microgrid and the LV AC grid. The DC-AC converter modulation is explored to understand the challenges of ground leakage current and determine the feasibility of a transformerless interface. A detailed analysis of the common-mode voltage, common-mode impedance and resulting circulating currents in a grid-tied DC-AC converter is performed. The effect of this circulating current on AC grid protection equipment is considered.
A survey of converter modulation methods for common-mode reduction and DC bus voltage balancing is conducted. Simulations are used to verify the performance of the different modulation methods in terms of common-mode reduction and DC balancing capabilities in the bipolar DC grid. A new modulation method is proposed for simultaneously mitigating ground leakage current and providing DC voltage balancing. The limitations of this method are analyzed and simulations are performed to evaluate its effectiveness. The developed modulation method is also shown to eliminate the low-frequency voltage ripple in the DC bus caused by the conventional modulation methods. An experimental hardware prototype DC-AC converter is designed and built for testing the different modulation methods. Finally, recommendations for future work are made.
The objective of this research was to identify the main physical phenomena that govern the forces in jack-up legs during installation on a stiff seabed, and to understand how these physical factors and a specific leg modification could influence them. This research followed a systematic approach to address the research objective, comprising a literature study on impact mechanics, model development for axial impact forces, model validation, a sensitivity study, and an analysis of the leg modification. Insights from the literature study guided the development of the model to analyse the governing forces. Validation was performed by using field data from the Aeolus, specifically oil pressure readings from the vertical jacking cylinders and vessel motion measurements.
The research found that what governs the forces in jack-up legs during installation on a stiff seabed depends on the energy contained within the system prior to impact and how this energy is absorbed by the soil and structure. Key factors include wave-induced vessel motions, wave height and period, hull characteristics, vessel's inertia, jacking velocity, and the leg length below the hull. The energy absorbed by the soil and structure depends on their stiffness characteristics and behaviour, which primarily concluded that axial forces dominate over lateral forces during impacts on a stiff seabed. However, lateral forces should be considered in deeper waters or where seabed protrusions are anticipated.
The sensitivity analysis indicated that the vessel mass, initial velocity, and normal soil stiffness significantly influence the impact force, with the initial velocity predominantly influencing the impact force, and the overall system stiffness dictating the impact duration. By introducing the leg modification, the impact force was reduced by approximately 70-75%, along with an increased impact duration of 320-370%. Moreover, it was found that the impact force and duration are interconnected rather than separate occurrences. The leg modification also reduced the influence of soil stiffness variability. Furthermore, for a more realistic representation, incorporating the nonlinear behaviour of soil load-deformation and leg modification characteristics is needed, but this would increase the computational demand. Consequently, this suggests the need for advanced solutions and an engineering assessment to balance desired accuracy against computational complexity.
Methods: In a dataset of OPSCC patients (n=59), we extracted features from three categories: clinical variables; histogram parameters from diffusion weighted imaging (DWI)-MRI model; radiomics based on T2-weighted and DWI-MRI. We performed ten-times repeated stratified five-fold cross-validation and divided each outer training set (80%) into an inner training set (80% of outer training set) and validation set (20% of outer training set) using five-fold stratified cross-validation. We performed three types of feature selection methods (LASSO, statistical analysis and manual selection), tuned and trained seven classifiers (logistic regression, k-nearest-neighbours, naive bayes, random forest, support vector machine, XGBoost and LightGBM) to find the optimal combination of features, hyperparameters and classifier on each inner training set. We ensembled the inner fold models to fit on the outer training set and tested on the outer test set (20%). We constructed additional models with subsets of the features.
Results: the combined model area under the curve was 0.793±0.136. Models including clinical features outperformed models without clinical features (p<0.001). Features from all feature categories were selected for the combined model.
Conclusion: we were able to predict HPV status in OPSCC patients using multiparametric MRI and clinical variables with reasonable accuracy, though retraining and validating on larger, external datasets is needed before implementation in clinic.","machine learning; radiomics; Magnetic Resonance Imaging; head and neck cancer; diffusion weighted imaging; human papillomavirus; oropharyngeal squamous cell carcinoma","en","master thesis","","","","","","","","","","","","Technical Medicine","",""
"uuid:094f19cc-536e-404a-8d5e-907bb2c0968e","http://resolver.tudelft.nl/uuid:094f19cc-536e-404a-8d5e-907bb2c0968e","Recycling steam condensate for hydrogen production: Producing hydrogen through alkaline water electrolysis using electrolyte that contains ammonia","van As, Alex (TU Delft Civil Engineering & Geosciences)","Spanjers, H. (mentor); van Lier, J.B. (graduation committee); Vermaas, D.A. (graduation committee); van Lier, Roy J. M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Producing green hydrogen can be done using alkaline water electrolysis. Recycling water might help to fulfil the large water demand of hydrogen production facilities. In fertiliser production, steam condensate could be a recyclable water source for hydrogen production. This condensate is relatively clean as its main contaminant is a small concentration of ammonia. This report researches the effect of adding ammonia to the electrolyte of an alkaline water electrolysis cell.
When steam condensate is used instead of ultra-pure water, it is important to find out what happens to the added ammonia and if it affects the production rate and the production efficiency, or if it degrades the equipment. The experiments in this report used three different ammonia concentrations and the electrolyte was made using potassium hydroxide. The electrolysis cell used nickel mesh electrodes and a Selemion™ anion exchange membrane. Each of the experiments were carried out at 2.15 Volts for a duration of 50 minutes.
It was found that adding 1 mmol/L ammonia to the electrolyte decreased the current density of the cell. Doubling the ammonia concentration led to an even larger decrease in current density of up to 19%. A significant effect on the Faraday efficiency was not measured. During the experiments, the ammonia was partially stripped from the electrolyte due to its high alkalinity. Another part was oxidised to produce nitrogen gas and nitrate. Some of the ammonia had not reacted after the 50-minute experiment and could be measured in the spent electrolyte. After all the experiments were carried out, the cell was disassembled. The cathode showed significant signs of degradation. However, the many starts and stops between experiments could be the primary reason for this degradation. The ammonia could have accelerated the degradation, but this was not proven.
Overall, the benefits of using steam condensate do not seem to outweigh the drawbacks. The ammonia caused a significant decrease in current density. On the long term, ammonia might cause electrode poisoning which would further lower the current density. The experiments also found nitrate in the spent electrolyte. Having additional pollutants in both the spent electrolyte and the produced gasses might introduce additional disadvantages to using an ammonia containing electrolyte. If steam condensate is used as a water source, a treatment step is advised. Aeration could be used to strip the ammonia from the electrolyte. To get a more accurate insight into the effects of ammonia on alkaline water electrolysis, additional research is necessary. In future research, the effects of ammonia should be measured more accurately, and the long-term effects should be researched.
The client company for this project was Enrico Food, the brand owner of Bertolli. Bertolli is a key player in the Mediterranean foods category in the Netherlands, Belgium, and Germany. This project aimed to contribute to two of Enrico’s business objectives: a successful launch of the Bertolli pasta sauce product line in the UK and improving the environmental sustainability of the pasta sauce packaging. This thesis resulted in a suitable sustainability strategy and holistically sustainable packaging concepts for the UK launch and beyond.
First, background research into the system surrounding (Bertolli’s) food packaging was conducted via stakeholder interviews and desk research. Literature research into sustainable packaging design strategies, consumer behaviour, and brand sustainability strategy was carried out. A framework integrating various stakeholders’ perspectives was developed to aid in the creation of a suitable and meaningful sustainability strategy for Bertolli. Various tools and methods identified in the literature review were employed to evaluate the current packaging formats and develop new packaging concepts. The strategy and concepts were refined based on internal and external stakeholder feedback, expert feedback, and consumer studies.
This process resulted in the proposal of a comprehensive sustainability strategy that addresses relevant material issues in an authentic way. Concerning packaging design, a revamped design of Bertolli’s pouch pack format was proposed. The pouch was identified as the most suitable pack format for Bertolli to focus its efforts on in the short-term and medium-term due to its current and forecasted environmental performance, ease of implementation, and high consumer acceptance. Various sustainability cues (i.e., naturalistic graphic design style, claims, and sustainability information) were embedded to improve consumer sustainability perception. For the long-term time horizon, two main strategies were proposed: direct-to-consumer models and reusable packaging models. Roadmaps were developed to guide Bertolli in implementing the proposed sustainability strategy and packaging sustainability improvements.
This thesis provides insights into sustainability strategy development, UK consumers’ packaging preferences, and techniques to design and evaluate sustainable packaging concepts.","sustainable packaging; sustainability strategy; Consumer research; Food packaging","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:3a7b0669-73aa-4aea-b01d-ca00401e3433","http://resolver.tudelft.nl/uuid:3a7b0669-73aa-4aea-b01d-ca00401e3433","Optimizing Sensitivity of Capacitive Pressure Sensors for Improved Intraocular Pressure Monitoring: Exploring the Impact of Spiral Shaped Antenna Geometries on Q-Factor and Resonance Frequency Output","Griffith, Kiana (TU Delft Mechanical, Maritime and Materials Engineering)","Muratore, D.G. (mentor); Boutry, C.M. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis analyzes and describes a wearable pressure sensor to detect intraocular pressure and guide clinician diagnosis of glaucoma. Although glaucoma has many
symptoms and risk factors, high intraocular pressure is the most predominant. A method to continuously and accurately record intraocular pressure measurements and fluctuations in a patient could lead to a more reliable glaucoma diagnosis and a better understanding of glaucoma progression. The proposed sensor consists of an ecoflex dielectric layer, between two graphene-silver nanowire spiral antenna electrodes which also act as the membrane structure. The sensor deflection depends on the intraocular pressure fluctuations; higher pressure leads to larger deflection values, therefore, larger capacitance change. The capacitance change leads to a shift of the resonant frequency, which is simulated in this thesis. The sensor must be smaller than 11 mm2
to fit on a commercial lens. Specifically, this thesis analyzes and simulates the effects
of electrode thickness and shape on the overall performance of the sensor. The optimum geometry of the capacitive sensor is analyzed to maximize sensor sensitivity and quality factor, with a correlated frequency appropriate for a wearable lens. Using Computer Simulation Technology, the optimized antenna dimensions are spiral-electrodes with a plate thickness of 350µm, and 3 spiral revolutions; leading to an increase in sensitivity of 1.4 MHz/mmHg.","Intraocular pressure; Wearable Contact Lens Sensor; Spiral antenna","en","master thesis","","","","","","","","2025-08-23","","","","Biomedical Engineering","",""
"uuid:58b36190-73e1-4258-9b57-bba8d1a41ffc","http://resolver.tudelft.nl/uuid:58b36190-73e1-4258-9b57-bba8d1a41ffc","Influence of flanges on the shear capacity of reinforced non-rectangular concrete members without shear reinforcement","Kiliç, Özge (TU Delft Civil Engineering & Geosciences)","Hendriks, M.A.N. (mentor); Yang, Y. (mentor); Roosen, M.A. (mentor); Delft University of Technology (degree granting institution)","2023","This master thesis investigates the influence of flanges on the shear capacity of reinforced non-rectangular members without shear reinforcement. The study adapts the evaluation procedure developed by Yang (2014) for rectangular cross-sections to analyze plates with holes, I-beams and T-beams.","Reinforced Concrete; shear capacity; Non-rectangular cross-sections; influence of flanges","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:49f88587-c44b-46a5-b363-3dc2b6f21865","http://resolver.tudelft.nl/uuid:49f88587-c44b-46a5-b363-3dc2b6f21865","Anomaly Detection in WAAM Deposition of Nickel Alloys: Single-Material and Cross-Material Analysis","Rajesh, Aditya (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Materials Science and Engineering)","Hermans, M.J.M. (mentor); Ya, Wei (graduation committee); Delft University of Technology (degree granting institution)","2023","The current research work investigates the possibility of using machine learning models to deduce the relationship between WAAM (wire arc additive manufacturing) sensor responses and defect presence in the printed part. The work specifically focuses on three materials from the nickel alloy family – Inconel 718, Invar 36 and Inconel 625, and uses three sensor responses (welding voltage, welding current and welding audio) for predictions. A variety of types of prints, including ramp tests, single bead depositions, and walls were explored. Three different machine learning models are used – artificial neural networks (ANNs), K-Means clustering and random forests (RF), and the performances are compared. In addition to separate material analysis, cross-material predictions are conducted using two supervised models to investigate the prediction capabilities of such an approach. The results indicate that models are indeed capable of finding connections between welding parameters and defect formation, and the accuracies range from 60% to 90% and the correlation coefficient is less than 0.5 (indicating weak positive correlation) depending on the model and material. The cross-material predictions are significantly worse, with accuracies ranging from 20% to 27% and very weak correlation coefficients (less than 0.1). Analysis of the results indicates that the importance of audio sensor response depends on the nature of defect, and that additional sensors like spectrometers could give a wider range of information to cover more types of defects, potentially raising the performance of cross-material predictions. Between the models, random forest is found to perform the best overall, with ANNs coming in a close second. The versatility of ANNs indicates that increasing the dataset size and resolving the class imbalance could potentially tip the scales in the favor of ANNs.","Anomaly Detection; Nickel Alloys; GMAW; Wire arc additive manufacturing; Machine Learning","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:c161d380-4f3b-4f4d-8f9b-dee48b764031","http://resolver.tudelft.nl/uuid:c161d380-4f3b-4f4d-8f9b-dee48b764031","Exploring shared understandings of future AI systems through design","Venkat, Shruthi (TU Delft Industrial Design Engineering)","Bendor, R. (mentor); Nicenboim, I. (graduation committee); Hühn, Arief (graduation committee); Delft University of Technology (degree granting institution)","2023","The focus of this project was building shared understandings of future AI systems through design. Based on the literature study on the Explainability of AI, two main gaps were identified: the stakeholder's backgrounds are not accounted for during the design of systems and there is a need for a more holistic approach considering social and technical implications. To address these gaps, the project aimed to move from explainability to building situated understandings that accommodate multiple stakeholders' perspectives and backgrounds.
A criteria for shared understandings was defined based on literature and research: functionality, relatability, situatedness, and expectations. The main design approaches chosen were speculative design and the stack from Freedom Lab. While speculative design, provided immersion and context, the stack facilitated the breakdown and analysis of system layers. A case study on residential shared mobility was chosen as the focus of the project. The stakeholders included a real estate company, municipality officials, a transport provider, and behavioral and technology researchers. The goal was to bring tensions and challenges within the system to the surface and establish a shared understanding among the stakeholders. The project involved seven participants, including direct stakeholders and external individuals, for critical discussions. The participants were interviewed to understand their current understanding, backgrounds, and future visions of the system. Insights from the interviews revealed different interpretations of shared mobility, varying stakeholder priorities, and the challenges related to behavior change and technology implementation.
Four speculative artifacts were designed to surface tensions in the system. These artifacts represented future objects related to shared mobility. The participants interacted with the objects individually, followed by group discussions to explore the implications and challenges. The stack from FreedomLab was used to collect ideas and facilitate group discussions. The sessions aimed to sensitize participants to the case study, encourage their active involvement and gather their perspectives and reflections. Overall, the fostering of shared understandings of future AI systems was sought by the project through the consideration of stakeholder backgrounds, the employment of design methodologies, and the addressing of social and technical implications. The project helped shed light on three aspects. The case study of residential shared mobility, how an ideal system can be achieved, and the role of different stakeholders in this future. Reflection on the process of using speculative design and stack in combination to support each other. Finally insight into shared understandings as an approach to explainability.
t-SNE is a data visualization method that requires repeatedly solving a variant of the n-body problem. A recent paper (An Efficient Dual-Hierarchy t-SNE Minimization, van de Ruit et. al.) proposes a novel algorithm that outperforms other t-SNE minimization methods on medium-scale datasets. The report proves the viability of a dual-traversal method that uses an embedding tree to emit forces and an independent field tree to collect forces. Because the embedding tree is a Linear-BVH and the field tree is an orthtree built to a fixed depth, the overall algorithm has linear complexity.
This thesis demonstrates how the dual-tree approach can be adapted for gravitational n-body simulations. Following this, it measures the performance against similar implementations of other algorithms and shows that while the adapted Dual Hierarchy approach is faster than Barnes-Hut, it is outperformed by the Fast Multipole Method on realistic large-scale cosmological datasets.","n-body; t-SNE; Algorithm Design; Bounding Volume Hierarchy; Linear-BVH; Octree; Numerical Simulation; Treecode","en","master thesis","","","","","","https://github.com/JacksonCampolattaro/n-body Git repository containing an implementation of the adapted Dual Hierarchy algorithm for Gravitational n-body, as well as implementations of several other common algorithms compared against during benchmarking.","","","","","","Computer Engineering","",""
"uuid:b36e5931-97ea-4cd9-960d-1f6cd0241a75","http://resolver.tudelft.nl/uuid:b36e5931-97ea-4cd9-960d-1f6cd0241a75","MERGE: A museum tour game for Van Abbemuseum to support explorative steps towards the cultural merge of Turkish immigrants and Dutch communities of the Netherlands","Ileri, Zeynep (TU Delft Industrial Design Engineering)","Vermeeren, A.P.O.S. (mentor); Hao, C. (graduation committee); Bootsma, Nynke (graduation committee); Liesting, Maaike (graduation committee); Delft University of Technology (degree granting institution)","2023","The increasing ease of mobility is progressively diluting the traditional notion of monolithic national populations confined within single countries, thereby fostering the evolution towards more diverse and multicultural societies. If we look back to the 1960s, there was a significant migration to Europe. Many people from Turkey, Morocco, Algeria, and Tunisia left their home countries to work in factories in Western European countries. This caused the host nations, like the Netherlands, to get confronted with new cultures and behaviors of these immigrants within their own society. Among them, Turkish people made up the largest group of immigrants in the Netherlands. Over time, they've reached their fourth generation in the Netherlands. However, it's worth asking how much the Turkish community, which was initially seen as ""guests,"" is socially connected to and close with the Dutch community, who are considered the ""hosts"". These questions formed the basis of this graduation project.
In contrast to the evolving diversity of society, art museums have consistently drawn a specific demographic: individuals with higher education and income levels. To adapt to the evolving multicultural landscape, these institutions must engage diverse communities and incorporate varied perspectives to foster a sense of inclusive belonging. The Van Abbemuseum, a contemporary art museum in Eindhoven, has made this objective central to its mission. However, while aspiring to be more inclusive of diverse cultures, the challenge lies in rendering existing artworks more pertinent and reaching out to these communities effectively. This connection to the Van Abbemuseum forms the foundation of this project's focus.
Commencing with these two components-two cultural communities of the Netherlands and the Van Abbemuseum- a comprehensive research was conducted where (1) the existing literature was reviewed to assess prior findings on the main components of the project, (2) contextual research was conducted on Van Abbemuseum, (3) user research was conducted on Turkish immigrant and Dutch communities. The insights gleaned from the literature review served as the groundwork for preparing the context and user research. The findings of the next two research was used as inspiration for the design goal and design requirements for the next stages.
After this stage, the design goal was formulated as:
“My design goal, within the context of Van Abbemuseum, is to inspire Dutch and Turkish communities to create a moment of a playful merge of cultures to achieve a sense of belonging in the diverse community of Eindhoven.”
The ideas created during individual and group ideation sessions lead to different concepts which have been tested with the target users and developed further according to the results. At the end of three iterations, the final concept: MERGE was formed.
MERGE is a museum experience for Dutch and Turkish visitors where the users first undergo a digital museum tour which explores the artworks from a cultural perspective, showing reasonings behind different cultural behaviors to understand each other better. Then the concept introduces a common space and interaction cards in the museum cafe which let the users come together and interact in a neutral environment.
According to the final evaluation, the concept performs well in meeting the design goal and the criteria of users & stakeholders. However, it was realized during the final evaluation that setting the goal to making the communities feel belong to the diverse Dutch society was a challenging to reach with a simple museum experience. Thus, further recommendation on improvements on the design, changes in the goals and future activities to conduct has been made by the end of this report.
It is found that in existing literature there is no consensus on which ML models to use and no real extensive comparative studies either. Further, there is no unified approach to training the different RNN models, and only one surveyed study utilises an auto-regressive optimization strategy. This is a major pitfall, since the RNNs are only trained for single-step prediction but tested on multi-step prediction - a clear contradiction in the training and testing objectives. Additionally, no studies have been performed on true Runge-Kutta methods-inspired layering architectures, which show initial promise in increasing prediction horizons at little additional compute cost. As such, an extensive comparative study is performed, pitting long-short term memory networks, gated recurrent unit networks and echo state networks against each other. Further, the effect of RK-inspired layering is tested against a standard single-layer network. The effect of incorporating contractive losses in the auto-encoders is also investigated, in addition to multi-regime autoencoders. Every model is tested on chaotic systems of increasing complexity, namely the Lorenz ’63 system, the Charney-DeVore system, the Kuramoto-Sivashinsky system and the Kolmogorov flow system.
It is observed that the contractive loss does not help in modelling a smoother latent space, and instead leads to the unintended minimization of the norm of the latent space variables. Multi-regime auto-encoders are found to work quite well, with well separated latent spaces reflecting the nature of the dynamics (chaotic/periodic). Auto-regressive training is found to be crucial for increasing the prediction performance of back-prop trained RNNs (provided additional care is taken to limit their gradients during training) while it has little to no effect on the ESNs. Further, an initial skip-layer is found to be beneficial whereas, higher order RK layering architectures provide diminishing to no returns.
However, several Byzantine consensus protocols have been shown to violate liveness properties under certain scenarios. Existing testing methods for checking the liveness of consensus protocols check for time-bounded liveness violations, which generate a large number of false positives.
In this thesis, for the first time, we check the liveness of Byzantine consensus protocols by the temperature and lasso detection methods and precisely detail ad-hoc system state abstractions that should be used to test these algorithms.
We provide a theoretical analysis of the recently-published safety and liveness attacks and whether the existing test method is able to detect them.
To investigate the issues, we focus on the streamlined blockchain consensus, particularly the HotStuff protocol family, which has been recently developed for blockchain consensus. Among these protocols, the HotStuff protocol itself is both safe and live under the partial synchrony assumption, whereas 2-Phase Hotstuff and Sync HotStuff protocols can violate liveness in subtle fault scenarios.
We implemented our liveness checking methods on top of the Twins automated unit test generator to test the HotStuff protocol family and explored the scenarios with message delay.
Our results indicate that our methods successfully detect all known liveness violations and produce fewer false positives than the bounded liveness checks.","Liveness checking; Byzantine Consensus; HotStuff protocols; Twins","en","master thesis","","","","","","","","","","","","Computer Engineering | Distributed Systems","",""
"uuid:3e6b36d2-2e7c-4fa2-b8a6-436b06ff4d86","http://resolver.tudelft.nl/uuid:3e6b36d2-2e7c-4fa2-b8a6-436b06ff4d86","Design of a silicon photonic microphone","Scheepstra, Jasper (TU Delft Mechanical, Maritime and Materials Engineering)","Steeneken, P.G. (mentor); Westerveld, W.J. (mentor); Castro Rodríguez, P. (mentor); Bhattacharya, N. (graduation committee); Norte, R.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","In a world increasingly dominated by technological advancements, the demand for high-quality microphones has never been more present. Seamless speech recognition and the development of userfriendly hearing aids remain significant challenges. State-of-the-art micro-electromechanical system (MEMS) microphones are reaching their bottlenecks in terms of thermo-acoustic noise, caused by the acoustic resistance of the parallel plate capacitor. This constrains the achievable signal-to-noise ratio (SNR). This thesis presents a novel solution to address these challenges through the application of silicon photonics technology in the development of a silicon photonic microphone. Silicon photonics is a technology that uses silicon as an optical medium to create photonic systems with sub-micrometre precision, which can be used to create ultra-sensitive sensing devices. The optical sensors are fabricated on standard silicon-on-insulator (SOI) wafers, allowing for seamless integration with the precise and cost-effective complementary metal-oxide-semiconductor (CMOS) process. The optomechanical
sensitivity of the proposed microphone is derived for three different cladding materials that could be deposited on the wafer in the fabrication process. The thermal acoustic noise of the microphone is quantified. As the integrated photonic circuit does not require a backplate the design potentially reduces the thermal acoustic noise of current microphones by 44 %. The optimized design for the laboratory setup that is considered for this thesis can theoretically result in an SNR of 73.1 dB, which is roughly 5 dB more than the current state-of-the-art microphone technology.
The importance of behavioral characteristics and policy conditions that surround households regarding this transition has not been studied in depth in the documented literature and therefore stands as an opportunity area that this thesis covers. For achieving this, an agent-based model was developed using NetLogo, taking into account the characteristics of the Dutch population, being represented in the form of a sample neighborhood. The technical settings available in the model represent mature technologies that are currently in use in the Netherlands, and that can be used collectively or individually. Complementing and supporting these technologies, the Dutch government has made available a series of policy instruments, namely subsidies and credits, that financially support households in acquiring them. The availability of these subsidies is mainly dependent on the type of household ownership and additional factors, which are included in the model.
A behavioral theory known as the theory of planned behavior was implemented to define the households’ behavior. This theory links three elements, namely attitude, subjective norms, and perceived behavioral control, to the final intention of households to execute an action. Regarding this specific topic, the study found out that the main beliefs that influence attitude are environmental friendliness, awareness of gas-saving measures, energy independence and economic drive. The subjective norms, which relate to social influence, are represented through the concept of belief dynamics, which develops the idea that social connections can shape a household’s set of beliefs. Finally, perceived behavioral control, which is a measure of the apparent facility to execute a certain action, is reflected in four main external elements, namely the availability of subsidies, the municipality efforts, time availability, and financial capability of households.
The results of the model showed that with the current conditions, the Netherlands would be able to achieve a total of 55% of gas-free households by 2050. This, in turn, would represent a reduction of 45% of the current natural gas being used. To evaluate the extent to which behavioral characteristics and policy conditions influence the heat transition, additional scenarios were developed, where random behavioral attributes were assigned. Following the same line, additional scenarios were defined where the number of available subsidies and the amount awarded per subsidy varied, in addition to a scenario with a higher gas price. These scenarios showed that behavioral characteristics are a very relevant factor and can shape the extent to which the heat transition can be achieved. The policy conditions showed an influence, both in the extent of the heat transition and on the uptake of specific technologies. However, the amount awarded per subsidy and the price of gas showed no relevant differences in gas consumption or technology choice.
The results provide insights into the possibilities for policymakers to ensure that this transition is fulfilled. Policies should target the beliefs of society, either by inducing people into them or by reinforcing them. Besides this, technology choice seems to be directly influenced by the number of subsidies available for each specific technology, which could be useful to target specific technologies that might result more promising than others. Finally, considering that varying the amount awarded per subsidy did not generate a substantial difference, there is a possibility to redefine the subsidy schemes and reallocate this financial means to support the tenants, which is currently the group with the most restricted access to subsidies.","Agent-based model; Complex adaptive systems; Heat transition; Netherlands; Socio-technical systems","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:1fd42fc8-475d-437e-bc13-cfc585e840ce","http://resolver.tudelft.nl/uuid:1fd42fc8-475d-437e-bc13-cfc585e840ce","A Maghreb-Iberian Green Hydrogen System: Network Simplex Method to compare design scenarios","Steensma, Tijmen (TU Delft Technology, Policy and Management)","Brazier, F.M. (mentor); Lukszo, Z. (graduation committee); Frowijn, L.S.F. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Green Deal (Fetting, 2020), initiated by the European Commission, aims to reduce greenhouse gas emissions within the EU to limit global warming to 1.5 degrees Celsius compared to pre-industrial levels (International Panel of Climate Change, 2022). The EU focuses on energy that is acceptable, applicable, available, and affordable – referring to sustainability, technological readiness, energy security, and cost-effectiveness. Energy security involves meeting energy needs using domestic sources to avoid reliance on imported energy, which could pose threats to energy security due to the political power of supplying countries (Asia Pacific Energy Research Centre, 2007). A potential proposed by researchers is the Desertec project (Van Wijk & Wouters, 2021).
In this idea the strong solar radiation in African countries is used to supply Europe with hydrogen The Desertec project, despite its promising potential, never materialized. Studies examining the reasons for its non-realization concur that the primary hindrance was not technological limitations but rather the complexities arising from multi-country politics (Schmitt, 2018; Scheer, 2012; Lilliestam & Ellenbeck, 2011). Scheer (2012) aptly described the plan as ""practically impossible for obvious political, economic, and sociological reasons"" (Schmitt, 2018). He emphasized that coordinating an energy system involving over forty different goverments, each with their own energy grids and territories for power transmission, inevitably led to unrealistic expectations.
In response, this research presents a system that addresses key barriers that impeded the Desertec project's success. By focusing on a specific geographical area with fewer national governments involved, integrated energy grids, and no energy transport crossing other countries' territories, the research proposes a solution to the challenges identified by Scheer (2012) and Schmitt (2018). Portugal and Spain, with an integrated energy grid and limited European energy grid connection, are considered, while Spain's existing natural gas pipelines to Algeria offer a paved path for hydrogen transport. With this more manageable consortium of four national governments and fewer complexities, the research seeks to evaluate various technological design options using a cost model to test their feasibility and impact on energy security. The aim of this research is to provide an answer to: How does a technologically feasible Maghreb-Iberian green hydrogen system (MIGHS) impact the Iberian energy cost and energy security?","Green hydrogen, Energy security, System levelized cost of hydrogen, Net import dependency, Network simplex method, Desertec","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:9a2d7a94-c872-4e7e-aaa3-993c51f184ed","http://resolver.tudelft.nl/uuid:9a2d7a94-c872-4e7e-aaa3-993c51f184ed","Advancing the Kinetics Model in OpenSim for Human Motion Estimation Based on IMUs: Performance Analysis with Wheelchair User Motion","Tang, Xiaohan (TU Delft Mechanical, Maritime and Materials Engineering)","Kok, M. (mentor); Seth, A. (mentor); Li, R. (mentor); Delft University of Technology (degree granting institution)","2023","Inertial Measurement Units (IMUs) have become increasingly popular human motion estimation due to their portability, self-contained features, and cost-effectiveness compared to marker-based sensing systems which rely on external cameras to observe the position of the markers. Over the years, many studies have proposed various models and algorithms based on IMUs and the kinematics of the human body for motion estimation, neglecting the fact that IMUs measure acceleration, which can be directly related to joint torque with known inertial parameters. In turn, by estimating joint torque and incorporating kinetics into the model, it becomes possible to address a long-standing problem in the field of biomechanics: the marker-based sensing system’s inability to provide a reliable estimation of kinetics due to the need for numerical differentiation. In a previous study, a kinetics model was proposed for estimating the motion but validated only on a robotic arm. In our study, we further explore the performance of using IMUs to estimate wheelchair user motion data based on Extended Kalman Filter (EKF) and the kinetics model, with marker-based Inverse Kinematics (IK)/Inverse Dynamics (ID) as the benchmark.
Compared to Marker-based IK, the method leveraging kinetics achieves a Root Mean Squared Difference (RMSD) below 16◦ for three out of four tasks across all joints throughout the trial. After analyzing the only task with degradation in estimation, we conclude that the erroneous IMUs measurements results from Soft Tissue Artefacts (STA) is the most likely reason. For joint torque estimation, the RMSD for joint torque estimation is below 3.05Nm for the tasks less affected STA. Through fine-tuning the EKF, we can achieve fast and responsive estimation results without being affected by numerical differentiation, enabling us to capture both sudden and subtle changes in joint torque estimation. The kinetics model performs better than the kinematics-based model in estimating both kinematics and kinetics and also reduced drifting behavior. Compared to OpenSense, which depends on magnetometer measurements, kinetics model estimation shows comparable kinematics estimation accuracy while excluding
the use of heading information. The results show that including the kinetics model for human motion estimation can improve estimation accuracy and robustness encouraging further studies to include kinetics for human motion estimation.","Human Motion Capture; Kalman Filter; OpenSim; IMU; Kinetics; wheelchair propulsion","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:1152daf3-92c2-4a17-b70a-9e2470604d8c","http://resolver.tudelft.nl/uuid:1152daf3-92c2-4a17-b70a-9e2470604d8c","The road towards Action’s repairable future","Rademakers, Max (TU Delft Industrial Design Engineering)","Flipsen, Bas (mentor); Mulder, S.S. (mentor); Delft University of Technology (degree granting institution)","2023","Action is working on sustainability in social compliance, materials, packaging and circularity but they still have a long way to go in becoming circular. The European Union is taking steps towards a circular economy with the New Circular Economy Action plan, The Green Deal and the Right to Repair. Countries and companies are taking measures where legislation on the European level is taking too long. Action’s geographic expansion is ending and needs to look for new opportunities. This thesis will focus on helping Action to take their responsibility in the cycle of products to make them more circular. This will help Action to stay resilient with upcoming European legislation, by being in compliance with present and foreseeable circularity legislation .This has led to the following research question: How to help Action in becoming Circular to stay resilient with present and foreseeable legislation?
Using the double diamond method the most vulnerable product group and corresponding circularity strategy was selected. The first diamond was used to select a product group to focus on and what strategy should be applied. The focus should be on repairability as it is a starting point for other CE strategies. Electrical and electronic equipment is the most vulnerable product group to legislation and is forcing Action to take responsibility after Energy Related Products (ErP) have been sold. ErP have the biggest potential to reduce CO2 emissions. Therefore, Action should focus on selling repairable ErP as a step towards circularity. The buyer has a lot of power in making decisions but is lacking the knowledge of making decisions in terms of circularity. The problem that needs to be solved is that the buyer is making decisions on purchasing ErP products while lacking repairability knowledge. This is developed in the last diamond of the double diamond method.
To help Action stay resilient with present and foreseeable legislation a repairability index is made which Action can use to quantify the repairability of products. This repairability index is specific for every ErP group and is made by Action. This is made possible through an index guide that helps select priority parts and reference values for the repairability index of every specific ErP group. Suppliers can fill in the repairability index using the supplier guide. A repairability index feedback system is used by the buyers to keep track of the repairability of the products they buy. The repairability index is accompanied by a future vision which aims that Action has build a vibrant ecosystem through innovative partnerships and collaborations in 2035, where Action works together with its manufacturers, repairers and customers to create a circular future where customers are empowered to repair Action's durable products more easily. Getting here is explained through a roadmap with three horizons.","Repairability; Circularity; ERP; Action","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:b0eec17f-efac-4054-9c8c-5c54dc052542","http://resolver.tudelft.nl/uuid:b0eec17f-efac-4054-9c8c-5c54dc052542","Determinants and development of multimodal travel patterns: Identifying travel user groups in The Netherlands using Latent Class Cluster Analysis","Verheij, Floor (TU Delft Technology, Policy and Management)","Kroesen, M. (mentor); Goyal, N. (mentor); Kroesen, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Passenger traffic by car is regarded as one of the main contributors to energy consumption and emission in the transport sector. Car dependency and limited shifts to more carbon-friendly alternative travel modes in industrialised countries play a major role in maintaining unsustainable mobility systems, despite governments' increased attention and effort in enhancing multimodal travel behaviour. In other words, having a diverse mode usage as a travel user. Compared to travellers who only use the car, multimodal travellers are likely easier to shift to more sustainable and health-enhancing modes, such as the bicycle and public transport, when applying policies. However, how (multi)modal travel patterns developed over time and the determinants of being multimodal are not often researched in combination with measuring multimodality and showing corresponding travel patterns. A Latent Class Cluster Analysis is performed once for 2010-2017 using cross-sectional data from the Dutch National Travel Survey (OViN) to measure multimodality whilst capturing distinct travel user groups per year, based on the frequency of travel mode use. Socio-demographic, mobility resource and built-environment variables are included as potential determinants of belonging to a specific group. The main results are that overall mobility patterns of the captured travel user classes were hardly subject to change. Moreover, only the smallest two out of five identified classes have travel behaviour with a higher degree of multimodality. Besides, the likely strong effect of owning mobility resources or not (e.g., a licensure, household car, company car, or household bicycle) on being likely in a car-dependent or a multimodal travel user group is shown. Most remarkably, our findings add to the existing knowledge by revealing that company car ownership plays a significant role in being a car-dependent travel user. Based on our results, identified policy directions include, but are not limited to, affecting mode choices of employees (owning a company car) via employer-based programs to incentivise them to use the bicycle or public transportation. Nevertheless, the knowledge about several travel user classes comprising multimodal travel patterns can be extended in several areas, most notably, by including attitudinal factors which could be tracked longitudinally on the individual level, such as perceptions about willingness to use travel modes, to acquire a more profound view about what strives people to behave in a certain way over a more extended period.","Multimodality; Mobility patterns; Trends; Travel behaviour; Latent class cluster analysis","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:4111c411-0eb4-40cc-9d30-56e30e4324f5","http://resolver.tudelft.nl/uuid:4111c411-0eb4-40cc-9d30-56e30e4324f5","Distributed Control of Battery Energy Storage Systems for Voltage Support in PV-Rich Low-Voltage Distribution Network","Priambodo, Bagas Ihsan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ramirez Elizondo, L.M. (mentor); Alpizar Castillo, J.J. (mentor); Bauer, P. (graduation committee); Ghaffarian Niasar, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","As demand for clean and renewable energy around the world increases, solar photovoltaic (PV) technology becomes substantially popular, especially in low-voltage (LV) distribution networks. However, the integration of PV in LV distribution networks requires careful planning as it introduces voltage violations. To maintain network voltage, distributed control of residential-scale battery energy storage systems (BESS) is a possible option. Previous studies considered only one-day simulations with limited testing conditions. However, it is important to evaluate voltage control capability over an extended period of time. Moreover, it is important to estimate battery lifetime for the economic feasibility evaluation of distributed control.
This work aims to present a distributed control method for BESSs at a residential scale to provide voltage support in a highly PV-penetrated LV network while providing insights into their lifetime estimation. A control method based on a consensus algorithm with the addition of SOC balancing control is proposed and tested on a modified CIGRE LV distribution network using MATLAB/Simulink. Evaluations on the voltage support capability and control behavior are performed in various testing conditions and are extended beyond one day of simulation. Moreover, a battery lifetime estimation is performed using the resulting cycling profile from the proposed control.
The proposed control strategy can provide voltage support in most case variations with the exception of cold seasons and extreme addition of PV power generation. Concerning battery lifetime, there is only a small observable capacity fade from the proposed strategy’s cycling profile. It is important to investigate calendar aging because of the small cycling current from the operating conditions presented in this work.","Distributed Control; LV Distribution; PV; Battery Energy Storage System (BESS); Voltage Control; Consensus Algorithm","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:87d02af2-dbec-4e26-90ac-7e9083603faa","http://resolver.tudelft.nl/uuid:87d02af2-dbec-4e26-90ac-7e9083603faa","Development of a patient-specific FE model of the knee to study cartilage degeneration following ACL reconstruction: A sensitivity analysis to evaluate the effects of cartilage and meniscal Young's moduli on cartilage degeneration","Talsma, Maaike (TU Delft Mechanical, Maritime and Materials Engineering)","Tümer, N. (mentor); Wesseling, M.G.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Osteoarthritis (OA) is a progressive joint degeneration disease resulting in joint pain, stiffness, and loss of mobility. Among patients with anterior cruciate ligament reconstruction (ACLR), OA incidence is increased. Articular cartilage (AC) degeneration is irreversible, therefore prevention and early detection are essential. Specifically, the possibility to predict the risk of AC degeneration would provide options for patient-specific early interventions.
This thesis presents a Finite Element (FE) workflow for a patient-specific FE knee model of a patient with ACLR. A degeneration algorithm is implemented to predict AC degeneration. Uncertainties in the parameters of a FE workflow can affect the model's outcome. The sensitivity of the workflow to the AC and meniscal Young's moduli should be determined, as these influence the stress distribution in the joint but in-vivo measurement is not possible for patient-specific values. Therefore, the developed FE knee model is used to answer the main research question: how sensitive is the predicted AC degeneration of a patient-specific Finite Element ACL reconstructed knee model to changes in the AC and meniscal Young's moduli?
The FE knee model was created based on patient-specific MRI and gait analysis data. For the sensitivity analysis, 24 models were simulated with AC and meniscal Young's moduli varying between 5 MPa and 35 MPa, and 59 MPa and 80 MPa, respectively. A degeneration algorithm was implemented for the calculation of AC degeneration, based on max principal stresses.
Minimal AC degeneration was calculated for both the tibial AC and the femoral AC, ranging from no degeneration to a degeneration level of 0.9812, and 0.9694, respectively. The 5-year follow-up MRI showed no AC degeneration either. Statistical analysis was performed with the volume of degenerated AC. A multiple regression analysis showed an exponential relationship between the degenerated volume and the AC Young's modulus for the tibial AC (R2 = 0.995, p<0.05), and the femoral AC (R2 = 0.989, p<0.05). The meniscal Young's modulus did not affect the degenerated volume. The sensitivity analysis demonstrated that increasing AC Young's modulus resulted in an exponentially larger volume of degeneration.
In conclusion, the established FE workflow showed promise for the calculation of AC degeneration following ACLR. A foundation was laid for future development of the model. The sensitivity of the workflow to the AC Young's modulus was determined, highlighting the need for patient-specific estimation of the AC Young's modulus for reliable patient-specific AC degeneration results.","Finite Element Modeling; ACL reconstruction; Cartilage degeneration; Sensitivity analysis","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:5aa3194e-0709-4fbc-81b7-c2dc4337d151","http://resolver.tudelft.nl/uuid:5aa3194e-0709-4fbc-81b7-c2dc4337d151","A System for Model Diagnosis centered around Human Computation","Ziad Ahmad Saad Soliman Nawar, Ziad (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yang, J. (mentor); Balayn, A.M.A. (mentor); Corti, L. (mentor); Anand, A. (graduation committee); Isufi, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Machine learning (ML) systems for computer vision applications are widely deployed in decision-making contexts, including high-stakes domains such as autonomous driving and medical diagnosis. While largely accelerating the decision-making process, those systems have been found to suffer from a severe issue of reliability, i.e., they can easily fail on serving data that are slightly different from the data captured during their training phase. Such an issue has resulted in undesired outcomes with safety, ethical, and societal concerns across various applications, such as numerous examples of semi-automatic cars causing accidents on the road.
In this thesis, we hence develop a system in order to support ML practitioners in debugging their computer vision models, even before deploying them and having access to serving data.
We take inspiration from prior ongoing works in order to formulate the current diagnosis problem, identify its challenges, and envision a human-computation-based solution. We then thoroughly analyse the requirements for developing a system instantiating the solution, actually design such a system, and implement it in a well-functioning, full-fledged, highly-modular, and easily-customizable system.
The solution is based on the definition of human computation operations, that, altogether, allow to a) identify the mechanisms a human would expect the model to learn in an ideal world, b) identify the mechanisms the model has actually learned (via annotations of saliency maps), and c) to compare these two sets of mechanisms to conclude about the good behavior of the model. The solution is especially made to account for certainty issues in the work of the human workers, and to handle ambiguous granularities in the concepts the model might have learned.
To the best of our knowledge, our work is the first system that allows an ML practitioner to first identify their own goals for debugging a model (among a large diversity of goals), accounting for their limited monetary budget, then to configure a debugging session according to these goals, and finally to fully-automatically run the system with such configuration to obtain a model debugging report.
Finally, we conduct a thorough investigation of our system. First, we set-up to understand the correctness and informativeness of the outputs, by running the system with various configurations on different models trained using various datasets, for which the biases are more or less controlled. This first evaluation particularly shows that the outputs and its implementation are correct. With these outputs, we are able to identify the biases that have been injected in the model, as well as to learn about previously unknown behaviors of highly-common models that are used by many practitioners.
Second, we evaluate the cost-effectiveness of running the system. For that, we ran tests in two settings: when the human workers might make mistakes (e.g., due to a lack of expertise, the complexity of the task, or inattention), and when human workers are fully accurate. We vary the configurations of the system (e.g., the order in which the human operations are conducted, the number of workers allocated at the start of the debugging session) within the two settings, and we observe how the number of human operations needed evolve, in order to reach correct system outputs. We find that the system's output is potentially relevant, informative and complete. The system output provide an in depth analysis of the model's behaviour and unravel what the model comprehends, where it falls short, and what it should ideally have grasped.
All in all, in this thesis, we build the system and thoroughly evaluate it. While we identify a number of conceptual and practical limitations of this system (e.g., difficulty to annotate concepts, potentially high cost), our work constitutes a first step towards developing complete solutions to help practitioners debug their system. We encourage readers to build on our work, in order to further optimize our system for cost. Note that we make all our code publicly available for anyone to re-use our system, or reproduce our experiments.","Artifical Intelligence; Computer Vision; Model Diagnosis; crowd computing; Human Computation; Interpretable Machine Learning; Human in the loop","en","master thesis","","","","","","Code on Github: https://github.com/delftcrowd/ARCH-system","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:431c0569-5b56-4720-a578-2b21f80609bd","http://resolver.tudelft.nl/uuid:431c0569-5b56-4720-a578-2b21f80609bd","Treatment Prediction in PDAC Patients: A Predictive Model for FOLFIRINOX Chemotherapy Response using Random Forest and Integrative Analysis of Blood and Tumor Markers","Lu, Jenny (TU Delft Electrical Engineering, Mathematics and Computer Science)","Jongbloed, G. (mentor); Van Eijck, Casper (graduation committee); Strijk, Gaby (graduation committee); Delft University of Technology (degree granting institution)","2023","Pancreatic ductal adenocarcinoma (PDAC) is a devastating disease with a high mortality rate, poor prognosis, and a mere 7.7% 5-year survival rate [1] compared to 65% for all cancer types [2]. Approximately 80% of patients are diagnosed at the advanced stage [3], for which only palliative chemo(radio)therapy remains as treatment option. However, the efficacy of chemotherapy varies among patients, e.g. FOLFIRINOX has a response rate of only 25-30% in metastatic patients and a disease control rate of 70.95% [4]. Therefore, stratifying patients is crucial for individual benefit and for addressing the socioeconomic challenge of rising healthcare costs and increasing cancer incidence. This master thesis aims to investigate the relationships between tumor markers CA19-9 and CEA as well as blood marker data, both before and after one cycle of FOLFIRINOX and their correlation to the chemotherapy response. The goal is to subsequently develop a robust classification model to improve patient stratification and facilitate personalized treatment approaches. The analyzed cohort comprises 247 PDAC patients of which 55% are male and 45% are female participants. Among them, 152 had (borderline) resectable, 54 locally advanced and 41 metastatic PDAC. All patients received FOLFIRINOX treatment, and tumor responses were categorized using RECIST 1.1 [5]. First a thorough data analysis, including outlier and principal component analysis (PCA) is conducted to identify patterns and relationships between and within variables. Subsequently, a robust classification model was built using random forest modeling, accounting for dataset imbalance. Three optimal models are proposed based on (a) only pre-chemotherapy values, (b) values before and after the first FOLFIRINOX cycle and (c) only the top 10 identified variables in (b). For each of the most important variables, partial dependence and accumulated local effect plots are generated to gain further insights into their marginal effect on the classification outcomes. The initial data analysis revealed the prognostic and predictive significance of the tumor marker CA19-9, both before and after one cycle of treatment, and the difference in its levels. Additionally, various blood markers, including Hemoglobin, Thrombocytes and γ-Glutamyl Transferase, showed associations with treatment outcomes. The assessment of variable importance further confirmed these relationships between tumor and blood markers and their impact on treatment response. However, PCA did not identify significant patterns or relationships within or between groups of blood markers. Moreover, the developed random forest classification models exhibited promising balanced accuracy, with values of 0.97, 0.98, and 0.90 for models (a), (b), and (c), respectively, in stratifying PDAC patients into the two distinct response groups (disease control and progressive disease), facilitating treatment decision-making. In conclusion, this master thesis emphasizes the crucial role of comprehensive and rigorous data analysis in PDAC research, particularly when employing machine learning for predicting treatment outcomes. Integrating information from measured tumor and blood markers into the random forest models enables the prediction to FOLFIRINOX therapy both before and after one cycle. The implications of these findings are significant, as they can lead to improved patient management, efficient allocation of resources, personalized approaches, and contribution to the research and development efforts in PDAC. To validate and expand upon the presented results, further studies are required, ultimately advancing the field of personalized medicine in pancreatic cancer. Keywords: pancreatic cancer, PDAC, prediction model, random forest, data analysis, outlier analysis, principal component analysis.","pancreatic cancer; PDAC; prediction model; random forest; data analysis; outlier analysis; principal component analysis","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:43042d5d-0558-43c1-a97e-80b16e647d82","http://resolver.tudelft.nl/uuid:43042d5d-0558-43c1-a97e-80b16e647d82","Mixed convective heat transfer across a turbulent flow over a porous wall layer - A numerical study","Chakraborty, Aneek (TU Delft Mechanical, Maritime and Materials Engineering)","Breugem, W.P. (mentor); Costa, Pedro (mentor); Delft University of Technology (degree granting institution)","2023","Thermal convection is a phenomenon seen in almost all facets of life, ranging from planetary convection to ocean currents and convection inside the Earth. The physics of thermal convection complicates when a porous wall layer is present. Flow over urban canopies, forest canopies or flow in underground aquifers are classic examples where thermal convection occurs in the presence of turbulent flow over a medium that may be described as a porous wall layer. The present work focuses on simulating pressure-driven turbulent flow over a simplified, ordered porous medium consisting of a regular array of cubes. The work further couples it with natural convection arising due to unstable stratification, to provide insight into its momentum and heat transfer characteristics. Direct numerical simulations (DNS) have been performed with a finite-difference Navier-Stokes solver to validate the model for buoyancy-driven convection and the classical Rayleigh-B´enard convection. Further, we extended the solver with a volume penalization Immersed Boundary Method (IBM) to model the ordered porous medium, which was validated against reference data. The bulk Reynolds in the overlying free channel region is fixed at 5500, the Prandtl number at 0.1, with an adiabatic boundary condition on the surface of the cubes. The bulk Richardson number, to cover different flow scenarios, from pure shear to purely buoyancy-driven flows, is also varied. The flow statistics show three distinct regimes spatially, (1) the porous region, (2) the turbulent channel and (3)the interface regime, where heat transfer timescales vary drastically between the porous region and the turbulent flow region. Looking at the regime transition from the perspective of changing Rib, the change starts at about bulk Richardson number Rib ≈ 0.1, with the flow switching from mostly buoyancy dominated to shear-dominated convection. The possible regimes as a function of the governing parameters are described, with three regimes formed using critical Rayleigh number limits for convection in free media and in porous media. The qualitative analysis of the thermal structures formed reveals, indeed, that the theorized critical limits are indeed seen through simulations. Lastly, length and velocity scalings are also suggested separately for shear-driven and buoyancy-driven regimes for different regions of the flow domain.","Mixed convection; Turbulent flow; Porous medium; Direct numerical simulations; Buoyancy","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:669f3002-e932-44a9-917c-8f0286f5545e","http://resolver.tudelft.nl/uuid:669f3002-e932-44a9-917c-8f0286f5545e","Design of a reflective tool to integrate students’ context in Dutch language learning services (NLtraining use case)","Perez Contreras, Mariana (TU Delft Industrial Design Engineering)","Secomandi, Fernando (mentor); Yan, D. (graduation committee); Overeem, Jorien (graduation committee); Delft University of Technology (degree granting institution)","2023","Language is one of the most important factors that international citizens need to acquire when stablishing in a new country, it enables communication, widens opportunities in relation to academic and professional development (Geurts & Lubbers, 2017b), and provides a sense of belonging. Therefore, it is important to understand what enables and inhibits the language learning process to make the integration process more user centered, propose actionable ideas and design effective interventions in order to optimize current language services, which in the end will result in cultural-societal impact (Sosa, 2019).
This project aims to contribute to such language learning services optimization, working together with NLtraining, who is a specialized Dutch school providing language courses for expats and immigrants.
A service design methodology is proposed to help bringing a user centric vision based on students’ real context but also provides a holistic scope including not just students and professors but also content making professionals and administrative departments.
The initial phase of the project explores existing literature from Second Language Acquisition and service design, where it was found that SLA research is centered on designing the ideal learning environment, however, in language learning, interactions in real life represent a great space for learning, therefore a gap between real context and learning environment was found.
Afterwards, exploratory research is carried out, interviewing students from NLtraining coming from different levels, where the main insights show that even though students have great motivation to learn the language and achieve academic and professional goals, their language use in real life is inhibited by different factors: cultural barriers, self-esteem, and deep complex reflective processes. It was found that professors who are the course facilitators have limited access to these kinds of insights, since they make their decisions based on assumptions from what they observe from the course, this, seen from a service design perspective, can become an opportunity to optimize current strategies.
The goal of the project is, then to design a tool that will help bridge students’ experiences through a reflective practice, which will support their learning process, as well as support professors with real insights of their students’ language use. Three exploratory concepts were developed, tested with students, and evaluated from a design perspective, then a final concept is presented, where a digital prototype is built, given its versatility and accessibility, it has the potential to be integrated into NLtraining’s current workload and bridge students’ context to the learning environment.
This tool helps collecting data such as: activity performed (listening, speaking, reading, or writing), description of the experience (text input), related class resources and emotion (good, neutral or not so good).
The prototype is validated in the final stage of the project, where the main findings conclude that enabling such reflective tool can promote students engagement with the course, in first instance because it provides a structured space to share and vent their (positive or negative) experiences, identify elements from the course that are working well or not, and finally allow professors to learn, empathize and best prepare the content of the course, based on a loyal portrait of students’ needs.
This project presents the impact of service design practices in an educational setting, which includes supporting stakeholder communication and collaboration, helping scope gaps in the service based on user insights and enabling an iterative approach to fostering optimizations.
On two occasions in 2021, the Continental Europe Synchronous Area (CESA) experienced system splitting events caused by cascading trips of several transmission system elements. In both cases, system defence plans were activated in order to preserve the integrity of the overall system. The amount of disconnected load was limited on both occasions, however, should similar events occur in the future with even lower rotational inertia in the grid, the impact could be more severe. This raises the question of whether the existing defence measures are sufficient to maintain system integrity and stable system operation.
Currently in CESA, containment of system frequency excursions following a severe loss of generation is achieved through low-frequency demand disconnection (LFDD) at a frequency below 49Hz. Due to the reduction in traditional synchronous generation and system inertia, the frequency stability of the system is expected to deteriorate, leading to an elevated impact of major disturbances, a rising probability of forced disconnections at frequencies below 49 Hz, and the potential for cascading loss of generation and blackout events.
The objective of this research is to explore the potential impact of reduced system inertia and increased penetration of renewable generation on the performance of the traditional LFDD scheme. In conjunction, additional proactive measures are proposed and investigated with the aim to reduce the probability of LFDD disconnections, by taking actions at frequency thresholds between 50 and 49Hz, as well as to improve the performance of the LFDD scheme in the event that disconnections are required. As a test case, the LFDD scheme as currently applied by one of the distribution system operators in the Netherlands is considered.
This project is therefore categorised in two primary research directions: (i) improving selection criteria for LFDD load shedding locations, and (ii) improving LFDD performance using alternative load shedding schemes.
Key topics explored in this research include: (i) the use of system strength and real-time DER generation as input parameters to load bus selection criteria for LFDD, and (ii) proactive RoCoF-based disconnection of pre-determined consumers above 49Hz. The findings of this study indicate that adapting the current LFDD implementation based on the local system strength and the level of active DER generation at LFDD buses can improve frequency response and reduce instability following LFDD switching operations. Furthermore, proactive RoCoF-based demand side load management techniques above 49Hz prove effective in reducing frequency deviation during the most severe events while avoiding LFDD over-shedding for smaller contingencies.
The project begins with a detailed analysis. First, the clinical situation of the residual limb and the biomechanics of transtibial prosthesis is studied to understand what factors influence prosthesis fit, and how CPOs manipulate the residual limb to that effect. Then the process towards producing a prosthesis is analysed as it is executed at Frank Jol, in order to then compare to alternative processes that incorporate digital methods to understand the barriers and opportunities for intervention. Finally, CPOs and patients are interviewed to uncover their needs and values in the process. This analysis reveals the need for an intervention that captures and standardises the stump modification process in a digital way, while retaining CPO control and tactiliy, and keeping the patient in the communication loop.
The design result is PressFit: a pressure-sensing textile tool to be worn by the patient, which registers CPO applied pressures and provides visual feedback during stump measuring and plastering. PressFit is introduced as it fits into an envisioned future prosthesis prescription workflow. PressFit is developed through iterative technical development of the physical prototype, alongside development of the interaction for both measuring and plastering use cases. A co-design method with a CPO is followed throughout this development.
An evaluation is conducted with 5 CPOs at Frank Jol to assess the prototype's performance against design drivers. Results show that PressFit standardises the measurement process. All participants agreed PressFit is a helpful tool with potential to improve patient-CPO communication and bring traceability to the plastering process. Additionally, the tool shows promise for us as a training tool for new CPOs. As this thesis serves as a proof-of-concept for the application of pressure-sensing textile in the prosthesis prescription process, it concludes with recommendations for further development in physical prototyping (textiles), software, and user interaction.","Prostheses; Medical design; Textile sensors; Digitisation; 3D scanning","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:1649bcba-2ca0-4159-96e7-68561ced2fa1","http://resolver.tudelft.nl/uuid:1649bcba-2ca0-4159-96e7-68561ced2fa1","Designing a wayfinding device for people with dementia","Kriekaard, Femke (TU Delft Industrial Design Engineering)","Dekker, M.C. (mentor); van der Vegte, Wilhelm Frederik (graduation committee); Delft University of Technology (degree granting institution)","2023","A majority of people with dementia live at home. Most people living alone experience problems with wayfinding due to symptoms of their disease, like memory impairment, spatial disorientation, and loss of planning abilities. These problems can cause them to reduce their outside travel range or even stop going on independent outdoor visits altogether. The inability to go outside for errands significantly impacts a person's ability to live independently and can contribute to their institutionalization. This problem is challenging since the ability to go outside and maintain your everyday life is seen as a primary need for people with dementia. Furthermore, being outside is found to improve sleep patterns, slow cognitive decline, and reduce agitation in people with dementia.
Current solutions that help people with dementia in the outdoor environment focus on tracking the person with dementia to warrant their safety. In these products, the person with dementia is a passive user dependent on the person who can see where they are. Navigation devices developed to enable people with dementia to find their direction are all designed for research purposes. Furthermore, these devices give too little context information and are not adaptable to different needs and requirements.
Therefore, this project aims to design a wayfinding device that supports people with early to middle-stage dementia to maintain an active lifestyle by enabling them to navigate to frequent and infrequently visited outdoor places. Safe, independent, & with confidence. The primary target group for using the device is people with dementia. However, the needs and requirements of their informal caregivers were also considered.
Workshops, walks, and interviews showed the struggles people with dementia encounter while they go outside. Moreover, these research activities showed the importance of landmarks for people with dementia while wayfinding. Challenges like complex memory-based navigation steps were also revealed during these activities. Therefore, a personalizable landmark base navigation strategy was used as the basis for the final design.
The final wayfinding device uses NFC cards to activate navigation routes on the smartphone. The person with dementia can carry these navigation cards with them and only has to insert them in a card holder on the back of their phone case to start the navigation system. The navigation system will then guide the person with dementia to their destination by showing a yellow arrow on top of footage from their surroundings using Augmented Reality Navigation. The caregiver's involvement is essential in setting up the navigation system since they must help their loved one by loading the correct route on the navigation card and highlighting personal landmarks.
Multiple tests led to optimizing the interaction between the person with dementia and the navigation app. This resulted in a wayfinding device that supports people with dementia through intuitive navigation cues to visit places independently. This wayfinding device helps people with dementia regain charge over their active lifestyle.","Wayfinding; Dementia; Augmented Reality Navigation; Independence; Caregiver; Digital navigation system","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:3e282093-89f3-4f77-b4eb-1c1be3796c53","http://resolver.tudelft.nl/uuid:3e282093-89f3-4f77-b4eb-1c1be3796c53","Bent up bars: assessment and implementation of outdated reinforcement configurations in existing concrete structures","Douma, Timo (TU Delft Civil Engineering & Geosciences)","Hendriks, M.A.N. (mentor); Yang, Y. (graduation committee); Hoogenboom, P.C.J. (graduation committee); Meijdam, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Bent up bars were prescribed as shear reinforcement in the first half of the twentieth century, stirrups after the 1960’s. In current Eurocode, bent up bars can be applied again, but restrictions with respect to the maximum shear strength should be followed. Goal of this report is to provide background for this shear strength restriction and provide an assessment method for concrete structures reinforced with bent up bars.
First part of the report analyses critical design aspects of bent up bars in the transfer of shear stresses with help of truss models. Second part explores the shear strengths of reinforcement sections and concrete struts with help of outcomes of experiments performed in the past. In last part, the obtained insights are collected and captured into a conceptual model. This model is employed to describe the expected failure mechanism of bent up bars and reflect on assessment methods and maximum shear strengths of specimen reinforced with bent up bars.
Consequence of the application of bent up bars in concrete structures is the formation of cracks in the supporting concrete strut by curved sections of bent up bars. The remaining shear strength of concrete structures depends on the shear resistance of cracked concrete struts.
The findings in this report implies that any model based on the tensile strength of inclined members is applicable for the analysis of bent up bars as long as the applied shear stresses are limited to ten percent of the compressive strength. Also, the application and assessment of bent up bars in concrete structures requires special attention to: shear and flexural reinforcement inclusive designs, cover spalling mechanisms, and detailing of anchorage regions flexural reinforcement bars.","Bent up bars; Assessment; Shear reinforcement; Shear strength; Existing structure","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:a1515cb7-e896-4c21-948d-1500887ced87","http://resolver.tudelft.nl/uuid:a1515cb7-e896-4c21-948d-1500887ced87","Structural Optimization of Uniform Strength Noise Barrier","Chen, Chia Yu (TU Delft Civil Engineering & Geosciences)","Veenendaal, Diederik (mentor); Hendriks, M.A.N. (graduation committee); Hoogenboom, P.C.J. (graduation committee); Alkisaei, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Traditional approaches to noise barrier design often rely on outdated techniques and resource-intensive materials like concrete and steel. However, with sustainability gaining prominence, aligning noise barrier design with green construction principles becomes crucial. Structural optimization, aimed at minimizing material usage, emerges as a promising strategy to mitigate environmental impact. This study focuses on determining the optimal geometry of a noise barrier composed of low-tensile strength material, under the influence of self-weight and uniformly distributed lateral load.
The methodology employed addresses this question through a series of key steps. Beginning with a two-dimensional analytical form-finding process, optimal cross-sections are derived for the noise barrier. The application of uniform strength theory, known for its efficiency in generating material-effective structures, results in constant stress shapes. These solutions are validated using Finite Element Analysis (FEA) to ensure conformity with predefined stress criteria. Subsequently, numerical structural optimization is conducted to achieve minimum weight geometries that adhere to stress constraints, utilizing a penalty function. The validation process involves comparing numerically optimized geometries with analytical solutions, confirming their reliability and mutual consistency. This validated optimization approach is then extended to three dimensions, exploring the optimal combination of longitudinal profiles and varying cross-sections along the barrier.
Analytical solutions for 2D uniform strength cross-sections of the noise barrier differ based on load conditions. For self-weight, an exponential function describes constant stress, ensuring uniform compressive stress across the structure. Conversely, under uniformly distributed lateral load, a linear function depicts constant maximum bending stress along the height. The interaction between self-weight and lateral load results in symmetric geometries defined by specified constant tensile stress. A square root geometry guarantees constant zero tensile stress, offering advantages like reduced need for additional structural elements and practicality in real-world scenarios.
A significant distinction arises between 2D cross-section and 3D structural optimization regarding bending capacity. In three dimensions, the entire structure contributes to bending resistance by shaping itself. Releasing the rotational degree of freedom at the bottom support enables the generation of a corrugated longitudinal profile, enhancing bending stiffness. This corrugation distributes bending moments over longer spans, reducing stress and material requirements. Notably, the optimized corrugated profile exhibits enhanced bending stiffness, minimizing the impact of practical constraints.
In summary, this research provides insights into optimal geometries for free-standing structures, highlighting the efficiency of analytical and numerical approaches. The numerical optimization framework proves highly effective and efficient, contributing valuable insights to noise barrier design within a sustainable framework.","Analytical form-finding; Numerical structural optimization; Grasshopper","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:3203aa2f-42cb-4b62-ac38-a634eea2542b","http://resolver.tudelft.nl/uuid:3203aa2f-42cb-4b62-ac38-a634eea2542b","Design methodology for sustainable urban freight distribution via waterways: A case study of het Wallengebied in Amsterdam","van Velzen, Lisa (TU Delft Technology, Policy and Management)","Ludema, M.W. (mentor); Tavasszy, Lorant (mentor); Verbraeck, A. (mentor); Delft University of Technology (degree granting institution)","2023","The city of Amsterdam is facing various challenges in managing urban freight transport within its historic and densely populated inner city. With a rapidly growing population and increasing number of trips to, from, and within the city, Amsterdam is facing pressure on accessibility, road safety and quality of life. Furthermore, Amsterdam needs to renovate a significant portion of its historical quays and bridges, which will have a major impact on urban freight transport. The city’s commitment to maintaining accessibility and liveability, combined with its ambitious goals, has led to advancements in urban freight transport, with one notable initiative being waterborne transport. While many initiatives of waterborne transport showed success in pilot projects and demonstrations, large-scale or long-term implementation could give rise to unexpected side effects. Challenges also persist due to government policies and the cooperation of key stakeholders. In this research a design methodology is presented for sustainable urban waterborne freight distribution. The design methodology offers a stepwise approach for designing, evaluating, and generating implementation guidance for waterborne freight transport, enabling a thorough understanding and assessment of impacts. The design methodology is applied to the case study of het Wallengebied of Amsterdam. During these steps, several alternatives were prepared and scored for all stakeholders involved based on the criteria important to them. Possibilities to turn certain alternatives with a poor score on a criterion into a more positive score were considered to improve its potential in designing sustainable urban waterborne freight distribution.","urban waterborne freight distribution; design methodology; Casestudy","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:30ff4dfd-9e26-4638-9116-dbc20626e9a4","http://resolver.tudelft.nl/uuid:30ff4dfd-9e26-4638-9116-dbc20626e9a4","Test Program-Based Generative Fuzzing for Differential Testing of the Kotlin Compiler","Georgescu, Calin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Panichella, A. (mentor); Olsthoorn, Mitchell (mentor); Verwer, S.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Kotlin is a programming language best known for its interoperability with Java, as well as the measurable improvements it offers over it. Since it became Android’s go-to language in 2019, the popularity and impact of Kotlin have risen greatly. Amidst this surge in popularity, the Kotlin developer team is working on a new version of the compiler that introduces sweeping changes to the ecosystem. Traditional compiler testing is a manual and laborious task that requires extensive developer effort and expertise. In an attempt to mitigate this, researchers have invested great resources in developing and perfecting automated compiler testing tools over the last decades. These approaches generate new pieces of code to test the behavior of compilers, which is assessed through differential testing. However, the usage of heuristics as guidance for the generative process is not well understood, and no approach that generates Kotlin code from scratch currently exists. In this thesis, we propose a novel method of enriching standard grammar specifications with language-targeted semantic context that is integrated in the sampling process. We structure generated code hierarchically and use it as the base of an evolutionary computation framework. Within this framework, we introduce two classes of algorithms that are novel to the field of compiler fuzzing, based on syntactic diversity and semantic proximity, respectively. We carry out an empirical analysis spanning 200K generated Kotlin files, which we analyzed through different Kotlin compiler versions. Our results uncovered five previously unreported categories of bugs, which we reported to the Kotlin compiler developer team. The developers verified and replicated our instances on the current re- lease of the Kotlin compiler, and have assigned target release dates for fixes within the current major version of the compiler. The study also provides new insight into the effects of heuristic-specific hyperparameters such as expression simplicity, dissimilarity measurements, and target selection.","Compiler Testing; Fuzzing; Code Generation; Evolutionary Algorithm; Machine Learning; Kotlin","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:5f2e44a3-7062-46d2-a2f7-3ba5877415cd","http://resolver.tudelft.nl/uuid:5f2e44a3-7062-46d2-a2f7-3ba5877415cd","Multi-dimensional Uncertainty Analysis for Proton FLASH Radiotherapy: Including machine-intrinsic uncertainties in pencil beam placement and cyclotron proton beam current","Kruithof, Odin (TU Delft Mechanical, Maritime and Materials Engineering)","Lathouwers, D. (mentor); Habraken, Steven (mentor); Spruijt, Kees (mentor); Hoogeman, M.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","With FLASH proton radiotherapy, healthy tissue is spared more compared to conventional proton therapy. The FLASH effect is present at high fractional doses of more than 8 Gy, at ultra-high mean dose rates of more than 40 Gy per second and at dose delivery times of less than 200 milliseconds. However, particle accelerator intrinsic uncertainties can influence the FLASH effect negatively. FLASH proton therapy is affected by pencil beam positioning errors and by proton beam current fluctuations. In this work, these two types of uncertainties were added to a Gaussian two-dimensional analytical dose model. The impact of pencil beam placement errors was evaluated on dose deposition and related to clinically used metrics. These are the V95, V107, D2 and D98. The constraint on the V95 was violated for 5\% of patients first. For all evaluated FLASH dose fields, the allowed Gaussian pencil beam placement error is less than 1.0-mm in standard deviation. A trade-off between target coverage and the level of FLASH effect was found. Proton beam current fluctuations were coupled to the pencil beam scanning dose rate (PBSDR98), the minimum dose rate for 98\% of the target volume. For all evaluated FLASH fields the permitted beam current fluctuation was 6.8\%. This is considered more achievable than the limit on pencil beam placement errors. Proton FLASH radiotherapy is feasible, but proton beam characterisation is required to quantify for which patients this is the case.
The PBSDR is sensitive to added uncertainties and contradicts our current understanding of the FLASH effect. In this thesis, a more robust metric is developed which depends on the radiolytic oxygen depletion hypothesis for the FLASH effect. This metric is called the FEReff. The oxygen concentration over time in a cell is modelled with an ordinary differential equation (ODE) involving an oxygen depletion and re-oxygenation term. The FEReff can be calculated with the oxygen concentration over time for a treatment plan. Currently, The onset of the FLASH effect lies between a prescribed dose of 8 Gy and 16 Gy. This is in line with the FLASH dose threshold. The shortcomings of the PBSDR are dealt with.
A three-dimensional uncertainty analysis can be done with a semi-analytical dose engine from the TUD. With this engine, changes in dose deposition are coupled to Hounsfield unit (HU) perturbations without the necessity of a full dose re-computation. To study the machine uncertainties, an EMC FLASH treatment plan formed with iCycle was recreated with the TUD dose engine. The iCycle optimisation results contain all the required information for a dose calculation. The gamma pass rates between the iCycle dose and engine dose were satisfactory for the target but not good enough for an organ-at-risk (OAR) yet. Similarity can be improved by adjusting the dose recreation procedure. When the iCycle dose and engine dose are comparable, a trustworthy clinical uncertainty analysis can be done. The idea is to simulate field and individual pencil beam placement errors by shifting the original patient CT to a virtual CT. First, it should be studied if the computed dose response is valid for a combination of CT shifts and HU perturbations. In a later stage, pencil beam placement errors should be coupled to the clinically used ICRU metrics and constraints. The impact of beam current fluctuations can also be studied in the future.","FLASH proton therapy; Uncertainty analysis; Analytical model","en","master thesis","","","","","","","","2025-08-16","","","","Biomedical Engineering","",""
"uuid:1534f971-06aa-45ac-8c95-1504aa6878cb","http://resolver.tudelft.nl/uuid:1534f971-06aa-45ac-8c95-1504aa6878cb","Control for Bipolar DC Microgrid and DC/DC Bidirectional Converter in Energy Access Context","Zhou, Xiaochuan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Qin, Z. (mentor); Mackay, Laurens (graduation committee); Delft University of Technology (degree granting institution)","2023","Rural electrification remains a significant challenge for engineers, given its intricate relationship with multiple issues, including geographical constraints and underdeveloped infrastructural provisions. As a result, with the development of Solar Home Systems (SHS) and direct current (DC) microgrids, DC systems for energy access have emerged as a promising solution.
In this context, a bidirectional DC/DC converter serves as a bridge connecting the SHS and the grid. Thus, it is important to design a converter's control mechanism to ensure a smooth and efficient exchange of power in both directions. Beyond this aspect, the system perspective involves the coordination of photovoltaic (PV), battery storage, and grid power supply priorities within the SHS. Consequently, this thesis centers its attention on two objectives: the control of the bidirectional DC/DC converter and the system-level control of the DC microgrid.
To ensure high efficiency under variable loads and smooth transitions between two directional operations, this thesis proposes and evaluates a novel control methodology. Transfer functions are derived through mathematical modeling and PI parameters are determined by analyzing Bode-Plots. This work also shows the simulation and testing results. Both results demonstrate that the proposed control method achieves a high efficiency under light load conditions, maintaining a stable output voltage despite load power dramatic fluctuations.
Analysis of energy exchange between the DC microgrid and SHS involves a bipolar DC microgrid model based on an established grid in Matlab/Simulink. Employing droop control, which is a widely used decentralized strategy, for each converter within the grid. To ensure priority order of power supply in the system, a system-level decentralized control methodology is developed, assuming internal communication within the SHS. Through simulation, the priority order of PV, battery, and grid is verified, along with the impact of dynamic shifts between scenarios on the load. However, given the absence of communication devices in the existing SHS, an alternate decentralized coordinated control strategy is developed and simulated, without direct communication interfaces. Simulation results demonstrate that the SHS bus voltage remains stable even with power supply changes.","Rural Electrification; Bipolar DC Microgrid; Dual Active Half bridge (DAHB); ZVS; Decentralized Control; Burst Mode Operation; Droop Control; PI Control","en","master thesis","","","","","","","","2023-08-23","","","","Electrical Engineering | Electrical Power Engineering","","52.000999996,4.370165186"
"uuid:26a57dda-babb-4cce-a2d1-bbd2295de5f9","http://resolver.tudelft.nl/uuid:26a57dda-babb-4cce-a2d1-bbd2295de5f9","Share Your Reality: The effects of haptic feedback on virtual avatar co-embodiment","Venkatraj, Karthikeya (TU Delft Industrial Design Engineering)","Huisman, G. (graduation committee); Meijer, Wo (mentor); El Ali, Abdallah (mentor); Delft University of Technology (degree granting institution)","2023","The advent of Virtual Reality (VR) technologies has begun a shift in communication between people and their interaction with 3D virtual environments. VR has great potential to provide high immersion to users, allowing designers to create vivid and impossible interactions. However, while software and technology play a crucial role in creating a VR experience, as designers we must understand how humans perceive these elements of sensory illusions in order to create experiences that are appropriately received and interpreted.
Recent efforts in “Social Virtual Reality” explore shared experiences and collaboration between users through remote interactions in virtual environments. One emerging concept is “Virtual Co-embodiment”, enabling two users to share a virtual character. This interaction fosters a unique multiplayer experience, promoting social co-ordination and collaborative user experiences. Co-embodiment achieves heightened levels of co-presence while still preserving a strong sense of agency and body ownership for both the users. The influence of feedback mechanisms on these factors is an important point of interest.
This project expands on this idea of co-embodiment by investigating how haptic feedback affects these factors between dyads performing shared perceptual activities. To examine these effects, an experiment was designed wherein pairs of participants in co-embodiment, performed reaching tasks with varying levels of control over the shared hand avatar, both with and without haptic feedback conditions. This was facilitated using a VR system that was tailor-made to meet these requirements. Objective measurements of their motion were collected during the interaction and subjective responses were recorded post-interaction.
The results showed that participants sense of agency was significantly lower in conditions where they received haptic feedback when their hand positions overlapped, compared to conditions where there was no haptic feedback. Participants made negative associations of the haptic feedback during the experiment as expressed in the post-experiment interviews, which could have affected their perceptions of agency. They also show significantly greater sense of agency during tasks where they shared a common target with their partner, while co-presence and embodiment levels were significantly higher in tasks where there were multiple targets. Participants also spontaneously adopted leader and follower roles during the interactions with different motion strategies to gain control over the shared avatar. These, along with other findings of the qualitative and quantitative analysis are compiled to extract insights to inform future research of this concept. Additionally, limitations of the study are discussed along with recommendations for further improvements to enhance this paradigm.
The currently standardized Robust Header Compression (RoHC) Algorithm seeks to provide an approach to compressing the protocol headers inscribed in data packets to decrease the amount of data transmission without reducing the communication quality. However, the existing RoHC algorithm is not efficient enough for the requirements of present header compression, as it's initially designed for specific headers like RTP, UDP, and IP. The packet headers must be optimized over the protocol stack for the real-time data stream and the GPRS Tunneling Protocol (GTP) tunnel sections in the 5G network.
An Optimized Header (OH) Compression Algorithm based on the existing RoHC algorithm is proposed in this thesis to compress the headers over the 5G protocol stack in a coordinated fashion for real-time transmission and GTP tunnels. Besides, further development of the OH algorithm is done to optimize protocol headers by merging duplicate header fields for both multiple QoS flows and multi-layer Protocol Data Unit (PDU) flows in the same PDU session. The overall optimization is conducted in the 5G System (5GS) over F1-U and N3 reference points.
The simulation results indicate that the OH algorithm improves the average header compression rate by 45% compared with the RoHC algorithm, as demonstrated via scenario-based 5GS simulations. The average number of transported bits is decreased by 30%, 15% and 26% for Opus coding audio stream, H.264-Opus coding video-audio stream and BLE Version 4.2 triple packets for smartwatch telemedicine stream, respectively, compared with the RoHC algorithm. Besides, the average algorithm execution latency is decreased by 2 μs compared with the RoHC algorithm. Moreover, the multi-stream and multi-layer optimization for the OH algorithm brings about a higher header compression rate and lower execution time in specific scenarios. The overall results indicate that the OH algorithm is capable of compressing the packet size effectively, decreasing the number of transported bits, and shortening the execution time, resulting in higher transmission efficiency and lower latency for VoIP services.","5G; Radio access networks; User plane; protocol optimization","en","master thesis","","","","","","","","","","","","Electrical Engineering | Wireless Communication and Sensing","",""
"uuid:4a4464f2-eacb-4a9f-b971-b60eb304eea0","http://resolver.tudelft.nl/uuid:4a4464f2-eacb-4a9f-b971-b60eb304eea0","Researching the Properties of a 3D-Printed Living Material Containing C. Reinhardtii","Beets, Josine (TU Delft Applied Sciences)","Wehrmann, C. (graduation committee); Idema, T. (graduation committee); Aubin-Tam, M.E. (mentor); Masania, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Incorporating living cells into a non-living matrix is one of the many possible steps that can be undertaken to stop climate change. Especially, photosynthetic organisms have a promising future in material design as they can capture atmospheric carbon dioxide and ’ breathe’ oxygen. This research dives into unravelling the properties of a hydrogel-based living material containing C. reinhardtii.
To facilitate a systematic exploration, this goal was divided into three smaller pieces. Firstly, the study delves into the mechanical characteristics, aiming to identify the most suitable bio-ink crosslink technique and composition. To validate the mechanical properties the living material was subjected to rheology and a bridging test. Concluded can be that crosslinking and algae growth improve the mechanical stability of the material, whereas, gelatin did not. The sagging behaviour of the material looked promising.
Secondly, the photosynthetic activity of this living material was researched. It was found that the rise of O2 levels can not be measured accurately, the non-living matrix can release a high amount of CO2 of over 20.000 ppm and airtightness poses a complex challenge in this field of research.
Lastly, the project incorporates attempts to find effective techniques for studying the livingness of this unique material. In this part of the research, inverted optical microscopy, 3D laser scanning microscopy and chlorophyll extraction were discarded as suitable methods to study the livingness of the algae material. It was proven that leveraging the autofluorescence of the algal chlorophyll confocal laser scanning microscopy gives high-resolution images and the livingness of this living material could be studied with this technique in the near future.
This research significantly contributes to our understanding of this hydrogel-based living material and its many challenging properties. It underscores the importance of innovative materials like these in addressing contemporary environmental challenges, particularly in carbon capture. Moreover, it highlights the complexity of characterizing such materials, paving the way for further exploration and development in this relatively new field.","Living Materials; 3D-printing; C. reinhardtii; Algae; Hydrogel","en","master thesis","","","","","","","","","","","","Applied Sciences | Nanobiology","",""
"uuid:bcc8fc40-d485-4957-8441-0f44c268aa90","http://resolver.tudelft.nl/uuid:bcc8fc40-d485-4957-8441-0f44c268aa90","Concentrating PFAS waste streams: generated during drinking water treatment","van Ruiten, Joséphine (TU Delft Civil Engineering & Geosciences)","Lompe, K.M. (mentor); van der Hoek, J.P. (graduation committee); Heijman, Sebastiaan (graduation committee); Parada, M.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Globally, drinking water sources are polluted with poly- and perfluoroalkyl substances (PFAS). The toxicity and persistent properties of these industrial chemicals raised concerns about environmental and public health. As a result, drinking water companies are removing PFAS from drinking water using separation technologies. Anion exchange and nanofiltration membranes have been proven to be effective drinking water treatment methods for the removal of PFAS. However, these drinking water technologies produce large volumes of PFAS-containing waste streams, which poses new challenges for the drinking water industry. To prevent toxic PFAS from re-entering the environment, these waste streams must be treated. This can be done by PFAS destruction, however, due to the large volumes of the waste streams, this is very expensive and energy-intensive. Therefore, concentrating the drinking water waste streams before destruction is desired. This research examined existing PFAS-concentration technologies and compared their PFAS removal efficiency, volume reduction, and cost-effectiveness in concentrating the PFAS waste streams produced during drinking water treatment. These waste streams include the concentrate of nanofiltration and the brine from anion exchange. The analysed concentration technologies are foam fractionation, adsorption of PFAS onto DEXSORB+ and all-silica BEA zeolites, and nanofiltration.
Foam fractionation removes PFAS from the waste stream by injecting air bubbles. Two laboratory setups were made for the injection mechanism of the air bubbles. First, by passing pressurized air through an air stone, and second, by adding pressurized water (i.e. white water) to the waste stream. The adsorbents were tested in the laboratory by conducting equilibrium batch experiments with different adsorbent dosages. The laboratory experiments were performed on both drinking water waste streams. The performance of concentrating the anion exchange brine solution with nanofiltration membranes was evaluated with the use of IMS Design models.","PFAS; Concentrating waste streams; Drinking water; Zeolite adsorption; Foam fractionation; Cyclodextrin; Nanofiltration","en","master thesis","","","","","","","","","","","","Civil Engineering | Environmental Engineering","",""
"uuid:e93a7059-e84a-4a1b-bd56-91b62ee205db","http://resolver.tudelft.nl/uuid:e93a7059-e84a-4a1b-bd56-91b62ee205db","Design Requirements for Future Technology to Enhance Surgical Instrument Counting: An Observational Study at the Reinier de Graaf Gasthuis","de Rouw, Lieke (TU Delft Mechanical, Maritime and Materials Engineering)","Delft University of Technology (degree granting institution)","2023","INTRODUCTION Surgical counting of instruments forms a crucial part of safety procedures in the operating room (OR). This process has remained primitive without technological support. The manual count process is time-consuming and places heavy cognitive demands on nurses. Current technological approaches fail to detect the exact and detailed usage of surgical instruments under real-life OR conditions. This research aims to enhance surgical instrument counting in the hospital by identifying challenges in the pre-operative, intra-operative, and postoperative phases and formulating design requirements for future technology.
METHODS An observational study was conducted in a large teaching hospital in Delft. The process of surgical instrument counting was assessed in the pre-operative, intra-operative, and postoperative phases of 50 surgeries. Nurses were surveyed to assess the method used, the experienced workload, and the willingness to adopt technology for surgical counting. The sterilization department provided data on the number of additional surgical instruments found on surgical trays after surgery and data on the different types of surgical trays delivered to the hospital.
RESULTS In all surgeries, surgical instrument counting was not performed according to the hospital’s protocol due to limited time and resources. Nurses used a technique to memorize the surgical instrument count by placing the surgical instruments in even numbers on the surgical instrument table. Surgical instruments were retrieved from their original surgical tray and placed on a surgical instrument table in case of frequent use or retrieved from their surgical tray and promptly handed to the surgeon in case of infrequent use. The return of surgical instruments to the surgical trays occurred intermittently, mostly when the surgical instrument was not deemed necessary anymore for the remaining surgery. After sterilization, occasionally incomplete surgical trays arrived at the hospital (10,0%), necessitating additional surgical trays to be added to the sterile field. During a 16-week timeframe, 801 additional surgical instruments were found on surgical trays indicating they were not returned to their original surgical tray. All nurses were willing to adopt technology for surgical counting, specifically in the pre-and postoperative phases.
CONCLUSION This study highlighted the challenge of balancing protocol, ensuring patient safety, and working efficiently. A control measure for the initial and final surgical instrument count is necessary for every surgery, as the current technique is insufficient. The design requirements for future technology are monitoring counts in the preparation room (PR) and OR, adjusting to accommodate additional surgical trays, having a focal area on the surgical tray, and identifying incomplete surgical trays. Future technology could enhance surgical instrument counting at the Reinier de Graaf Gasthuis by ensuring the presence and return of used surgical instruments to their original surgical tray.","Observational study; surgical instrument counting; operating room; patient safety; efficiency; technological design requirements","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:91cf78dd-7998-4322-9b99-f8ea7cf326c7","http://resolver.tudelft.nl/uuid:91cf78dd-7998-4322-9b99-f8ea7cf326c7","Architectural Innovations for Efficient Denoising and Classification: A Manual vs. Neural Architecture Search Comparison","Markhorst, Thomas (TU Delft Electrical Engineering, Mathematics and Computer Science)","Kayhan, O.S. (mentor); van Gemert, J.C. (mentor); Demirović, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","In this paper, we combine image denoising and classification, aiming to enhance human perception of noisy images captured by edge devices, like security cameras. Since edge devices have little computational power, we also optimize for efficiency by proposing a novel architecture that integrates the two tasks. Additionally, we alter a Neural Architecture Search (NAS) method, which searches for classifiers, to search for the integrated model while optimizing for a target latency, classification accuracy, and denoising performance. Our NAS architectures outperform our manually designed alternatives in both denoising and classification, offering a significant improvement to human perception. Moreover, our approach empowers users to construct architectures tailored to domains like medical imaging, surveillance systems, and industrial inspections.","Denoising; Classification; Neural Architecture Search; Efficient; NAS; Noise; Image Quality; Latency","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:d16300c5-6988-4172-8c20-0e2dfff8949f","http://resolver.tudelft.nl/uuid:d16300c5-6988-4172-8c20-0e2dfff8949f","Deep Learning-Empowered Content-Based Video Image Retrieval","Li, Sinian (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dauwels, J.H.G. (mentor); Wang, Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","The advent of streaming and video has sparked a revolutionary shift in the presentation of materials across various fields, such as history, art, and media copyright protection. In this context, scholars and rights holders are seeking efficient solutions to index, retrieve, and browse through digital content searching for a specific instance. Unlike searching a specific instance in an image, searching in a video requires more than analyzing the visual features of an image and then comparing these features to a database, for it includes processing video sequences and retrieving video segments.
Motivated by the urgent need and promising applications across diverse disciplines, we present a novel deep-learning-empowered content-based video image retrieval (CBVIR) system with a strong emphasis on real-world applications. This system offers high efficiency and considerable accuracy, addressing the challenges associated with accessing and utilizing video materials effectively. Our initial approach revolves around the extraction of informative keyframes that effectively capture essential objects within the video. This process, known as Key Frame Extraction (KFE), enables us to distill the most crucial visual representations for further analysis. After the extraction of keyframes, the relatively smaller dataset allows for content-based image retrieval (CBIR) to be conducted, retrieving similar images from a database solely based on the content of the query image. In this project, a wide range of methods are investigated and analyzed, including traditional representations, handcrafted feature extraction methods, and up-todate machine learning-based image representations. Our contribution is striking a balance between high-level and low-level image representations for this task. Targeting efficiency improvement, enhanced color-based features together with dynamic clustering KFE module is proposed and implemented, achieving high efficiency ratio and satisfactory accuracy. While targeting accuracy, a traditional and deep learning-based hybrid feature is proposed, achieving valid efficiency ratio and highest accuracy. Overall, an automatic retrieving system requiring much less user engagement is provided, together with a system GUI prototype。
During this project, extensive literature research was conducted into the biomechanics of feet, the factors influencing the design of inlay soles and shoes, and advancements in 3D printing. State-of-the-art technologies and methodologies for personalized footwear, with a focus on orthotics, were also explored. Insights were gathered from experts in orthotics and inlay sole manufacturing. Additionally, data regarding dynamic plantar pressure during various activities and 3D scanning under different loads and postures were collected for subsequent analysis. Observations were made on this data to understand foot behavior, which helped filter relevant parameters for inlay sole development. Based on the research findings, a comprehensive list of requirements was formulated, encompassing all the gathered data that the inlay sole needed to adhere to.
Fused Deposition Modeling (FDM) 3D printing of Thermoplastic polyurethane (TPU) was identified as a viable and cost-effective approach for manufacturing inlay soles. To investigate this, experiments were conducted involving the mechanical testing of samples with different lattice sizes and various TPU variants, aiming to optimize 3D printing materials and parameters. The potential for multi-material printing was also explored during these experiments.
Using the insights gleaned from these efforts, a 3D-printable inlay sole was meticulously designed. Its internal structure featured a field-driven variable gyroid lattice pattern, informed by peak pressure pedobarographic data collected during walking. The shape of the inlay sole was derived from 3D scans of the user’s feet. Multiple iterations were undertaken, incorporating user feedback, prototyping, and expert interviews to refine its design.
A prototype shell shoe was created specifically to evaluate the performance of the newly developed inlay sole with users. Pressure measurements and interviews were conducted comparing the new design to the conventional one. The test results confirm the design’s effectiveness and underscore the importance of personalization in the inlay sole.
The conclusive insole design, along with the corresponding workflow, as well as recommendations for forthcoming actions, equips Bata to potentially launch and market the production of personalized inlay soles for their safety shoes in the future.","safety shoe; inlay soles; 3D printing; 3D scanning; Pressure measurements; plantar pressure; Lattice","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:c37079fb-046b-42c2-8270-d82cf929aea6","http://resolver.tudelft.nl/uuid:c37079fb-046b-42c2-8270-d82cf929aea6","Numerical study of the effect of seismic in-plane damage on out-of-plane performance of unreinforced Masonry walls","Sharma, Sudaya (TU Delft Civil Engineering & Geosciences)","Rots, J.G. (graduation committee); Hendriks, M.A.N. (graduation committee); Messali, F. (graduation committee); Ghezelbash, A. (mentor); Delft University of Technology (degree granting institution)","2023","Previous earthquake reports have highlighted the high vulnerability of masonry walls to failure when subjected to the out-of-plane (OOP) loading. Particularly, this OOP failure is a significant cause of collapse in unreinforced masonry (URM) buildings, especially those with slender walls and large openings. Moreover, in the past they were designed with minor regard to seismic design concepts. In Groningen, the Netherlands, where the majority of houses are constructed using unreinforced masonry, there have been frequent occurrences of low-intensity seismic activity, causing pre-damage to the walls. Typically, the walls get pre-damaged in the in-plane (IP) direction due to low-intensity shakes and settlements, since the box-like behaviour is established when the forces are low. Box-behaviour refers to the case where there is a strong connection between orthogonal walls and a stiff rigid floor diaphragm. As a consequence, the walls experience the desired IP shear failure during seismic loading. However, research regarding the OOP performance of pre-damaged URM walls is limited, and existing studies have shown that IP pre-damage decreases the ultimate OOP load-bearing capacity of the walls. To address this gap, this report aims to conduct a preliminary investigation on the OOP strength of pre-damaged URM walls, considering both walls without and with openings. Notably, there is no existing evidence in the literature regarding walls with openings on this specific topic. The primary research question can be stated as follows:
How does the in-plane pre-damage affect the out-of-plane load-bearing strength of URM walls?
This thesis employs a numerical modelling approach to address the research question. The software package DIANA 10.5 is used, adopting a simplified micro modelling method with shell elements to simulate the behaviour of masonry. Bricks are modelled with continuum shell elements, while the mortar joints are modelled using zero-thickness interface elements. Also, the potential vertical crack in the bricks is considered using the interface elements. The validation of the numerical modelling approach is performed in two steps. Firstly, the mechanical properties of the numerical model are calibrated using small-scale material tests. In the second step, the calibrated parameters are directly applied to the numerical monotonic analyses of full-scale walls, and the results are then compared to experimental test results. The calcium silicate (CS) brick masonry tests, from material to structural levels, conducted at the Delft University of Technology are selected as benchmarks for the calibration and validation of the numerical model. A good agreement is observed between the numerical and experimental results for the IP walls in terms of initial stiffness, peak shear force, and crack patterns. However, the results for the OOP walls are significantly overestimated, with an average overestimation of 35% for the peak force. This is attributed to the differences in boundary conditions (full or partial rotation restriction), type of tests (cyclic or monotonic) and loading conditions (displacement control or load control) between the numerical simulation and experimental tests. Nevertheless, the crack patterns are in good agreement with the experiments.
The validated model is used to investigate the OOP performance of pre-damaged walls, including both solid wall (without opening) and wall with an asymmetric opening. Two distinct approaches are considered to simulate the response of the pre-damaged walls. In the first approach, known as the reduced-parameters approach, a model is created with varying properties: reduced stiffness and strengths are assigned to locations where cracks were observed during the IP tests, while regular properties are maintained in other locations. Subsequently, the model is subjected to OOP analyses. In the second approach, known as the sequential loading approach, the wall is initially monotonically loaded in the IP direction that represents the pre-damage of the wall. Then, the OOP load is applied while maintaining the IP pre-deformation until the wall experiences failure. The study considers four different states of pre-damage, ranging from minor to extensive damage. For the solid wall, the damage levels are based on the observed damage during the IP tests up to the maximum drift of 0.2%. On the other hand, the damage levels for the wall with opening are derived from the damage observed during the IP monotonic pushover numerical simulation up to the maximum drift of 0.14%.
For the solid wall, it is found that both approaches give same results for low pre-applied IP damages, up to 0.06% drift. The reduction of the OOP peak strength is almost negligible until this drift level. As the damage increases, the reduction of the strength also sharply increases. At the maximum of 0.2% IP-drift, 40% reduction of the OOP peak strength of the wall is observed in the reduced-parameters approach, while in sequential loading approach, the wall immediately failed resulting in negligible OOP strength because of the severe IP damage. For the wall with opening, similar to the solid wall, no measurable influence of the OOP strength due to minor IP damages, up to 0.06% drift, could be observed in both approaches. The maximum reduction that could be observed is approximately 15% at maximum of 0.14% pre-applied IP drift. In the sequential loading approach, as the level of pre-damage is increased, the pre-peak stiffness of the force-displacement curve decreases, as expected for a pre-damaged wall. However, the reduced-parameters approach does not show this reduction, which could be attributed to the pre-damage applied only at specific locations. For both types of walls, there is no significant difference in the crack pattern of the undamaged and pre-damaged wall. The well-known envelope crack pattern is obtained in both cases.
To conclude, the out-of-plane load-bearing strength of URM walls is significantly affected by the presence of in-plane damages. The impact is minimal under minor in-plane damages but increases rapidly as the damage becomes more severe.
This thesis limits the numerical analyses to monotonic loading. However, for future research, it would be beneficial to extend the analyses to include cyclic or dynamic loads, as they provide a more realistic representation of seismic loading conditions. Moreover, it is recommended to incorporate various boundary conditions for the OOP loading. For instance, the research could be expanded to include a C-shaped wall configuration, where the lateral edges are supported by return walls, a scenario commonly encountered in practice.
The next step was brought by the emergence of the programmable switch architecture and P4, a language specifically designed for defining the behaviour of programmable network devices. P4 is a remarkably powerful language that allows the software developer to define almost any packet-processing functionality, all while abstracting away from the specifics of the target’s hardware architecture.
Despite its many benefits, P4 brings with it an additional layer of complexity for the network administrators, which may find themselves overwhelmed by having to learn a new programming language.
This report tackles this issue by presenting a prototype that is capable of synthesizing small P4 programs from pairs of input & output packets. Under the hood, the proposed solution uses a bottom-up enumerative synthesizer called Probe. This synthesizer was re-implemented, improved, and tailored to leverage the particularities of the problem domain.
The current strategy of generating odometry using the wheel encoders is prone to drift due to the integration of errors into the estimate. Moreover, the estimation takes place on the horizontal plane due to the nature of the wheel encoders. To improve the odometry and extend it to the full 3D space, a solution that makes use of all of the onboard sensors in a tightly-coupled manner is required.
In this Thesis, we make the first steps towards this larger goal. The contributions of this thesis include: (1) an Extended Kalman Filter (EKF) algorithm to fuse data from the Inertial Measurement Unit (IMU) and wheel encoders to estimate position and orientation of the robot in 6-DoF; (2) a line feature extraction and tracking methodology to extract primitives from LIDAR data and (3) A Moving Horizon Estimation (MHE) scheme based on a factor-graph formulation to perform pose estimation on the horizontal plane using LIDAR data and wheel encoders.
We test the three modules individually using a combination of simulations and real-world data wherever possible. We found that the MHE scheme was able to reduce drift over the long term, but is sensitive to the effects of outliers in feature matching, motion distortion of LIDAR scans, and wheel slip. The EKF scheme is able to reduce the overall drift and correct for wheel slips.
Based on these results, promising avenues for the improvement of all the proposed modules are given, along with recommendations on how to combine them all in a tightly-coupled fashion.","","en","master thesis","","","","","","","","2025-08-22","","","","Mechanical Engineering | Systems and Control","",""
"uuid:bfffe97f-e4e1-4798-912c-8ddb98bbe10a","http://resolver.tudelft.nl/uuid:bfffe97f-e4e1-4798-912c-8ddb98bbe10a","3D knitting for transformable, load-bearing structures","Groeneveld, Rebekka (TU Delft Industrial Design Engineering)","Popescu, M.A. (mentor); McQuillan, H.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research-through-design explores 3D knitting for load-bearing, transformable structures using a Material-Driven Design approach. 3D knitting is a low-waste textile production method that allows for highly adaptable designs and an iterative design process. Current literature is studied in various domains, exhibiting the knowledge gap on development of 3D knitted, load-bearing, transformable structures on the scale of a sitting object.
A tinkering phase resulted in a Design Space, demonstrating the range of possible materials, structures, geometries and transformability methods. Multiple concepts are developed to define the relationship between the parameters. The final demonstrator is the ARCHETYPE.98, a sitting object showing the adaptability, load-bearing capacity, transformability, material expressions and streamlined, low-waste production process of 3D knitted, transformable, load-bearing objects. The ARCHETYPE.98 is a bending-active textile hybrid structure. The load-bearing capacity is evaluated through a technical evaluation which exhibited the framework material to require improvement. User research exhibited the novelty of the design. The transformability of the sitting objects allows for eight variations of the aesthetics within one product. The sitting surfaces are highly adaptable through the knitted material, enabling personalization of the aesthetics and ergonomics of the chair.
The development and production process of the ARCHETYPE.98 show the need for modelling software for knit structures and textile hybrid structures to improve the technical performance and reduce the number of required iterations. Further research into the frame material and bursting strength of knit structures related to the yarn materials could improve the load-bearing capacities of the object and bring forward the limitations of the applied rigidifying method.","Knitting; Transformable furniture; textile; Load-bearing structure","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:68eef74c-7a32-4e43-8d41-778d7ea575c2","http://resolver.tudelft.nl/uuid:68eef74c-7a32-4e43-8d41-778d7ea575c2","Consistency in Stateful FaaS Platforms","van Lil, Wouter (TU Delft Electrical Engineering, Mathematics and Computer Science)","Katsifodimos, A (mentor); Kulahcioglu Ozkan, Burcu (mentor); Psarakis, K. (mentor); Delft University of Technology (degree granting institution)","2023","Serverless computing has allowed developers to write pieces of code comprising solely of the necessary functionality whilst not having to think about the underlying infrastructure. One prominent model is Function-as-a-Service (FaaS), where the code is structured into functions that run based on incoming events. This model was initially stateless, as new function calls can be instantiated at any location and there is no clear consensus on state whenever multiple instances are running simultaneously. Access to external persistent state is slow, making FaaS not suitable for low latency applications. Recent works have found different ways of incorporating state, resulting in Stateful FaaS (SFaaS). With the addition of state, these components are perfectly suited for distributed transactions. SFaaS frameworks try to outperform one another on metrics such as throughput and latency, but less work is performed on consistency.
In this thesis we look at the work on consistency of SFaaS transactions that has been done. We take Jepsen, a framework for testing transactions in distributed systems, and show that it can also be applied to SFaaS transactions. We then proceed to apply it to three SFaaS frameworks. We use Elle as a consistency checker to verify that the three frameworks comply with the consistency level they are advertised as. We have found that two of the tested frameworks do not have the consistency level promised. Facets of the SFaaS frameworks seem to have been overlooked, and diverging from the laid out benchmarking path quickly results in unintended behaviour.","Serverless; SFaaS; Consistency; Stateful","en","master thesis","","","","","","","","","","","","Computer Science | Software Technology","",""
"uuid:9f1ec72c-10df-4140-a7a4-53ee6a7bd86d","http://resolver.tudelft.nl/uuid:9f1ec72c-10df-4140-a7a4-53ee6a7bd86d","The effect of social identities on the opinion dynamics of nuclear energy: Exploring the emergence of public opinion patterns regarding nuclear energy","Hogervorst, Nwankwo (TU Delft Technology, Policy and Management)","Scholz, G. (mentor); Warnier, Martijn (graduation committee); Delft University of Technology (degree granting institution)","2023","This research focuses on public attitudes toward nuclear energy in the context of reaching consensus on energy solutions for climate action. Using the Social Identity Approach, it analyzes how social groups shape opinions, with a spotlight on Germany and France. Political affiliation, age, socio-economic status, and gender are key identity factors. The study employs an agent-based model, revealing that discussing nuclear energy within multiple identity contexts promotes consensus and depolarization. The findings underscore the significance of social identities and context in shaping opinions, transcending the nuclear energy discourse to advocate for inclusive discussions in decision-making processes. Future research can delve into social influence, ongoing debates, and additional factors to comprehensively understand nuclear energy attitudes.","Climate action; Nuclear energy; Social identities; Opinion dynamics; Agent-based model; Decision-making","en","master thesis","","","","","","https://github.com/nhogervorst13/thesis Nuclear energy opinion dynamics model","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:05457630-2d9f-4c7c-9a7a-0c30587df2ef","http://resolver.tudelft.nl/uuid:05457630-2d9f-4c7c-9a7a-0c30587df2ef","Understanding energy injustices experienced by stakeholders in the Rotterdam-The Hague energy region: Examining the decision-making process on a local and regional level and its impact on a just energy transition","Wolters, Sybren (TU Delft Technology, Policy and Management; TU Delft Multi Actor Systems)","Hoppe, T. (mentor); Okur, Ö. (mentor); Martinez Reyes, A. (mentor); Delft University of Technology (degree granting institution)","2023","Climate change has devastating effects on society, increasing the risk of natural disasters and associated health issues. These effects are caused by the greenhouse gases emitted by humans. Aligned with the Paris Agreement, the Dutch government aims to achieve a 100% reduction in emissions by 2050, striving for climate neutrality.
Climate justice, an emerging theoretical concept, recognizes the unevenly distributed burdens and benefits of carbon emissions and calls for effective mitigation strategies. One of the most polluting sectors is the energy sector, which still heavily relies on fossil fuels. To achieve climate neutrality, a transition to sustainable forms of energy is necessary. Energy justice, a theoretical framework advocating for equitable energy distribution, representative energy decision-making, and a balanced cost-benefit distribution among citizens, has gained traction in recent academic literature.
In the Netherlands, the energy transition is governed at regional and local levels through regional energy strategies. However, understanding of local and regional governance in this context is somewhat limited. This research combines the concepts of regional governance and the energy justice framework and applies them to the Rotterdam-The Hague energy region.
The research objectives of this thesis are to comprehend regional energy decision-making, identify stakeholders experiencing energy injustices, examine how these injustices are currently addressed, and propose potential improvements.
Utilizing a mixed-methods approach involving interviews and media analysis, the research reveals instances of energy injustices experienced by citizens in this region, including energy poverty. While the regional and local governments are presently unaware of this framework, they acknowledge the importance of a just energy transition and are actively developing strategies to mitigate these injustices.
Policy recommendations encompass various levels: providing structural financial support to local governments at the national level, promoting knowledge exchange and cross-border collaboration at the regional level, and enhancing citizen participation, ownership in energy projects, and insulation programs at the local level.
In conclusion, this thesis offers valuable insights into local and regional energy transition governance, focusing on energy justice, energy poverty, and citizen participation.","Energy Justice; Regional energy strategy; Governance; Rotterdam-The Hague metropolitan region; Energy Transition; Citizen Participation","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:1d8f5279-a15d-4739-a8e0-5e7302f3fa15","http://resolver.tudelft.nl/uuid:1d8f5279-a15d-4739-a8e0-5e7302f3fa15","Robust backdoor attack against federated learning","Chen, Congwen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Verwer, S.E. (mentor); Liang, K. (mentor); Wong, J.S.S.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Current backdoor attacks against federated learning (FL) strongly rely on universal triggers or semantic patterns, which can be easily detected and filtered by certain defense mechanisms such as norm clipping, comparing parameter divergences among local updates. In this work, we propose a new stealthy and robust backdoor attack with flexible triggers against FL defenses. To achieve this, we build a generative trigger function that can learn to manipulate the benign samples with an imperceptible flexible trigger pattern and simultaneously make the trigger pattern include the most significant hidden features of the attacker-chosen label. Moreover, our trigger generator can keep learning and adapt across different rounds, allowing it to adjust to changes in the global model. By filling the distinguishable difference (the mapping between the trigger pattern and target label), we make our attack naturally stealthy. Extensive experiments on real-world datasets verify the effectiveness and stealthiness of our attack compared to prior attacks on decentralized learning framework with eight well-studied defenses.","Federated Learning; Backdoor attack; Flexible trigger attack","en","master thesis","","","","","","","","","","","","Computer Science | Cyber Security","",""
"uuid:c566637c-7704-4d90-815b-081f38b6d482","http://resolver.tudelft.nl/uuid:c566637c-7704-4d90-815b-081f38b6d482","Design and Engineering of AeroGrip: An Exoskeleton-Lite Solution towards Ergonomic Welding","Verdoes, Floris (TU Delft Industrial Design Engineering)","Yuan, T. (mentor); Tempelman, E. (graduation committee); Genani, Gaurav (graduation committee); Delft University of Technology (degree granting institution)","2023","This design project marks the collaborative efforts of Translas, a prominent welding company based in the Netherlands, and Skelex, a scale-up enterprise specializing in exoskeleton design. Translas developed the 8XE fume extractor torch. However, a common complaint of the new torch is its decreased usability and potential ergonomic risk. To address this problem, Skelex and Translas have united their expertise to develop an exoskeleton-lite solution, aimed at assisting welders in carrying the torch. The aim is to alleviate the physical strain of welding and enhance overall ergonomics. A literature review of welding, ergonomics, and exoskeletons reveal that musculoskeletal disorders form a significant societal problem with large financial losses, which provide opportunities for cutting-edge companies as Translas and Skelex, and form the groundwork for a problem definition, design goal, and drivers. Through a numerical model the specific ergonomic concerns of the 8XE torch are analysed, which is also used to assess the final design. It was found that generally static welding forces with the 8XE are within safe parameters as recommended by ergonomic experts. The bending stiffness of the 8XE cable contributes significantly to the wrist moment, being estimated to reach values of 0.5Nm in conventional welding, compared to the 0.7Nm contribution due to weight. Friction in the ball-and-socket joint, and inertia ore comparatively low, and do not significantly cause ergonomic concerns. An iterative prototyping phase diverges to explore various ways to offload the 8XE’s weight on the wrist to stronger body parts, converging to two good concepts. A simple strap off loading the weight on the wrist to the lower arm for a limited amount of welding positions, and an exoskeleton worn on the waist and shoulders carrying the full weight of the torch using a tool balancer. After three user tests, two of which with welders in industry, both concepts were combined which led to the creation of AeroGrip. AeroGrip is an exoskeleton-lite product that eases welding by changing the weight distribution on the upper extremities, improving ergonomics and helping welders ‘be their ultimate’; they can weld safely for longer, with more precision, whilst experiencing less strain. It is a system that attaches to the welding cable through a ladder strap and buckle. A hook attached to a Kevlar cable can be pulled out and attached to the special AeroGrip Gloves, which feature a leather tab with grommet. The Kevlar cable is attached to a power spring via a spool in the casing, which ultimately results in a Tension of ~12N. Two final user tests, and comparative numerical analysis show that AeroGrip eases welding, provides an ergonomic benefit, and is convenient to use. FEM analysis and friction analysis ensure feasibility, whilst an assembly plan and business case ensure viability.","Exoskeleton; Ergonomics; Welding; Wrist","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:2146c9bf-c5ad-4c79-9790-8a5b3c150ec9","http://resolver.tudelft.nl/uuid:2146c9bf-c5ad-4c79-9790-8a5b3c150ec9","Electrochemical Reduction for Metal Recovery in Water Treatment: A Novel Approach","Soodan, Akhilesh (TU Delft Civil Engineering & Geosciences)","van Halem, D. (mentor); Lindeboom, R.E.F. (mentor); Kraaijeveld, E. (mentor); Delft University of Technology (degree granting institution)","2023","Groundwater is an essential source of drinking water, and it often contains contaminants in the form of dissolved metal ions that pose health risks and affect its suitability for consumption. Removal of these contaminants by conventional treatment methods, such as oxidation and filtration, results in additional treatment steps for managing sludge. New techniques are needed to prevent the formation of low-value sludge and provide better control over the drinking water treatment process. This study focused on understanding the mechanistics of electrochemical reduction for its utility as a groundwater treatment method. It was done by passing artificial groundwater containing dissolved metal ions Fe2+, Mn2+, and Al3+ through a stainless steel cathode in an electrochemical cell. It resulted in the removal of these ions through electrochemical reduction and the recovery of metals as deposits. The experiments were performed with very high metal ion concentrations (0.72 mmol/L) to obtain clear and noticeable results from their electrochemical reduction.
It was observed that while Fe2+ and Mn2+ were removed from the water and deposited on the cathode, Al3+ did not get electrochemically reduced. It was due to the system settings adopted for the study being unsuitable for Al3+ removal. It highlights the potential of electrochemical reduction as a selective treatment process that offers control by manipulating the system settings. Upto 51.4% removal was observed in Fe experiments, while for Mn experiments, up to 22.22% removal was observed. As the deposits grew with the volume of water treated during an experiment, the electrochemical reduction declined. The removal of metal ions from water became negligible when the volume of water treated reached 6.3 L. The decrease in the effective surface area of the cathode because of deposits and the changing water composition near the cathode, as the volume of the water treated was increasing, was detrimental to the transfer of electrons from the cathode to the dissolved metal ions. It was also observed that the voltage rises continuously as the water is treated due to increasing cell resistance.
Another observation was that the pH of the water matrix is an essential factor in the electrochemical reduction of the species, with cathodic depositions increasing as the pH increases. Fe depositions increased 5.8 times from 0.109 μm at pH 4 to 0.630 μm at pH 7, while Mn at pH 4 had negligible deposits, which rose to 0.213 μm at pH 7. As the pH decreases, the entropic barrier of H+ ions decreases, leading to H2 production and a decline in FE.
Further, it was observed that the electrochemical reduction performs better when a water matrix has only one type of metal ion (individual) instead of a water matrix with all three types of metal ions simultaneously (combined). The FE of Fe2+ ions in the individual case is 35.05% while it is 11.5% in combined, at pH 7. It is 14.90% for Mn2+ in individual and 1.75% in combined. This could be from the decreased availability of the free metal ions in the combined case - due to the formation of bonds between the ionic species and changes in the thermodynamic feasibility of electrochemical reduction resulting from changes in the water matrix composition.
Further investigations are required to check performance with natural groundwater samples, optimize the system settings and find cathode material that best fits the desired contaminant removal.
The research first provides an in-depth analysis of the influence of atmospheric stability on wind shear profile, aiming to extend the wind shear profile beyond the range of LiDAR measurements. Recognizing the limitations of existing power law and logarithmic law extrapolation methods, the study validates the use of multiple stability correction functions for accurate wind speed extrapolation. Subsequently, the research delves into the intricate effects of wind shear and turbulence on fatigue loads at the blade root of wind turbines, leveraging aeroelastic simulations. This research addresses the challenge of assessing wind turbine suitability for sites where one or several wind climate parameters surpass their design class values. It investigates the potential of the Response Surface Methodology (RSM) to estimate site-specific fatigue loads, a process that conventionally requires extensive aeroelastic simulations. This research also extends the scope to include the assessment of site-specific wind turbine power curves, validating the use of the Rotor Equivalent Wind Speed (REWS) and turbulence renormalization methods. Both methods show promise in estimating site-specific wind turbine power curves using a power curve measured under varying wind conditions.
In essence, this study emphasizes the significant impact of wind shear and turbulence on the performance and longevity of wind turbines. By shedding the light on potential improvements, this study hopes to contribute towards accurate power output and fatigue load assessments.
Multiple challenges affect the effectiveness of climate change mitigation and adaptation measures, such as accountability, intergenerational justice and developing countries’ increased participation in greenhouse gas emissions. The high complexity of information surrounding models’ assumptions, results, and scenarios related to climate change also presents a challenge, especially when communicating with policymakers. In this context, support tools such as policy platforms can help bridge the science-policy gap by allowing policymakers to understand scenarios and available policy levers, enabling a better understanding of relevant concepts and models or serving as hubs for disseminating best practices and success stories. Available literature often evaluates support tools within the context of use by regular citizens, making it unclear how policymakers perceive support tools and how well they meet their needs, pointing to an important knowledge gap. This thesis explores policymakers’ and policy advisors’ perceptions of the usefulness of climate change mitigation and adaptation (CCMA) policy platforms and the characteristics of such policy platforms they prefer in order to use them as support tools. A collective case study was conducted with ten CCMA policy platforms within the context of the EU-funded Horizon 2020 programme. In addition, interviews (11) and surveys (9) with policymakers and policymakers’ advisors in the Netherlands and seven other countries within and beyond the EU were conducted.
Nine characteristics of CCMA policy platforms were identified: Transparency & Credibility of information, Ease of use, Flexibility of use, Accessibility & Portability, Education & Awareness, Communication of complex information, Data visualisation & interactivity, Actively maintained and supported, and Security & privacy. Interviews and surveys show that accessibility, relevance, applicability, and credibility were identified as the primary factors driving the perception of policymakers about the usefulness of CCMA policy platforms and four groups of characteristics were identified with decreasing levels of priority for policymakers: mandatory or must-have (formed by communication of complex information, free and open access to the tool, transparency regarding data sources and limitations, and high level of detail for spatial and temporal data); highly desirable or should-have (formed by availability of training and learning functionalities, availability of detailed documentation on concepts and models, interactive and easy-to-navigate elements, and availability of a web-based platform); ‘nice to have’ or could-have (user stories from policymakers or communities, availability of very recent data, ability to import user data/export results, and ability to modify parameters and run custom analyses), and indifferent (availability of languages beyond English and the ability to use the tool in mobile phones or tablets).
Four main recommendations are made to improve the design and use of CCMA policy platforms: Incorporating systematic reviews of existing CCMA policy platforms as part of projects developing such platforms, involving boundary organisations in the development and use of CCMA policy platforms, developing CCMA policy platforms with longer life expectancies and developing CCMA policy platforms flexible for different needs and preferences of policymakers.
With these results, new CCMA policy platforms can be developed with a better understanding of how useful policymakers perceive them and what they want from these support tools.","Policy platforms; Decision support tool; climate change mitigation; climate change adapation; Decision Support System; decision making; Policymakers; Policymaking","en","master thesis","","","","","","","","2024-08-22","","","","Engineering and Policy Analysis","",""
"uuid:14495d06-4588-41bf-bd7d-fc3e9cc287b2","http://resolver.tudelft.nl/uuid:14495d06-4588-41bf-bd7d-fc3e9cc287b2","Hyperloop: A Multi-Objective Optimization Approach to Network Design","Ergez, Doruk (TU Delft Technology, Policy and Management)","Maknoon, M.Y. (mentor); Heijnen, P.W. (mentor); Rezaei, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The pivotal sector of transportation has shown signs of a surge in demand. The European Union projects a 42% increase in passenger transport from 2019 until 2050. Policymakers and stakeholders must collaborate to address the increasing transportation demand while considering environmental, societal, and economic benefits Despite efforts to mitigate emissions, the transportation sector has not achieved substantial reductions. The emergence of Hyperloop technology presents a disruptive solution that could address this transportation challenge in Europe. It has been pursued as a viable alternative to air travel, rail, and traditional forms of transportation due to its affordability, sustainability, and rapid speeds of up to 1200 km/h. Even though Hyperloop is a promising alternative in the transportation sector, the technology is still largely in development. There are multi-dimensional considerations in understanding whether the Hyperloop will become a mainstream transport option for passengers and whether the conflicting objectives will result in an efficient Hyperloop network. A knowledge gap was identified with a lack of studies to explore the relationship between the network design objectives and the network design itself.
In order to identify the impacts of the Hyperloop network design in the global transportation sector, a literature review was conducted on the transformative potential of the Hyperloop. Key strengths were identified as a reduction in travel times and low operational emissions. On the other hand, the high capital resources required and the uncertainty around the safety of technology were the main points of criticism. In order to analyze the potential demand for Hyperloop and model the modal shift, a Multi- Nominal Logit was employed where a utility function was formulated for the total benefit passengers receive upon completing a trip. The key attributes for the utility function were selected as travel time, travel costs, number of transfers, and safety perception, in alignment with previous studies on the subjects. A utility-based probabilistic mode choice was determined for the available demand. A multi-objective optimization problem was formulated for the facility-location network design of Hyperloop.
The decision variables of the model were formulated as the decision to open a Hyperloop hub at a location and the decision to build infrastructure between the selected Hyperloop hubs. The model output is an alternate network optimized for four different objective functions. These objectives are determined to be (1) Utility Maximization, (2) Probability of Purchase Maximization, (3) Emission Minimization, and (4) Revenue Maximization as these factors were determined to be key performance indicators in a prospective Hyperloop network. The model aims to provide the decision-makers with an overview of the trade-offs involved with varying objective criteria considered in the network generation.
A case study was created to test the model within Europe. The main aim of the case study is to assess the economic and environmental impacts of the Hyperloop system and provide recommendations to policymakers regarding the conception of the Hyperloop network within the European Union. The case study employs the NUTS classification and excludes European countries where the demand data is incomplete and focuses on countries within the TEN-T network. Furthermore, three categories of experimental scenarios were set up to assess the sensitivity of the model to parameter values. The categories are (1) pricing strategy scenarios, (2) safety perception scenarios and (3) policy intervention scenarios. The findings reveal significant disparities in network characteristics based on different objective criteria. The Utility Maximization objective focuses on maximizing trip utility, leading to a network design with direct links between hubs, resulting in compact networks and lower infrastructure costs. However, Spain and Italy have lower priority in this design. On the other hand, the other three objectives (probability of purchase maximization, emission minimization, and revenue maximization) yield networks with a minimum-spanning tree pattern. These networks outperform the utility maximization network in terms of attracting passengers, reducing emissions, and economic performance. To maximize societal benefits, it is recommended to prioritize the remaining three objectives. The study finds that Hyperloop becomes more competitive for longer-distance trips. Experimentation with ticket prices, safety perception, and policy interventions demonstrates their influence on modal share, revenue stability, and carbon emissions. Higher ticket prices discourage Hyperloop usage, safety perception plays a crucial role, and policies discouraging short-haul flights result in higher Hyperloop modal share and lower emissions. These findings highlight the importance of considering ticket prices, safety perception, and strategic policies to promote sustainable transportation and reduce carbon emissions through a modal shift to Hyperloop.
Future research opportunities include expanding the utility function to incorporate additional attributes affecting mode choices, exploring modal shifts from other modes to Hyperloop, relaxing assumptions about geographical obstacles and hub locations, integrating strategic and tactical planning, and validating the model with a broader range of origin-destination pairs. Computational performance can be enhanced using meta-heuristics to compare different heuristics for network outputs and efficiency.
This research identifies the limitations of the existing lens mounting design in terms of manufacturing, stability, and adhesive usage. To address these challenges, a self-centering design is proposed, leveraging the geometrical relationship between thread angle, barrel and retaining ring diameters, and lens clearance. By optimizing these parameters, the centering error is substantially reduced, demonstrating a considerable improvement in centering precision compared to the current method. Additionally, thermal effects are mitigated through a symmetrical design and local flexibility, reducing the impact of temperature fluctuations during operation and transportation.
An analytical assessment of five different collimator and coupler lens mount concepts are presented to identify an optimal design meeting specific operating temperature, stresses, eigenfrequency, and manufacturability criteria. Numerical analysis is employed to evaluate the performance of each design concept relative to the currently employed mounting designs.
The resulting optimized self-centering coupler and collimator lens mount exhibits centering errors influenced by various tolerances. These tolerances have a deviation of ±0.2% for the lens radial surface, ±1° for the thread angle, and ±0.2 mm for the remaining parameters. The calculated centering errors for coupler lens and collimator lens 1 and 2 are 6.27 μm, 8.24 μm, and 6.98 μm, respectively. However, it is crucial to acknowledge that these results are purely theoretical and necessitate validation through experimental data to ascertain their accuracy.
Overall, this optimized self-centering technique offers enhanced stability, relaxed manufacturing tolerances, and eliminates the need for adhesives when compared to the current flexure design. These significant findings contribute to the advancement of optomechanical engineering and provide valuable insights into the implementation of self-centering mounts, thereby enhancing precision while relaxing manufacturing requirements in optomechanical mounting.
To bound the scope of the research, assumptions are made regarding the installation vessel, foundation dimensions, jacket-pile connection, and site specifications based on a case study and the resources of DEME. To design the SPIT, the use of the SPIT is analysed, considering a wide range of design options. The most significant inputs to the analysis are the limitations of the installation vessel and operational efficiency. Next, the changes to the suction pile and jacket frame are examined. The selected grout connection between the suction pile and the jacket frame creates a jacket frame similar to a standard pin pile jacket. The suction pile requires a stub on top of the top-plate. An optimization study is conducted to determine the size of the stub. These two analyses provide the general design requirements for the SPIT, which is then checked for structural strength, installation tolerance of the suction piles and lift capacity. The checks are based on industry standard codes.
Analysis shows that the hydrodynamic loading on the suction piles induces the largest loads on the SPIT. However, if the suction piles are incorrectly placed in the seabed, the interaction between the soil and the suction piles could result in even larger loads on the SPIT. The models used in this thesis should provide conservative estimates. In future research, the analysis of hydrodynamic loading, geotechnical analysis, and dynamic response of the SPIT should be verified and justified using more sophisticated models and/or simulation software.
The results from this thesis indicate that the proposed design of the SPIT provides a solution to extent the installation of SPJ for OWT. The research identifies four key design challenges. Each challenge indicates solvable obstacles to the design of the SPIT. Based on the results, the estimated total weight of the SPIT is 240mt. DEME's installation vessel, the Orion, has sufficient lift capacity to perform the installation and deck-space to perform up to 13 installations in one trip.
Similarities with the creative problem solving process and trust building process were drawn to form a template. Further the research was scoped to see trust building from an individual standpoint, i.e. design consultant being the facilitator in enabling clients build trust towards them. The project was set with the research question of “How do individuals in design consultancies help build trustful relationships with clients amid the constant shift in design perceptions? “
From empirical and theoretical analysis, eight driving factors and many trust building actions were identified. Apart from this, the research identified a lack of trust building awareness, how it is important to build trust around your context and with yourself. Further a trust building journey was developed that establishes how trust is built in a step wise approach and how it can be empowered for skill development for individuals seeking to work in a consultancy environment.
The design solution is a guidebook developed to raise awareness and help surface the factors necessitated towards trust building through narrative-based learning. Narratives of working professionals experiences highlighting suitable actions taken for trust development helps individuals reflect on their ways of working and embed new actions that improves trust building.
Testing existing placement strategies by performing channel placement games, we can assess the difference in the reward that indicates the potential loss that competition may cause when operating in the Bitcoin Lightning Network.
Furthermore, we have developed a new strategy that is able to improve the performance in the multi-actor model.","lightning network; Channel placement; Game theory; Blockchain; Placement strategy; Stackleberg Games","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:0c68d25c-52f1-4b3f-b7b3-b4e2aacea487","http://resolver.tudelft.nl/uuid:0c68d25c-52f1-4b3f-b7b3-b4e2aacea487","Polarimetric Feature Analysis of Multi-Class Vehicles Using PARSAX Full Polarimetric FMCW Radar Data","Zhang, Qing (TU Delft Electrical Engineering, Mathematics and Computer Science)","Krasnov, O.A. (mentor); Yarovoy, Alexander (graduation committee); Dauwels, J.H.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Nowadays, accurate vehicle classification plays a critical role in Advanced Driver Assistant Systems (ADASs), autonomous driving systems, and traffic monitoring systems. The benefits of utilizing additional polarimetric information in road target classification have been revealed in the literature. This thesis investigates the polarimetric characteristics of multi-class vehicles and explores new features contributing to vehicle classification, using a labeled street-way database extracted from the PARSAX S-band polarimetric Frequency Modulated Continuous Wave (FMCW) radar. The vehicle classes involved in this thesis are sedan, sedan with extended luggage bin, mini-van, small truck, and large truck.
Three calibration algorithms are proposed and validated for calibrating the labeled street-way database to ensure feature quality. The first channel calibration algorithm removes the channel-specific amplification factors and biases due to the non-ideal and non-identical electronic devices in the four polarimetric channels of the PARSAX radar. The second phase compensation algorithm compensates the phase difference between the H- and V-polarized channels, which is caused by the time shift between the transmitted H- and V-polarized signals. The last antenna pattern compensation algorithm resolves the power degradation in the measurements due to the PARSAX radar beam width limitation.
Based on the calibrated labeled street-way database, multiple polarimetric features are extracted from the Polarization Scattering Matrices (PSMs), coherency and covariance matrices using the eigenvalues/eigenvectors decomposition methods. These matrices represent either the central bodies or the whole bodies of the vehicles. In each case, the eigenvalues and eigenvectors are analyzed to indicate the vehicles' reflection amplitudes/power and polarization basis. Furthermore, these features are evaluated, and most of the amplitudes/power-based features show great classification capabilities. However, all vehicles have a similar polarization basis, which does not have a contribution to vehicle classification. In addition, the target length and eigenvalues of the covariance matrix of detection cells are also extracted as potential features. The feature evaluation results show that the target length and the first eigenvalue of the covariance matrix of detection cells have classification capabilities, while the second eigenvalue does not contribute to vehicle classification.","Radar polarimetry; Vehicle classification; Vehicle polarimetric characteristics","en","master thesis","","","","","","","","2024-12-31","","","","Electrical Engineering","",""
"uuid:d80d67e7-ed1a-42e2-996a-61927b05433b","http://resolver.tudelft.nl/uuid:d80d67e7-ed1a-42e2-996a-61927b05433b","Measuring the environmental impact of a livestock feeding robot life cycle","Hoogerbrugge, Ben (TU Delft Technology, Policy and Management)","De Stefani, J. (mentor); Verburg, R.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The pursuit of sustainability is at the forefront of international conversation in an era marked by urgent environmental challenges. This research aims to shed a light on tools, indicators, and methodologies used to assess sustainability, paving the way for informed decisions, actionable insights, and a brighter tomorrow.
The Paris Climate Accords urges the importance for sustainability and the reduction of environmental impact. At the same time, the demand for animal protein is growing due to an increase in world population and changing diets, resulting in growing challenges regarding the environmental impact of the agricultural and livestock sector. Firms aim to provide technological solutions that contribute to a sustainable way of farming. One of the technological solutions that lack scientific research is the livestock feeding robot. This knowledge gap offers the opportunity to investigate measuring the sustainable performance of livestock feeding robots. Additionally, scientific literature describes Life Cycle Assessment as a common and fitting methodology to measure the environmental impact of products. Furthermore, firms want to become more sustainable for competitive advantage and to be able to meet future regulations. Measuring sustainability is essential in the transition towards sustainability because the measurement can provide insights for decisions about strategic planning, product design, and supply chain design. Therefore, this research examines how the Life Cycle Assessment methodology measures the environmental impact of a livestock feeding robot life cycle by executing a case study.
A literature review was conducted to gain more detailed information on the Life Cycle Assessment methodology and environmental impact. But also, to identify multiple types of Life Cycle Assessment methodologies. The Fast Track Life Cycle Assessment methodology was selected based on data availability and compatibility with the goal of the research t0 measure the environmental impact of a livestock feeding robot. Carbon Footprint and Eco-costs were selected as impact indicators due to their practical characteristics for firms. The case study resulted in a Carbon Footprint of 34944 kg CO2 equivalents, equal to the offset of 1588 mature trees existing for one year. Additionally, the Eco-costs results in 9192 euro, representing the required investment to lower the environmental impact to a sustainable level by selecting the best available alternative technology which is needed to meet the required level of emission allowances.
To conclude, this study provides a case study about measuring the environmental impact of a product life cycle. Although this study focuses on the assessment of a livestock feeding robot, the same case study design can be used to measure the environmental impact of similar products. Therefore, other firms can replicate the case study design to measure environmental impact and meet future regulations, as well as maintain or improve their competitive advantage.
Through a combination of literature study, context research, in-depth interviews, and surveys, this study synthesizes insights to understand the changing nature of tasks, identify influential factors, and determine the appropriate level of human involvement with the main research question: “In the different PBB operation types (e.g., semi-auto controlled in a PBB, semi-auto controlled outside PBB), which tasks can be delegated to automated systems, and which tasks should be performed by humans?”
The main insights indicate that high-precision tasks are identified as potential candidates for AS delegation, while tasks requiring clear communication and meticulous inspection align better with human management. The study underscores the significance of effective information exchange, emphasizing the multifaceted roles of humans beyond mere data exchange.
To address this, two main outputs were introduced: one is a decision-making board game as a speculative probe called PBB, and the other one is a roadmap for RSG’s future vision, which can empower organizations with insights into the evolving nature of tasks for both humans and ASs. The PBB game is expected to allow diverse stakeholders to enact multiple scenarios in various decision pathways aligned with different levels of automation. Further, the roadmap will envision the optimal future scenario, leading to actionable plans for organizations with a holistic understanding of Human-Automation Collaboration (HAC).
Numerous studies have been conducted on SSTs for low-voltage levels, and there is a wide scope for research in the area of medium and high-voltage converters. Also, the scope of using Planar transformer (PT) as high-frequency transformers in DC-DC converters for medium and high-voltage applications is yet to be extensively explored. Hence, the main focus of this thesis would be to develop an isolated bidirectional DC-DC converter with a planar transformer that can be used in an SST which can potentially connect a low-voltage DC microgrid comprising a solar-based car parking system, to a medium-voltage AC grid.
Firstly, various DC-DC converter topologies have been investigated, and the single phase Dual active full bridge (DAFB) is chosen as the best suitable topology for this application. Secondly, various control strategies have been investigated, and single-phase shift control has been implemented due to its simple control techniques and low data transfer requirement across high isolation. Thereafter, a script is developed to identify the optimal parameters for the Dual active bridge (DAB) and PT design. Further, the planar transformer with high isolation is realized by considering various factors like shielding and termination into account. Finally, a single-cell prototype is developed and tested for DAB operation and isolation requirement of the designed planar transformer.
The result of the presented work is a single-cell isolated bidirectional DC-DC converter rated for 12 kW designed and developed with a PT rated for 16.3 kV isolation.","Planar Transformer; Solid State Transformer; DAB converter; Planar magnetics; Medium voltage; DC-DC converter","en","master thesis","","","","","","","","2025-08-22","","","","Electrical Engineering","",""
"uuid:42f6403d-e49a-4243-b0db-c1bb0cad0429","http://resolver.tudelft.nl/uuid:42f6403d-e49a-4243-b0db-c1bb0cad0429","LiDAR and Radar-Based Occupancy Grid Mapping for Autonomous Driving Exploiting Clustered Sparsity","Onen, Cagan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Joseph, G. (mentor); Pandharipande, Ashish (mentor); Delft University of Technology (degree granting institution)","2023","Occupancy grid maps are fundamental to autonomous driving algorithms, offering insights into obstacle distribution and free space within an environment. These maps are used for safe navigation and decision-making in self-driving applications, forming a crucial component of the automotive perception framework. An occupancy map is a discretized representation of a chosen environment that is constructed using point cloud information obtained from sensor modalities like LiDAR and radar. In this project, we formulate the problem of estimating the occupancy grid map using sensor point cloud data as a sparse binary occupancy value reconstruction problem. We utilize the inherent sparsity of occupancy grid maps commonly encountered in automotive scenarios. Besides, the spatial dependencies between the grid cells are exploited to provide a better reconstruction of the boundaries of the objects inside the range of the map and to suppress the false alarms emerging from the reflections coming from the road. To address sparsity and spatial correlation jointly, we propose an occupancy grid estimation method that is based on pattern-coupled sparse Bayesian learning. The proposed method shows enhanced detection capabilities compared to two benchmark methods, based on qualitative and quantitative performance evaluation with scenes from the automotive datasets nuScenes and RADIal.","Point Cloud; LiDAR; radar; Occupancy grids; automotive perception; pattern-coupled prior; spatial correlation","en","master thesis","","","","","","","","2024-03-01","","","","Electrical Engineering","",""
"uuid:72f91020-0aaf-41b9-a2b4-20ecfccade24","http://resolver.tudelft.nl/uuid:72f91020-0aaf-41b9-a2b4-20ecfccade24","Numerical Investigation of Floating Wind Turbine Wake Interactions Using LES-AL Technique","Li, Yuan-Tso (TU Delft Aerospace Engineering)","Yu, W. (mentor); Ferreira, Carlos (mentor); Sarlak, Hamid (mentor); Delft University of Technology (degree granting institution); Technical University of Denmark (degree granting institution)","2023","Large scale wind farms consisting with floating offshore wind turbines (FOWTs) will be a solution for offshore wind energy industry to access more wind resources. However, wake structures and wake interactions of FOWTs subject to motions are still not yet been fully understand, especially when they are under turbulent inflow conditions with realistic turbulent intensities. These will be critical for designing floating offshore wind farms. Note that the majority of previous research are conducted with single rotor using models having relatively low fidelities and/or focusing on laminar inflow conditions. To advance the knowledge about wake and wake interactions of FOWTs, numerical studies about rotors of FOWT with prescribed harmonic surging motions are conducted in this thesis project with high fidelity CFD models, namely large eddy simulation (LES) coupled with actuator line model (ALM). Cases with single rotor without controller, dual rotors in tandem without controller, and dual rotors in tandem with controller are simulated with various of settings, including different surging settings and different inflow turbulence intensities.
For the cases with single rotor without controller, it is found that the differences of wake structures between fixed and surging rotors are pronounced when under laminar inflow conditions, where the periodic structures related to the harmonic surging motions can be detected straightforwardly; while the differences are much less significant when under inflow conditions with realistic turbulence intensities, and the periodic structures are clearly revealed only after phase-locked averaging. Moreover, surging cases with laminar inflow conditions have wake recovery rates which are significantly higher than the fixed case with laminar inflow; however, with turbulent inflow, wake recovery rates for surging cases are only slightly higher than the fixed case.
For the cases with dual rotors without controller, it is found that the wake interaction modes between the two rotors are significantly affected by the surging settings for the laminar cases, while the turbulent cases are insensitive. However, the power performances of the downstream rotors will be increased slightly with surging upstream rotors for the turbulent cases.
For the cases with dual rotors with controller, it is found that the implemented simple controller cannot improve the performances of the rotors as designed due to the large rotational inertia, and thus the modes of wake interactions are not altered a lot. However, the downstream rotors' operational parameters were successfully changed to more desirable values by the controller, demonstrating the controller's potential for numerical analysis of wake interactions between wind turbine rotors.
The findings of this effort demonstrates that the wake structures due to the surging motions of FOWT rotors will be smeared out by the ambient turbulence; and to achieve better power performances, more advanced controlling strategies may have to be implemented for FOWTs subject to surging motions.","Floating offshore wind turbines; Surging; Wake and wake interactions; Controller of FOWT; LES; Actuator line model","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:464af445-928a-4683-993a-0703e274648b","http://resolver.tudelft.nl/uuid:464af445-928a-4683-993a-0703e274648b","Advanced constitutive model parameter determination, optimisation and selection using a database of triaxial tests and machine learning tools","Stals, Michael (TU Delft Civil Engineering & Geosciences; TU Delft Geo-engineering)","Brinkgreve, R.B.J. (mentor); Siderius, K. (mentor); Cabrera, M.A. (mentor); Rongier, G. (mentor); Delft University of Technology (degree granting institution)","2023","Numerical modelling in Geo-Engineering is used to solve complex problems by simulating, analysing, or predicting soil behaviour under certain loading and boundary conditions. The soil behaviour is simulated by constitutive models that describe the relationship between stresses and strains through a mathematical formulation. Model parameters are used to calibrate model behaviour to physical soil behaviour measured during in-situ testing (e.g. CPT) or laboratory testing (e.g. triaxial testing). The selection of model parameters is challenging as it needs to cope with aspects as, constitutive model limitations, laboratory test limitations, sample disturbance, soil heterogeneity and many other. This study shows how these model parameters can be determined, optimised and selected by using over 3000 triaxial test results performed on dutch soils (stored in text files) and machine learning tools.","triaxial test; parameter determination; constitutive models; machine learning","en","master thesis","","","","","","","","2024-02-22","","","","Geo-Engineering","",""
"uuid:c95480d2-861e-4fc9-9cec-4d7de82a4d59","http://resolver.tudelft.nl/uuid:c95480d2-861e-4fc9-9cec-4d7de82a4d59","Effect of multipass welds and pores on residual stresses in butt-welded joints","Cascella, Roberto (TU Delft Civil Engineering & Geosciences)","Veljkovic, M. (mentor); Maljaars, J. (graduation committee); van der Meer, F.P. (graduation committee); Malschaert, D.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Welding is a widely used process in various industries for joining materials, but it can introduce several complexities that affect the mechanical properties of the welded components. In particular, the presence of pores within the welded material can have significant implications for fatigue resistance, residual stresses, and overall structural integrity. The primary objective of this thesis is to develop and analyze thermal and mechanical models to understand how various parameters, including geometry, mesh, material properties, boundary conditions, and heat input, influence the behavior of a welded thick plate containing pores. The simulations are conducted with different pore configurations and multiple welding passes to comprehensively explore their impact. Two Finite Element (FE) models were designed. In the first model (Model 1), the objective was to replicate the experimental setup detailed by Qiang et al. in their work ""Through-thickness welding residual stress and its effect on stress intensity factors for semi-elliptical surface cracks in a buttwelded steel plate"" [41]. This endeavor was accomplished utilizing Abaqus as software. A Dflux subroutine, written in Fortran, was incorporated to effectively emulate the welding procedure. The model was constructed to account for multiple welding passes (up to 7). By extrapolating transverse and longitudinal stresses, a comparative analysis was conducted across five distinct models and the experimental data [41]. The intention was to elucidate variations and similarities in the results. Subsequently, the second model (Model 2) was conceived to exploit the more favorable boundary conditions present in Zhang’s model as described in ""Effect of Welding Sequence and Constraint on the Residual Stress and Deformation of Thick Welded Butt Joint Made of Q345qD Steel"" [58], when contrasted with the initial experiment. Following a similar procedure, the FE model was developed, with the added feature of an increased number of welding passes (up to 12). Distortions along three distinct paths and transverse and longitudinal residual stresses within two specific regions were extrapolated and subsequently compared. The investigation then progressed to the introduction of pores into Model 2, in the simulation characterized by the lowest number of passes. The aim was to assess the impact of these pores on temperatures, distortions, and residual stresses. By comparing the outcomes of the models both with and without defects, valuable insights were gained into the effect of pores during welding processes. The main findings of this research can be summarized as follows: • Thermal data extracted from a welding experiment conducted at four distinct positions within a 250mm x 200mm x 32mm plate, as documented in Zhang et al.’s publication [58], exhibited inconsistencies when compared to the results obtained through Abaqus simulations in this thesis. These disparities predominantly stem from the absence of accurately specified thermocouple placements in the reference paper [58]. Despite conscientious endeavors to pinpoint the precise thermocouple coordinates, discernible variations of 100°C and a maximum divergence of 25% between the outcomes of the simulations and the reference findings were identified. • Residual stresses obtained in Abaqus (Model 1) trying to replicate Qiang et al. paper [41] in a 700mm x 400mm x30 mm showed good agreement with the reference simulation but deviated from the experimental data. The obtained numerical values peaked around 150 MPa, while the experimental values reached more than double that magnitude (305 MPa), for specific simulations. The number of welding passes significantly influenced the compression at the sides of the plate, with an increasing trend as the number of passes increased. • The simulations (Model 2) created to replicate Zhang et al. experiments [58] demonstrated better agreement with the experimental data for both longitudinal and transverse residual stresses. The number of passes played a crucial role in the results, with the eight to twelve passes simulation showing the closest match to the experimental data. • The presence of the studied configuration of pores in the material did not affect significantly the shrinkage, distortions, and residual stresses in the simulations. Closer to the pores, higher values for residual stresses were found. Based on the findings of this thesis, several recommendations for future research can be made. Laboratory experiments should be conducted to validate the numerical results obtained in this study. Sensitivity analysis considering modifications in the dimensions, boundary conditions, and pores locations of the model can provide further insights. Additionally, incorporating thermally independent properties can reduce the computational time of the simulations. Overall, this thesis contributes to understanding the impact of pores on the thermal and mechanical behavior of thin and thick plates and provides a foundation for future research in this area.","Residual stresses; Pores; Fatigue; Butt weld; Finite Element Analysis; Abaqus","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:94ee32b8-6dae-4402-b945-cbfc3f599dfc","http://resolver.tudelft.nl/uuid:94ee32b8-6dae-4402-b945-cbfc3f599dfc","Acknowledge: A strategy to break the silence, to learn from our history","Diercks, Inge-Lien (TU Delft Industrial Design Engineering; TU Delft Design, Organisation and Strategy)","van Boeijen, A.G.C. (graduation committee); Brouwer, W. (mentor); Ceton, Nick (graduation committee); Delft University of Technology (degree granting institution)","2023","In the six years I have been walking around in this faculty, I have gained theoretical
knowledge, but foremost gained practical handholds to design strategically. For this last stretch, I have defined a project brief with personal attachment, one that presents a complex problem that I wanted to find a solution for.
In collaboration with the Nationaal
Archief, I have enriched the current visitor journey of the ‘Centraal Archief Bijzondere
Rechtspleging’ (CABR) - an archive containing files of the more than 300.000 people who were accused of collaborating with the German occupiers, of joining the German’s armed forces, of treason or of membership of the NSB after the Second World War.
Specific chapters of Dutch national history have remained a sensitive topic. It has taken 150 years for our parliament and monarchy to apologize and ask for forgiveness for their role in the colonial past. It took the monarchy 75 years and two generations to speak about its role in the Second World War. To apologize, one needs to acknowledge something went
wrong. To acknowledge, one needs to know about what has happened and process this.
As I am a firm believer in that we can learn and grow from our diverse cultures and
historical backgrounds, I wanted to contribute to the perceived significance of history. To be able to acknowledge a part of one’s past, one needs to gain knowledge of what has happened, interpret, and process this. I have been driven to apply strategic design thinking and its principles into this historical context, to show and understand the added value.
The knowledge and experience I gained throughout my studies, enabled me to structurally analyze and enrich the visitor journey and create interventions that aim to support people in the exploration of their familial history. To support them to understand their ancestor’s role within the historical context, to give them support to process this information, and to give them handholds on how to share their insights with the generations that have come
after them.
Remaining silent is never the solution. Now, I place the ball in your court.","Strategic Design; Family History; Archiving; Sensitive Design; Nationaal Archief; Policy design","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:5713939a-54c2-447a-8b90-e9d4e3c59154","http://resolver.tudelft.nl/uuid:5713939a-54c2-447a-8b90-e9d4e3c59154","A matter of touch - Designing clothing to address touch deprivation among young adults","Kürti, Flóra (TU Delft Industrial Design Engineering)","Huisman, G. (mentor); Wang, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","Touch deprivation, a largely unrecognized phenomenon that affects approximately 54% of people globally, has a significant negative impact on the well-being of these individuals. Having physical connections is a fundamental part of the human experience whether it is a warm hug from a loved one or a comforting pat on the shoulder. However, touching often has a stigma around it, and expressing touch-related needs can be a difficult task. The aim of the project was to understand the needs, difficulties, and overall experiences of touch-deprived young adults (18-30) and based on the findings design to improve their well-being. This led to the concept of a clothing line consisting of pieces designed for connections, comfort, and awareness.
Through a series of qualitative research activities with the target group, we could see that it is mainly a struggle among young adults who live far from their families and friends, long-distance couples, and individuals struggling with social anxiety or other mental health issues. Touch deprivation is a complex issue, influenced by other life experiences and often intertwined with the feeling of loneliness, anxiety, emotional regulation, and can lead to low self-esteem. However, the absence of touch goes beyond the physical sensations, young adults long for the emotional connections that come with closeness. While technology enables these groups to communicate and stay connected with others over long distances, it fails to satisfy this fundamental human need of social touch and young adults often question the value of even attempting to imitate these connections with devices (e.g. mediated social touch devices – remotely sending tactile sensations to imitate social touch). Although in-person social touch can not be replaced, sensory comfort can offer some relief. Young adults often seek comfort and distractions to avoid dealing with the negative feelings they experience. As found, touch deprivation is a hidden struggle, however, raising awareness of our touch needs is crucial for initiating self-reflection and active mitigation.
Designing garments as a response to address touch deprivation offers a subtle way to embrace these young adults and help with their negative experiences. Clothing not only influences how others perceive us but also how we perform (enclothed cognition). As a visual display, it can convey direct and symbolic messages and as ‘our second skin’ intimately touches our bodies every day. Through the design of these items, the intention is to invite affective social touch – provide sensory comfort to the wearer, act as a catalyst for creating in-person connections, and lastly raise awareness of the personal touch needs and the issue of touch deprivation. The project aims to stimulate and foster real-life connections and challenge the stigma surrounding touch while supporting individual comfort.
Ultimately, this project aspires to enhance the well-being and life experiences of touch-deprived young adults by providing them with designs tailored to their needs. By incorporating subtle messaging within an accessible format (choice of clothing), we aim to empower touch-deprived individuals to take a step toward facing the issue and improving their well-being. The project hopes to make a meaningful impact and spark a broader discussion on the importance of touch in human well-being.","touch deprivation; young adults; touch; role of touch; clothing design; comfort; connection; awareness","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:aefb8a02-ac76-4275-9b82-4a595e32d32c","http://resolver.tudelft.nl/uuid:aefb8a02-ac76-4275-9b82-4a595e32d32c","Responsible Innovation of Artificial Intelligence: at Erasmus MC","de Vries, Denise (TU Delft Industrial Design Engineering)","Goossens, R.H.M. (mentor); Morales Ornelas, H.C. (graduation committee); M.H., Vink (graduation committee); Delft University of Technology (degree granting institution)","2023","In this study, the aim is to find out how Erasmus MC can properly prepare for the AI Act. An iterative design approach was utilized to explore what AI project members may experience during this regulatory process. Several factors have emerged during this experimental study, including the allocation of responsibility, the importance of education and the connection of the medical, technical and legal domains. The complexity of the problem necessitates multiple solutions, which resulted in two designs, the concept of a service system and a roadmap. The service system embodies the needs of the target group, while the roadmap offers a pragmatic guide for the organization to prepare for the changes following the legislation for AI.","Artificial intelligence; Algorightm; Healthcare; Medical Device Regulation; impact assessment; Risk assessment; Quality Management System; Regulations; project management; safety","en","master thesis","","","","","","","","","","","","Strategic Product Design | Medisign","",""
"uuid:f86364d7-2c46-41a4-bd23-6b87a67ef998","http://resolver.tudelft.nl/uuid:f86364d7-2c46-41a4-bd23-6b87a67ef998","Car-Free Development in landside airport areas: Towards Low Car(bon) policies for airport commuters","Bali, Aslı (TU Delft Architecture and the Built Environment)","Calvert, S.C. (mentor); Ersoy, A. (graduation committee); Araghi, Y. (graduation committee); Delft University of Technology (degree granting institution); Amsterdam Institute for Advanced Metropolitan Solutions (AMS) (degree granting institution); Wageningen University & Research (degree granting institution)","2023","Approximately 200,000 individuals travel to & from Schiphol Airport daily through various means such as cars, taxis, buses, shuttles, trains, motorcycles, scooters, and bicycles. With this perspective, Schiphol Airport is the largest mobility hub in the whole Netherlands, making accessibility a critical aspect. The Schiphol Group is responsible for providing/ensuring accessibility. Their main goal is to alleviate accessibility for Schiphol's customers, including passengers, personnel/commuters, business partners, and cargo, by focusing on different transportation modalities.
To achieve the goal of “the best airport for accessibility and sustainable aviation as well as land-side transport in Europe,” Schiphol Group works toward a car-free, emission-free vision and plans to apply on-site.
This thesis conjugates Schiphol’s and European Union’s (EU) goals (EGD, TULIPS) set for the aviation sector and further investigates the possibility of reaching the “Car-free Schiphol Centrum”. The objectives designated the reach this primary goal.
- A combined method to design and evaluate the car-free Schiphol Airport efficiency
- A system that uses the methods to display the efficiency of the car-free Schiphol Airport.
By exploring these objectives, the thesis aims to contribute to the overall goal of making Schiphol Airport a sustainable, accessible, and car-free hub for all commuters and users. The problem statement and main research question gather around this unifying goal:
“How can Schiphol Airport become car-free in its land-side areas?”
To address this question, the thesis proposes a combined method to design and evaluate the efficiency of a car-free Schiphol Airport. This method considers the goals set by the Schiphol Group and the European Union.
The methodology constitutes the combination of the literature-based frameworks to create anew the thesis as well as finding the best measurement tools to seek the results of a successful car-free Schiphol Centrum. Car-free Development and Transit-oriented development allow for discussing and creating the framework for a car-free Schiphol Airport. The evaluation of this framework will be done by implementing new modalities to the Schiphol to ensure a possible/potential modal shift of the users and assess the walkability of the land-side areas.
Along with the theoretical work, a case study for the thesis is conducted to apply the proposed methodology and evaluate its effectiveness. The case study focuses on the land-side areas of Schiphol Airport and aims to assess the feasibility of implementing car-free measures in these areas.
The case study's findings show that a car-free Schiphol Centrum is feasible and should be implemented in real life. The proposed measures depict such as improving public transportation, promoting cycling and walking, and providing efficient and sustainable alternatives to private cars (micro mobility options), can contribute to reducing car dependency and creating a more sustainable and accessible airport.
Overall, this thesis provides valuable insights and recommendations for achieving a car-free Schiphol Airport. By combining theoretical frameworks, measurement tools, and a practical case study.
This thesis is focused on the evaluation of the dynamic performance of a two-axis CNC lathe, subject to vibration modes excited by movement of the machine axes. To this end, two experiment setups are designed to measure displacement at the cutting tool in either axial or lateral directions and compare these displacements against the positions and controller setpoints of the corresponding machine axes. Additionally, a finite element model is created and expanded such that it emulates the machine encoders and additional cutting tool sensors, as well as the third order motion profile that is used to excite the system. Methods for automatically processing the data from these experiments and simulations are developed in parallel, allowing for large sets of data to be processed with little effort.
These experiments show that with a maximum amplitude of approximately 0.3 μm the vibrations that occur at the tool, relative to the axis encoder, are most significant in axial direction. A qualitative comparison with the finite element model indicates a mechanical issue relating to the Z axis drive mechanism, causing additional vibrations in the system. Finally, the experiment setups, corresponding data processing methods and finite element models are reflected on and incorporated into a framework for experimental machine performance evaluation. By implementing this framework, machine tool manufacturers can further evaluate and improve the dynamic performance of their machines.","Lathe; Dynamic performance; Framework development","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:a6c4c43a-264d-4f81-85c5-16633430f06b","http://resolver.tudelft.nl/uuid:a6c4c43a-264d-4f81-85c5-16633430f06b","CreatOrg Workshop - From Doubt to Drive: A Reflective Journey to Unleash Creative Confidence by Illuminating the Creative Cultural Values in Your Organisation","Quan, Yilin (TU Delft Industrial Design Engineering)","Gonçalves, M. (graduation committee); Gür, D.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Creativity is becoming increasingly essential in today’s workplaces and organisations. However, though everyone is believed to be creative, not everyone feels confident enough to express their creative ideas. The organisational culture plays a remarkable role in shaping the creative climate. If its core cultural value does not support and encourage creativity, employees may experience a lack of creative confidence. Therefore, to address creative confidence in organisations, it is crucial to study the organisation’s creative culture and implement interventions that are culturally sensitive. For this project, Rabobank and PostNL were selected as the organisation cases, specifically their innovation project teams within the innovation departments as the project focus.
The project was carried out qualitatively to study the innovation contexts of Rabobank and PostNL, in which the main participants consisted of the innovation coaches and coachees from the innovation project teams. By analysing and mapping out the creative cultures of these two companies, several key cultural values were identified. These include: high power division, reverence for company history and traditional way of working, adherence to clear rules and aversion to uncertainty, emphasis on group connections and collaborations, and a drive for success and achievement.
To help the innovation coaches in fostering greater creative confidence in the coachees, an intervention called “CreatOrg workshop” was designed. It is a templated journey (a workshop with 4 activities) that encourages coaches and coachees to reflect on their previous coaching and workshop experiences together. The workshop aimed to increase sensitivity and awareness towards the creative cultural values of ‘care’ and ‘fun’, while inspiring participants to generate potential ideas for fostering creative confidence in future coaching and workshop settings.
Later the concept was evaluated with respondents from Rabobank and PostNL, as well as other relevant stakeholders from different organisations. The results indicated that CreatOrg workshop effectively increased participants’ creative confidence and enhanced their sensitivity and awareness towards both ‘care’ and ‘fun’ creative cultural values. Participants also found it inspiring and acknowledged its potential for long-term use.
Rabobank and PostNL could directly benefit from CreatOrg workshop and the insights from their creative cultures. Other organisations might also find it helpful to reflect on their own creative cultures by studying the demonstrated creative cultural values of Rabobank and PostNL. However, further communication and follow-up validation within Rabobank and PostNL is needed. Future research could utilise the combined cultural model proposed in this project to explore organisation/culture-related subjects. Additionally, the intervention itself could be experimented with more types of organisations in the future.","Creativity; Creative Confidence; Organisation; Organisational Culture; Culture; Cultural Value; Creative Behaviour; Creative Culture; Innovation Coach; Organisational Innovation; Organisational Change; Organisational Design; Dutch Company; Case Study","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:acff3b6c-e22f-4122-8c01-321416566422","http://resolver.tudelft.nl/uuid:acff3b6c-e22f-4122-8c01-321416566422","Adoption of Dutch Cycling Practices in The National Capital Region of India by Using Technological Innovation Systems Framework","Modi, Mishank (TU Delft Technology, Policy and Management)","Annema, J.A. (mentor); van de Kaa, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Cycling is a type of recreational activity that is rarely utilized for everyday travel needs except in some countries such as the Netherlands. Health can be improved as a result of increased mobility, and by encouraging people to use public transit or cycles instead of their automobiles, traffic jams, and pollution may be reduced. The adoption of cycling in a populated and vast area like India's capital region will benefit the nation from the perspective of the economy and combating global warming. The research gap identified is that The National Capital Region of India is currently experiencing similar challenges as the Netherlands did years ago like road accidents, high traffic, and air pollution. It is crucial to pinpoint the requisite factors affecting the region's transportation preferences and the existing literature does not focus on the relevant issues from the perspective of relevant stakeholders or how these stakeholders have varied objectives when it comes to the adoption and expansion of the different modes of transportation. To investigate this, the Dutch cycling practices were chosen because the Netherlands is regarded as the best country in the world to cycle.
This leads to the research question of the thesis and that is 'What can the National Capital Region of India learn from the Dutch cycling practices with the participation of key stakeholders?'. 14 semi-structured interviews were conducted with Dutch and Indian experts to collect relevant data. The Technological Innovation Systems (TIS) framework is used as the theoretical perspective in the thesis to analyze the data collected from the interviews and answer the research questions. The cycling success of Amsterdam and Copenhagen also helped in providing a conclusion and the results from the interviews are compared to see what India lacks when compared to the Netherlands with regard to the functions and structural dimensions of Technological Innovation Systems.
There were practical and meaningful insights from the findings of the research. The key ones which the Indian stakeholders can adopt are early learning for children, spreading awareness among people about cycling and its benefits, and political commitment. These factors mark the starting point for change and give the correct direction to the stakeholders to implement this change. It is imperative for children to learn about green transportation in their early life so they can understand numerous problems related to it like climate change and sustainability. This will also enable future generations to be more conscious and they will start demanding safe infrastructure for cycling. Politicians will then respond to public demands and create the necessary cycling infrastructure. Furthermore, policymakers can also adopt innovative ideas to make cycling a viable option for all social groups and try to change the perception of people towards cycling. The key findings from the research are interrelated in some or the other way as an action by a stakeholder can unfold an action by another stakeholder and hence collaboration among stakeholders is also necessary.
The research had variety of constraints. Due to various restrictions, primarily, the most relevant factors that influence the choice of transportation were determined. Only interviews with experts were conducted, however, interviews with other people who are not experts but want to cycle or cycle regularly would have offered new insights. Regarding future recommendations, the findings from this research can be used to establish a methodical approach on how to improve cycling utilization in the Indian capital region and identify the key elements that are currently lacking. Alternatively, instead of comparing the Indian capital to an advanced nation, it may be beneficial to do so with an emerging nation or a city as it would allow Indian decision-makers to better grasp the needs of the people and act with limited resources.","Cycling; Technological Innovation System (TIS); Transportation; Mobility; Policy; Adoption","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:2aa6b0c0-44f7-4357-b57a-d31b119c7b63","http://resolver.tudelft.nl/uuid:2aa6b0c0-44f7-4357-b57a-d31b119c7b63","The Impact of Communication on Project Performance: Considering Communication Media as a Crucial Aspect","Paulusse, Pieter (TU Delft Technology, Policy and Management)","Verburg, R.M. (mentor); Dolkens, T.L. (mentor); Delft University of Technology (degree granting institution)","2023","The number of projects has increased immensely, as projects allow flexibility and can be widely applied. Even though that there has been an increase in the number of projects that are started, there is still a high number of projects that fail to meet the requirements. Project management has become an intensively researched topic, as it is an enabler for the enhancement of the success of projects. Effective communication has been identified as the most important factor contributing to the success of projects. Therefore this research aims to explore the effect of communication on project performance, with communication media as a critical aspect of cross-functional project teams, taking the contextual factors complexity and familiarity into account. The main research question that will be answered in this thesis is the following: What is the influence of communication media on the performance of cross-functional project teams? To answer this question, a thorough literature review has been conducted. The literature has served as the basis for the research. In order to determine whether a project can be deemed a success or a failure, a conceptualization of project performance was made based on the literature. This helped in assessing the performance of projects. Next, communication is dissected into two processes, conveyance, the process of exchanging new information, and convergence, the process of gaining a mutual understanding. For this research the contextual factors complexity and familiarity are taken into account. As information is exchanged, it is important to make a distinction of the information that is exchanged, as some information is complex and some is not complex. The complexity of information is a function of uncertainty and equivocality. Familiarity positively influences the relationship between communication and project performance as it enhances the quality of communication. With the basis known of communication, a dive was made into communication theories. The Media Richness Theory (MRT) was discussed, which discusses the richness of information and the ability of communication media to convey rich information. As an extension of this, the Media Synchronicity Theory (MST) was discussed, which explains the concept of synchronicity, and the ability of media to be synchronous. The last theory which was discussed is the Social Presence Theory (SPT), this theory explains the ability of media to express social presence. Social presence is an important aspect as it fosters trust and enhances the creation of relationships. Based on these three theories an analytical framework was created, that helps to create a media fit based on the characteristics of communication media and on contextual factors such as the complexity of the information exchanged and the familiarity. The analytical framework was used as the basis for the case analysis. The case analysis existed out of two sets of interviews with employees of the (Gas Mobility PRO) GMOB-PRO department of TotalEnergies and of an analysis of the Tanzania project, which is an advisory project. The interviews showed that there was a lack of structure at the GMOB-PRO department, this lack of structure resulted in working in silos, inadequate use of communication media, lack of trust within the department, and a lack of focus on projects that are not hydrogen related. In addition to this, a project was analyzed, the Tanzania project, the first advisory project. The results of the analysis clearly showed that email was the preferred communication medium, even when there is clarification is required. Next to this finding, clearly, the lack of focus on projects other than hydrogen was confirmed. By not using the proper communication media, the project was delayed as communication via email does not foster a rapid back-and-forth of information exchange. This resulted in an increase in cost as the quotations expired. To answer the main research question, communication has an indirect effect on project performance, as it can not directly influence project performance. Project performance is indirectly influenced by communication via aspects such as clarity and understanding, decision-making, team collaboration, resource allocation, and stakeholder engagement. If used properly, communication media enhances the effectiveness of communication, which indirectly affects project performance. Following the results of the literature, it is recommended for companies, such as TotalEnergies make use of clear structures for projects. Next to this, it is recommended to create awareness of the capabilities of communication media. By creating awareness of the communication processes, the characteristics of communication media, and the ability of communication media to convey information, individuals will be able to communicate more effectively, improve collaboration, and thereby increase the organization’s success. In addition to this, individuals can use the analytical framework as a tool to improve their communication efficiency.","Communication; Performance; Communication media; Project","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:5bdf5153-82fa-485d-be53-c2c1413976b7","http://resolver.tudelft.nl/uuid:5bdf5153-82fa-485d-be53-c2c1413976b7","Design of a Wideband Wide-angle Scanning Dielectric Resonator Antenna Array with Additive Manufacturing Capabilities for Satcom","Anand Nair, Keshav (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yarovoy, Alexander (mentor); Aslan, Y. (mentor); Mastrangeli, Massimo (graduation committee); Delft University of Technology (degree granting institution)","2023","The mm-wave operational band (24-30 GHz) is becoming increasingly important for various practical applications. However, at such high frequencies, the issue of propagation losses arises, emphasizing the necessity for these systems to achieve high radiation efficiency. Dielectric Resonator Antennas (DRA) are promising candidates to replace traditional radiating elements like patches since they do not suffer from conductor losses and have a radiation efficiency of over 90% when suitably excited. Additive manufacturing has emerged as a promising technique for producing DRAs due to its numerous benefits, such as the ability to fabricate complex shapes and structures, rapid prototyping, and reduced waste. Additionally, 3D printing can enable the incorporation of varying permittivities within the DRA, further enhancing its performance. The influence of such permittivity profiles in DRAs, particularly in finite phased array setups, is yet to be thoroughly investigated. It is important to understand the impact of this technique on the mutual coupling, cross-polarization, and scanning performance of the array. In this work, we discuss the theory behind the radiation characteristics, the modelling of the DRA designs and the analyses of these designs based on performance criteria such as bandwidth, coupling, gain, cross-polarization and axial ratio bandwidth. This thesis demonstrates for the first time, to the best of author’s knowledge, that the incorporation of permittivity profiles in mm-wave DRAs can improve the bandwidth by 7%, reduce the cross-polarization (at broadside) by around 3 dB and improve the axial ratio bandwidth by around 10% compared to single-permittivity DRAs. Furthermore, it is also shown that in an array environment, the active S-parameters of the elements are better matched across a wider frequency band, upon scanning from 0 to 45 degrees, when a permittivity profile is used.","Dielectric Resonator Antenna; mm-Wave; Additive Manufacturing; Permittivity Profile","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:f1b733ed-e686-46ae-9c1c-e65a523b7145","http://resolver.tudelft.nl/uuid:f1b733ed-e686-46ae-9c1c-e65a523b7145","Combining denoising and object detection: An analysis to provide insights in combining denoising with object detection","Huizer, Rick (TU Delft Electrical Engineering, Mathematics and Computer Science)","Kayhan, O.S. (mentor); van Gemert, J.C. (graduation committee); Yorke-Smith, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","Automated imaging systems, critical in domains like medical imaging, autonomous driving, and security, experience noise from camera sensors and electronic circuits in bad or dark lighting conditions. This impacts downstream tasks, including object detection. However, an analysis of strategies combining denoising and object detection is lacking. This study addresses this gap by analyzing diverse strategies for optimizing both image quality and detection performance. Results reveal that isolating denoiser network optimization and training a detector on its outputs yields the best overall performance. Combining detection and denoising enhances detection outcomes. The results offer valuable insights to make educated decisions on how to combine denoising and detection in modern imaging systems.","Denoising; Object detection; Analysis","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:9f44e348-b864-4379-b6ea-d66b55405ccd","http://resolver.tudelft.nl/uuid:9f44e348-b864-4379-b6ea-d66b55405ccd","From Clicks to Conscious Choices: Investigating the Effects of Carbon Footprint Data in E-Commerce Recommender Systems","Lodha, Sneha (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Multimedia Computing)","Isufi, E. (mentor); Raman, C.A. (graduation committee); Yang, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","br/>One of the contributing factors to climate change is the release of gases, particularly carbon dioxide (CO2), which is amplified by the expanding E-commerce industry. E-commerce enterprises heavily depend on recommender systems as a means to incentivize consumers towards making product purchases. This master's thesis investigates the positive impacts of presenting information regarding carbon dioxide (CO2) emissions values on user behavior and recommendation accuracy within sustainable recommender systems. Through the creation of a new dataset, CarEmissions, this study explores whether displaying emission values influences sustainable choices in recommendations. Findings demonstrate that recommendation models trained without CO2 values consistently outperform those with CO2 values, enhancing both accuracy and greenness. This suggests that the inclusion of CO2 values introduces variability to user ratings, thereby influencing recommendation outcomes. Furthermore, this research examines correlations between user demographics, knowledge, and ratings, revealing insights into the lack of significant links. Additionally, it assesses the differences in recommendation quality between datasets with and without CO2 values, highlighting the advantages of omitting CO2 values in enhancing recommendation performance. While considering the limitations inherent to domain-specific data and convenience sampling, the thesis outlines avenues for refining data collection and exploring automated strategies for balancing recommendation accuracy and greenness. By advancing the understanding of user behavior and ethical considerations in sustainable recommender systems, this study contributes to the evolving landscape of technology-driven sustainable consumption.","Recommender Systems; CO2 Emissions; User Study; Beyond Accuracy","en","master thesis","","","","","","","","2024-09-01","","","","Computer Science | Multimedia Computing","",""
"uuid:2a9af07d-980d-434a-b0f8-e904385d4ae9","http://resolver.tudelft.nl/uuid:2a9af07d-980d-434a-b0f8-e904385d4ae9","Changing Environmental Tides of Amsterdam’s Future PV Systems: A multi-scenario projection for the environmental performance of residential PV systems in Amsterdam","de Vilder, Sietse (TU Delft Technology, Policy and Management)","Cucurachi, S. (mentor); Vogt, M.R. (mentor); van Driel, J. (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","The municipality of Amsterdam is swiftly advancing its climate neutrality aspirations within the EU’s Climate Neutral Cities Mission. To accelerate this transition, the municipality has set the target to fully utilize suitable rooftop surfaces for solar panels by 2050. Yet, economic incentives prompt households to replace PV panels prematurely, leading to functional panels being discarded for low-value recycling. This study focuses on evaluating the environmental consequences of lifetime extension strategies at city scale, using Amsterdam as a case study. Employing an innovative prospective Life Cycle Assessment (LCA) approach, this research examines net environmental impact across multiple scenarios, derived from a General Morphological Analysis (GMA). The findings indicate that, despite rapid technological advancements, retaining older panels on buildings proves environmentally preferable to early disposal. Moreover, re-installing functionally disposed panels holds the potential to drive a significant reduction of 790 to 1910 million kilograms of CO2-equivalent emissions, or 126 to 327 million euros in shadow costs. To seize the opportunity for reuse, the municipality is advised to initiate pilot projects urgently and collaborate with European end-of-life management stakeholders. Future research is needed to incorporate the influence of novel recycling practices, emerging circular technologies, regional market shifts toward Europe, and the implications of resource independence. This study underscores the need for sustainable PV panel management strategies to accelerate Amsterdam’s climate-neutral journey.","Photovoltaic systems; Life cycle assessment (LCA); Reuse in Circular Economy","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:5a4e8467-3eca-4815-86db-7d4a86603bad","http://resolver.tudelft.nl/uuid:5a4e8467-3eca-4815-86db-7d4a86603bad","Permeability Prediction: A study of machine learning models application for permeability prediction using petrophysical well logs","Al Atwah, SALEH (TU Delft Civil Engineering & Geosciences)","Rongier, G. (mentor); Vossepoel, F.C. (mentor); Cuesta Cano, A. (mentor); Delft University of Technology (degree granting institution)","2023","Permeability, a key reservoir characteristic, governs the rate of fluid flow through reservoir rocks. Accurate permeability estimates are paramount for robust reservoir simulation, history matching, and production forecasting. Due to limited core data availability and intrinsic heterogeneity of permeability at different scales, establishing reliable permeability models can be challenging. This study aims to overcome these hurdles by predicting lab-measured core permeability from commonly acquired well logs, using various machine learning algorithms such as Support Vector Regression (SVR), Random Forest (RF), XGBoost, and LightGBM.
We examined two diverse datasets, representing a carbonate platform (Costa Field) and clastic formations (Volve Field). The Costa dataset, including 17 wells across a single reservoir, and the Volve dataset, comprising three wells across three different reservoirs, allowed for evaluating the robustness of our approach under different geological conditions. A critical part of our methodology is feature engineering, particularly incorporating vertical variability. We integrated measurements from adjacent well log readings into our models, recognizing the importance of spatial context and the smoothing effect of well logs over small-scale heterogeneities. This improved prediction accuracy by accounting for shared geological history and depositional environments in proximity.
In Costa Field, blind tests showed R2 scores up to 0.64, and validation R2 scores reached up to 0.8 using a leave-one-well-out cross-validation method. For the Volve Field, blind test R2 scores were up to 0.84, 0.76, and 0.78 for Hugin, Sleipner, and Skagerrak formations, respectively. These results, while satisfactory, underscore the potential of machine learning methods in accurately predicting permeability and highlight the need for effective feature engineering.
This work advocates that while machine learning holds promise for automated feature engineering, human intervention, specifically to incorporate spatial context, can still significantly enhance predictions. Future advancements may seek to internalize this spatial awareness within the machine learning algorithms themselves","Machine Learning (ML); Permeability; Petrophysics","en","master thesis","","","","","","","","","","","","Applied Earth Sciences","",""
"uuid:b459bae7-28ea-45e8-96a9-0aedecdeacc8","http://resolver.tudelft.nl/uuid:b459bae7-28ea-45e8-96a9-0aedecdeacc8","Overactuation for Active Damping in Compliant Positioning Stage using Piezoelectric Transducers","Natu, Aditya (TU Delft Mechanical, Maritime and Materials Engineering)","Hassan HosseinNia, S. (mentor); Kaczmarek, M.B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Integrating compliant mechanisms into high-precision motion systems has facilitated the development of lightweight and frictionless designs. However, these systems often face challenges related to low-frequency parasitic resonance modes and limited structural damping, leading to compromised position accuracy and restricted control bandwidth. While notch filters are conventionally used to suppress these parasitic resonance peaks and enable higher control bandwidths, undesired effects persist in closed-loop disturbance rejection performance. To address this limitation, researchers have explored the concept of overactuation, employing a greater number of actuators than the number of rigid body modes to be controlled.
This approach allows for additional closed-loop feedback interconnections, offering
increased freedom to enhance performance. This research presents a novel overactuation based solution where: (1) the use of additional actuators enables the implementation of active damping control to improve closed-loop disturbance rejection performance and (2) the integration of multiple distributed piezoelectric bender actuator-sensor pairs in a collocated configuration enhances damping performance. A mathematical framework is formulated to demonstrate the benefits, and an experimental setup is constructed to validate the numerical findings and serve as a proof of concept. The proposed solution effectively suppresses the
parasitic resonance mode, enhances disturbance rejection on the end-effector, and enables higher control bandwidth in the positioning system.","Overactuation; Active Damping Control; Piezoelectric Transducer; Positioning Stage; Distributed Actuators","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:5b90d283-deb6-4ae0-9056-53592b51e628","http://resolver.tudelft.nl/uuid:5b90d283-deb6-4ae0-9056-53592b51e628","Scheduling Multi-Vessel Placement in Multi-Chamber Inland Waterway Locks Using Switching Max-Plus Algebra","Ummels, Raphael (TU Delft Mechanical, Maritime and Materials Engineering)","van den Boom, A.J.J. (mentor); Reppa, V. (mentor); Segovia Castillo, P. (mentor); Segovia Castillo, P. (mentor); Negenborn, R.R. (graduation committee); Vleugel, J (graduation committee); Delft University of Technology (degree granting institution)","2023","Inland waterway transport is a low CO2 emission alternative to road transport. A shift towards more inland waterway transport could also help reduce road congestion and noise pollution. Infrastructure bottlenecking, particularly at locks, is part of the reasons preventing this shift. Congestion is leading to delays. Locks can be physically improved, or the passage of the vessels through the locks can be optimized through scheduling. Recent work introduced a novel switching-max-plus-linear system approach to scheduling vessels passing through networks of waterways and locks, also introducing a novel routing component to the scheduling problem. Switching max-plus-linear systems are a convenient way to model scheduling systems using max-plus-linear algebra. The switching-max-plus-linear model only considered locks with a single chamber that can only process one vessel at a time. Additionally, it only considered four specific waterway network configurations, rather than any arbitrary network configuration. Real locks can have multiple chambers, and they can process multiple vessels at the same time if they are placed according to regulations in the two-dimensional space of the chamber. The scope of this report was then to build upon this switching max-plus-linear model by adding support for arbitrary network configurations, and multi-chamber, multi-vessel locks with proper twodimensional ship placement, to answer the main research question: How can multi-vessel, multichamber locks with ship placement be integrated into the SMPL IWT scheduling model? Three mathematical scheduling models formulated as SMPL systems were introduced, each subsequent model building on the previous one. The first introduced support for arbitrary network configurations. The second introduced support for multi-chamber locks and allowed vessels to pass through lock chambers at the same time, provided that their assigned one-dimensional sizes fit into the assigned one-dimensional capacity of the chamber. The third introduced support for twodimensional ship placement through a Tetris-like placement sequence also modeled as a switching max-plus-linear system. The models were translated to mixed integer linear programming models, and arrival time and arrival time offset objectives were added, so they could be used as the rules by which a scheduler would build and solve offline scheduling optimization models on a case-by-case basis. Auxiliary objectives to promote vessels slowing down, rather than waiting stationary in the waiting areas, were also added. The models were all found to be working as intended and implemented correctly through the use of a number of verification cases and tests. Complexity tests showed that the solution times for all three models already became larger than a practical limit of 15-20 minutes for simple scenarios with 10-15 vessels. A heuristic model that mimics how vessels are assigned to lockages in practice was built. Comparisons to the scheduling models on the verification cases showed negligible differences for the models in single-lock cases, but it indicated that the multi-vessel, multi-chamber models may outperform practice in multi-lock cases. Future research is recommended to focus on online optimization to account for disturbances, distributed optimization to reduce calculation times, and validation of the model’s performance with real data.","Inland Waterways; Optimization; Logistics; Max-plus algebra; switching max-plus linear system; Offline optimization","en","master thesis","","","","","","Duble degree in Mechanical Engineering | Multi-Machine Engineering and Systems & Control","","2024-08-21","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:3364262f-36b5-40dc-836c-7f3430a50b77","http://resolver.tudelft.nl/uuid:3364262f-36b5-40dc-836c-7f3430a50b77","Individual Competencies of A Sustainability Manager in Driving Innovation","Yovanka Elisabeth, Yovanka (TU Delft Technology, Policy and Management)","Pachos-Fokialis, N. (mentor); Doorn, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","The United Nations' Sustainable Development Goals (SDGs) have emphasized the role of businesses in addressing global environmental and social challenges. As a result, companies are increasingly focusing on sustainability and integrating sustainable development goals into their business models. However, this transition presents complex and uncertain challenges, often referred to as wicked problems, as they involve multiple stakeholders with competing values. The complexity of sustainability challenges requires businesses to stimulate innovation and adapt their business models to be more inclusive, environmentally friendly, and innovative. In addition, managing innovation for sustainability is challenging, as it demands specific competencies and the ability to engage in broad activities by driving innovation for sustainable competitive advantage.
Previous research has made significant strides in examining competencies that are essential for leaders in addressing sustainability challenges and promoting innovation. However, there is still a lack of clarity regarding the specific competencies that leaders in companies should possess to tackle sustainability challenges and drive innovation effectively. The challenge lies in establishing a solid understanding of the relationship between sustainability competencies and their role in solving organizational sustainability issues. Consequently, the primary goal of this study is to investigate how managerial competencies can drive innovation for sustainability within organizations. By establishing this research objective, a corresponding research question was formulated.
How do individual sustainability competencies drive innovation for sustainability?
In order to address the question at hand, a comprehensive literature review was conducted to gain an understanding of the various definitions of innovation, the explored sustainability competencies, the role of leadership in sustainability innovation, and the existing arguments regarding competencies and innovation in prior studies. The insights obtained from the literature review were then used to formulate specific research questions, which guided the study's approach of utilizing semi-structured interviews to gather relevant data. Thirteen individuals representing three distinct industries were selected to participate in the interviews, sharing their experiences and perspectives on the subject matter. Following data collection, the gathered information was coded and analyzed, focusing on identifying common themes based on a similar context.
The study provides valuable insights into the fields of management and innovation by highlighting the significance of possessing diverse sustainability competencies for managers. These competencies play a crucial role in shaping the innovation capabilities of managers, thereby acting as a bridge in enhancing innovation and expanding organizational capabilities. The research identifies three distinct patterns that emerge as a result: organizational learning capabilities, collaboration capabilities, and stakeholder engagement capabilities.
Firstly, individual competencies such as system thinking, future thinking, tech competencies, and continuous learning enhance knowledge on an individual level, necessitating organizations to develop their own organizational learning capabilities to integrate and benefit from these competencies. Secondly, competencies such as emotional empathy, embracing diversity, and interpersonal skills contribute to collaboration capabilities at the organizational level, fostering better communication, positive relationships, and innovative solutions. Lastly, competencies related to stakeholder engagement, such as integration, influencing, and practical communication, empower individuals to drive the adoption of sustainability in innovation projects, facilitating effective communication with customers and involving diverse actors in decision-making processes. These patterns are developed when managers actively engage in collaboration with both internal and external stakeholders and involve them in sustainability innovation activities on an ongoing basis.
While the study primarily explores individual competencies, the discussion highlights the significance of organizations in fostering a supportive environment and establishing routines that enable individuals to effectively utilize their competencies in driving innovation. Therefore the study also delivered a framework targeted to individual and organizational management to enhance sustainability competencies at the individual level. Managers are encouraged to engage in industry-specific training programs actively, embrace a dynamic mindset that promotes continuous learning and the adoption of emerging best practices, and possesses intrinsic motivation, where individuals are driven by personal values and a desire to contribute to a better future. Simultaneously, at the organizational level, effective communication of sustainability objectives require to be delivered. At the same time, it is crucial to implement sustainability initiatives throughout all project levels of the organization. Lastly, through the recruitment process, organizations should prioritize candidates whose competency profiles align with the organization's sustainability strategies.","Sustainability; Organizational; Leadership; Competencies; innovation","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:89b9189e-f610-4661-807c-827f7b39a966","http://resolver.tudelft.nl/uuid:89b9189e-f610-4661-807c-827f7b39a966","Industry 4.0 and workplace inclusivity: Assessing the effect of institutions on the implementation and use of robots to employ a diverse workforce","Castellano, Vincenzo (TU Delft Technology, Policy and Management)","Werker, C. (mentor); Santoni De Sio, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","The increasing use of robots in the workplace presents a valuable opportunity to diversify the workforce by including underrepresented groups such as ethnic minorities and the elder population. However, high unemployment among these social groups shows that the opportunity to boost inclusivity and diversity is not grasped. Seizing this opportunity presents several challenges, especially since diversity can complicate the innovation process.
Innovation is a complex multifaceted process influenced by numerous factors, among which formal and informal institutions. Formal institutions are written rules such as laws, standards and obligations, while informal institutions are unwritten rules, such as social and cultural norms, that shape people's perceptions and behaviors. Diversity can be related to social groups holding peculiar norms/beliefs that can conflict with other social norms or regulations. The role of formal and informal institutions in diversity and inclusion initiatives has been largely overlooked in the HRI literature, but existing studies suggest that institutions play a crucial role in enabling/hampering inclusion and diversity through technology.
In this research, I make use of qualitative research and the RRI approach to analyze the complex socio-technical system in which robots are implemented and used in the real-world context of the KLM baggage handling facilities at Amsterdam Airport.
The aim of this study is to build grounded theory through the analysis of the impact that institutions have on the implementation and utilization of robotic technology in the employment of a workforce that is diverse in terms of age, ethnicity, and race.
The research question guiding the research is: “How do formal and informal institutions shape the implementation and use of robots at work aimed at including a diverse workforce?”
This research question aims at tackling two different aspects of deploying robots at work: implementation and utilization.
The focus on implementation pertains to the analysis of the process by which a diverse workforce is included or not in the deployment of robots in the workplace, and the role that institutions play in shaping this process.
The focus on utilization pertains to the analysis of the role that institutions play in shaping the ability and willingness of a diverse workforce to use robots in the workplace.
When it comes to the implementation of robots at work, results show that if there are no formal institutions in place to include a diverse workforce in the implementation process, then informal institutions, such as a willingness to use technology, play a prominent role in determining the likelihood that diverse workforce will be included in the process or not.
In the Dutch context, factors like age or ethnic diversity do not affect the positive perception of robots at work and willingness to use technology, thus these diverse identities do not influence the participation of the diverse workforce in the implementation of robots at work.
When it comes to the utilization of robots, diversity plays both a direct and indirect role.
The direct role is related to formal institutions, for instance standard requirements to operate the robot, that may exclude certain ethnic/race groups with different physical characteristics from using the robot.
An example of physical characteristics that play a role in the Dutch context are the average height and left-handedness.
The indirect effect pertains to the influence of age diversity within the workforce on the formation of informal institutions, such as social norms. Members of the age diverse workforce may hold positions of opinion leadership within the workplace, enabling them to shape the opinions of their colleagues with regard to the use of robots. This, in turn, can influence the utilization of robotic technology by the workforce as a whole.
This study has both strong practical and theoretical implications.
From a practical standpoint, this study provides valuable insights for organizations seeking to employ a diverse workforce through the use of robotics. The findings highlight the importance of carefully considering both the practical and social implications of this approach, including the need to take into account the physical requirements of a diverse workforce and the potential influence of diversity on the formation of social norms within the workplace.
From a research perspective, this study highlights the suitability of the \acs{RRI} approach as a conceptual tool for HRI research to assess the socio-technical systems in robotics is used for diversity and inclusivity purposes.
Future research could focus on analyzing the interactions between formal and informal institutions and other diverse identities, such as gender, in the context of implementing robots in the workplace. The main limitations of this study pertain to the limited number of participants and the lack of generalizability to SMME. These firms have different organizational structures and limited financial and intellectual resources, thus, when employing a diverse workforce, they may face considerably different challenges when implementing robotic technology in the workplace.","industry 4.0; EDI; Inclusivity; employment; Robotics; HRI; RRI; RRI approach; diversity; age diversity; ethnic diversity; baggage handling; Schiphol; KLM","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:e402da11-57a2-4fd9-9ece-c1c99a81f775","http://resolver.tudelft.nl/uuid:e402da11-57a2-4fd9-9ece-c1c99a81f775","Assessing the Impact of Tokenized Financial Assets on Blockchain for Start-Up Fundraising and the Significance of Decentralized Governance","Lee, Maikel (TU Delft Technology, Policy and Management)","Correljé, A. (mentor); Scholten, V.E. (mentor); van Bergem, R. (mentor); Delft University of Technology (degree granting institution)","2023","This research investigates the potential impact of blockchain based start-up crowdfunding. The emergence of blockchain and cryptocurrencies paved the way for a more decentralized finance system which offers alternative funding opportunities for start-ups. The scope of the research is to analyze the benefits and drawbacks of blockchain based crowdfunding for start-ups, and how it could impact the traditional financing models. The study adopts a qualitative research approach in which the Institutional Analyses and Development framework was consulted to find the key research concepts for the case studies. The research was conducted by analyzing data from blockchain protocols that consult their communities for important the decision making within its ecosystem. The findings suggest that blockchain based crowdfunding allows to raise funds for start-ups from a broader pool of investors, without the necessity to tap into the ecosystem that Silicon Valley offers, and a potential faster funding method than traditional methods. However, there are some concerns regarding trust and transparency with crowdfunding. The research found that adopting dequity, which is a new financial asset that combines both properties of debt and equity, can increase transparency and trust for the investors, while granting the crowd investors more control. The proposed solution is a dequity token with a governance that has quadratic voting, a voting multiplier and locking a large portion of the raised funds during the crowdfund. Overall, the research suggests that blockchain based crowdfunding can offer new opportunities for entrepreneurs to raise capital, in an inclusive manner, without the need of venture capitals or banks.","Cryptocurrencies; Blockchain; Bitcoin; Ethereum; Polkadot; Dequity; Financial assets; Crowdfunding; Crowdfund; Williamson; Finance; Innovation","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:54ff19c6-c0f1-4a04-a596-ffc4f8d55798","http://resolver.tudelft.nl/uuid:54ff19c6-c0f1-4a04-a596-ffc4f8d55798","How can the behaviour of specialized heuristic solvers assist constraint solvers for optimization problems: A lookahead approach for Chuffed that emulates the behaviour of heuristic solvers","Zoumis, Angelos (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yorke-Smith, N. (mentor); Demirović, E. (mentor); Bishnoi, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","br/>Constraint programming solvers provide a generalizable approach to finding solutions for optimization problems. However, when comparing the performance of constraint programming solvers to the performance of a heuristic solver for an optimization problem such as cluster editing, the heuristic solver is able to find near-optimal and optimal solutions much faster. The goal of this research is to understand how the behaviour of such a heuristic solver can assist the performance of constraint programming solvers in optimization problems. In order to achieve this, first Chuffed, a state-of-the-art constraint programming solver was combined with a heuristic approach to cluster editing, with the goal of emulating the performance of the heuristic algorithm, in particular, being able to find near-optimal solutions faster. Continuing, the goal was to generalize the behaviour observed by the modified solver, by emulating the performance observed without the need for the specialized heuristic solver. The generalized approach is tested on a wide variety of different tests. An approach to value selection was developed that performs lookahead propagations for the two values of a boolean variable and selects the value that has the most optimal solution within the domain after performing the lookahead propagation. This approach added a significant time overhead that increased the overall solving time for many problems, with the lookahead configuration having a median increase of 8.7% over the default configuration for the optimization problems of the MiniZinc Challenge 2022. However, it was able to successfully emulate the performance of the heuristic solver, finding near-optimal solutions significantly faster than the default value selection. In particular, for the optimization problems of the MiniZinc Challenge 2022, on average, the lookahead configuration had a definite integral for the time vs objective graph 54.7% lower than the default Chuffed configuration.","Constraint Programming (CP); Optimization Problem; Chuffed; Lookahead; Value Selection; Heuristic","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:e98ad7d9-bb6c-4e98-8f8b-e283abd8e842","http://resolver.tudelft.nl/uuid:e98ad7d9-bb6c-4e98-8f8b-e283abd8e842","Exploring Silicon Photonics to Sense Two-Dimensional Membrane Mechanics","Þrastarson, Ásgeir (TU Delft Mechanical, Maritime and Materials Engineering)","Verbiest, G.J. (mentor); Westerveld, W.J. (mentor); Erdoğan, R.T. (mentor); Delft University of Technology (degree granting institution)","2023","Silicon photonics have received more attention in recent years due to further development in CMOS manufacturing methods. The development allows silicon photonics to be smaller than ever before. This leads to smaller silicon photonic devices that can transceive light, which is typically done at a wavelength of 1550nm for silicon photonics. A silicon photonic device that benefits from this wavelength is the silicon waveguide, which can transceive light by being coupled to other waveguides. When the second guide is later coupled back with the main waveguide, a resonance can form between the guides. This can turn the silicon waveguide in to a sensor that relies on interference from the recoupled signal. The design used for this study has the waveguides in a relatively deep trench, which means that a membrane can be suspended above the waveguides. In theory the membrane should reflect some of the light that escapes from the waveguide back. In this work membranes made from graphene and molybdenum disulfide are suspended over a silicon waveguide in hopes to detect the motion of the membrane. A proof of concept by experimenting with membranes integrated on silicon photonics can give way for a new type of sensor, which is both microscopic and has a high signal-to-noise ratio. The experiments are conducted by propagating light through the waveguide, the light should then interact with the suspended membrane on top. The first indication that the concept was possible was when a transmission graph that swept the light’s wavelength around 1550nm changed after introducing a suspended membrane over the waveguide. The change showed that waveguides react to membranes over them without eliminating the transmission altogether. This is a great step when it comes to a proof for the concept. However, it is still needed to take a frequency measurement before the concept has been fully proofed.","","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Micro-optics and Optomechatronics","",""
"uuid:44e049e6-2c7c-4ab1-81d6-496695d62aa4","http://resolver.tudelft.nl/uuid:44e049e6-2c7c-4ab1-81d6-496695d62aa4","Curriculum-Based Deep Reinforcement Learning for Explosive Jumping in Quadruped Robots","Atanassov, Vassil (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Cognitive Robotics)","Ding, J. (mentor); Della Santina, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Legged animals possess extraordinary agility with which they can gracefully traverse a wide range of environments, from running through grasslands to jumping across cliffs and climbing nearly vertical walls. Inspired by this, in this work, we use Deep Reinforcement Learning to give legged robots the ability to perform a diverse set of highly explosive and agile jumps. Unlike other works, our approach is not constrained to imitating a reference trajectory. We instead use curriculum-based learning to progressively learn more challenging tasks, starting from a vertical high jump and then generalising to forward and diagonal jumps. In the final curriculum stage, the robot learns to leap over barrier-like obstacles or to land on them, conditioned on the desired jumping distance and the object's dimensions. We show that such an approach can produce a wide range of robust and precise motions, which we thoroughly and successfully validated in several indoor and outdoor real-world experiments on the Unitree Go1 robot. In our real-world experiments, we show a forward jump of 90cm, exceeding previous records for similar robots reported in the literature. Additionally, we investigate the effects of incorporating bio-inspired parallel elastic actuators to improve the jumping performance further. This resulted in smoother motions, much softer landings with lower joint velocities and larger jumps. Finally, we present and analyse the limitations of our method and introduce exciting directions for future work to address them.
To evaluate the performance of existing object detection models on our proposed dataset, we employed the widely used YOLOv5 as a benchmark. To mitigate the inherent imbalance of the dataset, various data augmentation techniques were applied. The results demonstrated the effectiveness of the object detection model and data augmentation in the context of children’s book illustrations. In addition, this research also explored applying few-shot learning models to the dataset. Baseline models were investigated to examine the potential of few-shot learning in the context of object detection in illustrations.
The proposed dataset elicits new challenges in object detection and will serve as a valuable resource for researchers in this domain. Our dataset can be found at https://data.4tu.nl/datasets/d1f3ca5c-f1e4-48f5-9a04-0564572d2b9c/1.","Object detection; Illustration; Deep Learning","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:8d817d4d-d237-42ce-b50e-8a5747abcef1","http://resolver.tudelft.nl/uuid:8d817d4d-d237-42ce-b50e-8a5747abcef1","Share your Secrets for Private Forecasting with Vertical Federated Learning","Shankar, Aditya (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Distributed Systems)","Chen, Lydia Y. (mentor); Decouchant, Jérémie (mentor); Gkorou, Dimitra (mentor); Delft University of Technology (degree granting institution)","2023","Vertical federated learning’s (VFL) immense potential for time series forecasting in industrial applications such as predictive maintenance and machine control remains untapped. Critical challenges to be addressed in the manufacturing industry include small and noisy datasets, model explainability, and stringent privacy requirements for training and inference of forecasting. Additionally, to increase industry adaptability, such forecasting models must scale well with the parties/clients while ensuring strong convergence and low tuning complexity. To this end, we propose and design “Secret-shared Time Series Forecasting with VFL” (STV), a novel framework with the following features: i) a privacy-preserving VFL algorithm for time series forecasters such as SARIMAX and autoregressive trees, ii) secret sharing with multi-party computation protocols for aggregating intermediate training data and for privacy-preserving serverless inference, iii) extension of secure two-party matrix operations for direct parameter optimization to multiple parties, giving strong convergence with minimal hyperparameter tuning complexity. We conduct evaluations on six diverse datasets from both public and industry-specific contexts. Our results demonstrate that STV’s forecasting accuracy is comparable to those of centralized approaches and that direct optimization can outperform centralized methods by 23.81% on forecasting accuracy, including state-of-the-art diffusion models and long-short-term memory. We also conduct scalability analysis to offer the opportunity for flexible decision-making by examining the communication costs of direct and iterative optimization, allowing navigating between these two approaches.
Contrary to expected reference values, the critical height was found to be traceable with the Roughness Reynolds number for zigzag tape usage as Rek = 125, opposing to expected critical Reynolds number Rek = 200 in current literature. Most interesting application parameter after
critical condition, often related to height, is found as tape location. Drag and lift performance penalties behave as linear function of tape location for pre-stall drag bucket and linear lift region. In stall, the performance penalty is heavily related to locations closer to the leading edge with increased importance of tape height. Additionally, real roughness of reference studies has been more closely resembled by tripping tapes closest to the leading edge in terms of magnitude and as function of pitching angles when compared to industry standards. The boundary layer measurements have indicated that panel code simulation data has errors connected to transition location rather than boundary layer development, which reflects in polar data and transition location.
Overall, this study emphasized that ZZT is more versatile than the industry standard setup, highlighting the importance of considering different application variations for accurate assessments of LER impact, while indicating possible panel code improvements related to transition location
as observed in performance polars and boundary layer thickness.","Experimental aerodynamics; Airfoil; Wind Tunnel; leading edge roughness; Zigzag tape; critical roughness height; boundary layer; flow transition","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:cf59b87e-2a80-4554-9898-150d0767a539","http://resolver.tudelft.nl/uuid:cf59b87e-2a80-4554-9898-150d0767a539","Sustainability assessment of hydroponic tomato farming systems for Souss-Massa region","Romojaro Pérez, Javier (TU Delft Technology, Policy and Management)","Mogollón, José (mentor); Steubing, Bernhard (graduation committee); Delft University of Technology (degree granting institution)","2023","One strategy to solve the severe environmental problems of Moroccan horticulture, especially water scarcity, is to upgrade agricultural methods by introducing high-tech greenhouses equipped with closed-loop hydroponic systems. However, these technologies are unprecedented in the country, and the implications for the environment remain unknown under local conditions.
Using life cycle assessment with a functional unit of one kilogram of tomatoes at greenhouse gate, this study aimed to predict the environmental impacts and the hotspots of two different closed-loop hydroponic systems if they were deployed in the Souss-Massa region, the biggest producer of the country. 18 mid-point indicators from ReCiPe were used, highlighting the most relevant ones for the region: use of net freshwater (UNFW), terrestrial ecotoxicity (TET), freshwater eutrophication (FE), and global warming (GW). A field trip to Agadir, the capital of the region, also helped to collect different views on the transition to these technologies.
The impact assessment revealed that artificial lighting would be the main contributor to 17 categories due to electricity being generated from oil and coal. To a lesser extent, landfilling of waste would also impact most of the categories. A new scenario with renewable energy showed that the impact from lighting can be drastically reduced by around 80% for GW, TET, and FE and by 34% in the case of UNFW. Contrarily, waste plastic recycling does not significantly influence the LCA results since the more abundant organic waste is a larger contributor.
For Souss-Massa to sustainably transit to hydroponic systems, it is essential that electricity consumption for lighting is drastically reduced and/or switched to clean sources. Organic waste needs to be revalorized by implementing composting processes or biodigesters. Lastly, the field trip exposed some key challenges to transit to more sustainable hydroponic farming systems: gaining the trust of farmers, finding financial support, and promoting collaboration between growers and the local community.","LCA; greenhouse; Morocco; Souss-Massa; tomato","en","master thesis","","","","","","","","","","","","Industrial Ecology","Interdisciplinary Thesis Lab","30.410966, -9.592217"
"uuid:bb98a47d-11c5-4038-9a44-ad8f809481d8","http://resolver.tudelft.nl/uuid:bb98a47d-11c5-4038-9a44-ad8f809481d8","Optimisation of Fibre Metal Laminate Splice Designs with Focus on Fatigue Performance: A Multi-Disciplinary Approach","Poliakov, Mykyta (TU Delft Aerospace Engineering)","Alderliesten, R.C. (mentor); Castro, Saullo G.P. (graduation committee); Pascoe, J.A. (graduation committee); Homan, J.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Fibre Metal Laminates (FMLs) have been widely utilised in aircraft structures for their specific strength, durability and damage tolerance. Most aircraft structures, due to their size, require joining, and FMLs allow for a special type of joint called the splice, a unique but a lesser known joint.
The bulk of research into the splice joint has been conducted in the early 2000s for the A380 program, where a specific type of splice has been implemented, the overlap splice. While it is a proven design, it was expected that other splice designs could be as good if not better than the overlap splice. Further, the pre-existing design guidelines on the splices were not suited for detailed design that would target specific mechanical strengths of the joint, like durability and
damage tolerance. Therefore, this research focused on design iterations of the two splice types, the butt splice and the overlap splice, that would provide insights into splice design guidelines against fatigue and demonstrate which splice configuration is a superior joint. An extension
of this research focuses on identification of location and lifetime to damage initiation within the splice using analytical numerical methods, which was previously done on uninterrupted FMLs, but not on spliced FMLs.
Research comprises of a multi-disciplinary approach, combining Finite Element Method (FEM), numerical predictive modelling and experimental investigations that then also doubled as means of validation of the models built. Design iterations were based on the influence that the parameters, labeled a through e, within the splice joint have on the overall stress field within the joint and how that affects the joint’s fatigue life to damage initiation, Ni, a fatigue
performance indicator of the study. It has been discovered that changing the tolerances within the splices provides little influence on the stress field within the splice, which resulted in several iterations of the splice design approaching smaller and more lightweight joint alterations. The designs showed excellent durability characteristics when compared to the Limit of Validity (LOV) cycles set by the aviation authorities, such as EASA and FAA. The Finite Element (FE) model was able to accurately depict the stress field within the splice, validated by the experimental data through strain fields captured using Digital Image Correlation (DIC) technique, and consequently accurately pointed to the location of damage initiation, which in all cases was the outer-most overlap on the flush side of the joint. Along with the adapted predictive numerical model it was possible to predict the damage initiation lives in the spliced specimens with a blunt notch. The predictions in the samples without the notch resulted in far lesser agreement with experiments. This was likely caused by to the limitations of the model, which only took into account quasi-static loading without damage and is highly dependent on the reference data used.
It was concluded that splices can be designed smaller and lighter than previously done due to the tighter tolerances allowed within the splice. Overlaps of 5 mm in both the unnotched butt splice and the unnotched overlap splice, however, resulted in an alternative damage progression mode, specifically a complete delamination of the external overlap rather than metal fatigue cracking. This is attributed to the rising average shear stress in the adhesive. Regardless, the smallest and lightest iterations of the butt splice and the overlap splice with
5 mm overlaps and gaps between aluminium interruptions showed excellent durability and the design iterations were found to affect the damage initiation life very little, considering that fatigue damage initiation is a subject to scatter. It is hard to draw a concrete conclusion on the damage tolerance of the updated designs due to alternative damage modes and lack of research thereof. While both splice types were deemed to be successful in their role of a joining structure, the butt splice was concluded to be superior to the overlap splice when it came to fatigue performance, consistently developing visible damage later than the overlap splice. This gap in fatigue performance is expected to expand if thicker layers or larger number of layers are to be considered. This is because of the secondary bending which occurred in the joint, more so for the overlap splice than the butt splice judging from FEA results and experiments.
It is recommended that a more extensive FE model is developed using the model built in this research as a foundation. The current model could be expanded in several ways, such as simulations of damage progression, individual modelling of the fibre layers, fibre failure models, and a curing simulation. This will improve the validity of the methodology, specifically concerning the unnotched splice specimens, and improve the predictions of not only initiation lives, but also failure lives.
This research proposes a fatigue monitoring system for a TLP supporting FOWTs. The methodology used is Modal Decomposition and Expansion (MDE). Due to the complexity of the studied structure in terms of structural dynamics, MDE is selected for its ability to capture dynamic behaviour. The main objective of the fatigue monitoring system is to perform the full-field strain estimation based on a limited number of sensors. This could also allow for the verification of the design considerations. With the presented response reconstruction approach, the stress in the locations prone to fatigue of the platform can be monitored and therefore, enabling estimation of the remaining lifetime of the structure and optimize maintenance planning.
Different analytical and numerical models are used in this investigation. The application of MDE in a simple structure (i.e. a cantilever beam) is first assessed to verify the performance and to gain insights of the methodology. Later, MDE is applied to a simplified TLP model to validate the response reconstruction approach and demonstrate its applicability for TLP-like structures.
Finally, a FOWT numerical model is used to design the fatigue monitoring system. The proposed system consists of two layouts of two strain gauges in the upper column of the TLP, and two layouts of two strain gauges on each pontoon. This system can provide full-field strain estimations with over 88.9% accuracy and predict fatigue damage accumulation with errors of less than 0.01%. Also, the system presented in this thesis only predicts global responses. However, the fatigue of the structure is also influenced by local structural responses due to sea pressures. Therefore, future research to include local effects in the predictions is recommended.","TLP; SHM; Fatigue monitoring; Response estimation; MDE","en","master thesis","","","","","","","","","","","","Marine Technology","",""
"uuid:abbe1475-125b-47f9-9250-6b808f286e1c","http://resolver.tudelft.nl/uuid:abbe1475-125b-47f9-9250-6b808f286e1c","DrugWise: a sustainable approach towards reducing medicine waste in the operating rooms","van Amelsfort, Noor (TU Delft Industrial Design Engineering)","Diehl, J.C. (mentor); Paus-Buzink, S.N. (graduation committee); Kweekel, Dinemarie (graduation committee); Delft University of Technology (degree granting institution)","2023","-What-
Medicines are used for all types of surgeries in the operating room (OR). They are needed to provide safe and effective care. However, the use of medicines also results in waste. Research conducted by Barbariol et al. (2021) highlighted that a substantial proportion, ranging from 20% to 50%, of medications prepared in the OR ultimately remain unused and are consequently discarded. The Leiden University Medical Centre (LUMC) and ‘Nationaal Netwerk de Groene OK’ aim to minimize the waste of medicines at the OR.
-Why -
The environmental impact of medicines
Research conducted last year by RIVM presented that the contribution of chemical products, including medicines in particular, is responsible for 41.2% of healthcare CO2
Medicine scarcity
The ‘Koninklijke Nederlandse Maatschappij ter bevordering der Pharmacie’ (KNMP), presened that last year in 2022 the highest number of medicine shortage ever occurred. They predict that these kind of issues will only grow more in the further, so it is important to stay mindful about medication prescription, use and disposal.
Ethical obligation
Medication waste raises ethical concerns within the healthcare context. The waste of medications contradicts the principles of equity and justice, as resources that could have been allocated to patients in need are wasted.
-How?-
DrugWise
Consequently, a final concept called ‘DrugWise’ was devised. This sustainable approach targets medicine waste prevention in the OR through a holistic approach. DrugWise consists of five critical steps:
1. Measure the waste to gain an understanding of the current situation.
2. Prioritize areas for action based on the measurements.
3. Raise awareness amongst OR staff regarding the extent and implications of medicine waste.
4. Initiate action in four key areas: medicine use, prescribing practices, stock management, and disposal.
5. Evaluate the effectiveness of the interventions implemented.
The target audience of the approach is the Anaesthesia Green Team. The DrugWise approach was developed in collaboration with the primary stakeholders involved in medication use - anesthesiologists and anaesthetic nurses. This collaboration ensured that the solution was rooted in their practical experience and professional knowledge.
-Future steps-
Looking ahead, LUMC will serve as the pilot hospital for the DrugWise approach. Upon successful implementation and review, the intention is to broaden the reach to other hospitals, advocating for a nationwide effort in reducing medicine wastage in the OR.","Sustainability; Approach; Healthcare; Prevention; Sustainable healthcare","en","master thesis","","","","","","","","","","","","Design for Interaction","Medical Delta Sustainable Hospitals Lab",""
"uuid:b75cf498-5f3f-4cb9-9647-29ba828ac5ac","http://resolver.tudelft.nl/uuid:b75cf498-5f3f-4cb9-9647-29ba828ac5ac","A design approach to implement reuse of existing concrete bridge girders","Groeneweg, Romy (TU Delft Civil Engineering & Geosciences)","Yang, Y. (mentor); Hendriks, M.A.N. (graduation committee); Schuurman, A.C.B. (graduation committee); Delft University of Technology (degree granting institution)","2023","To stop the depletion of natural resources, reduce climate change and fight biodiversity loss a circular economy in 2050 is pursued. In the upcoming years a challenging opportunity arises. Many existing bridges and viaducts with bridge decks consisting of prefabricated concrete girders have to be replaced. These girders although not designed according to circularity concepts have potential to be reused in a new structure, which is in line with the highest achievable level of circularity. However, the construction market is not ready for this innovation. So, while current research still aims at the feasibility and suitability of the girders for reuse in new structures, this research aims at the next step of preparing the construction market. This is of high relevance because it speeds up the introduction process of the innovation and thereby safes girders from demolition.
In this research the focus is on the adaptions and modifications needed in the traditional design process to ensure a more frequent implementation of reuse of existing bridge girders in new designs. After a literature review into the type of bridge girders in the Netherlands, the structural feasibility, obstacles for reuse identified by the industry, the design process and environmental impacts a design approach is developed. Simultaneously to the development of this design approach a case study is performed to give a more practical view to design aspects. In this way the approach could be verified, adapted and modified.
The design approach consists of roadmaps, possible procedures and recommendations that guides project teams through each step of the system and preliminary design. In the case study a bridge deck for a 107 [m] long bridge, divided over 5 spans with reuse of existing girders is designed. The design approach is suspectable to changes due to experiences, gained knowledge and developments in the construction industry. Therefore, it needs review over time. The design approach concentrates on inverted T-girders but can be extended and applied to other girder types as well.
In conclusion, this research provides the foundations for a changed design approach that is needed to prepare the construction market for reusing existing girders. By giving guidance to project teams, the view shifts from using new girders to reusing existing girders. This is valuable to reach the environmental objective of a circular economy in 2050.
This research looks at the criminal supply chain from South America to the Port of Rotterdam where it focuses on the smuggling methods used inside the Europe Container Terminals in the Port of Rotterdam. Criminals make use of four smuggling methods which are the pincode fraud method, the switch and pincode fraud method, the extraction method and the empty depot method. Law enforcement agencies have a scan and a surveillance method to catch illegal goods. The methods of law enforcement agencies can catch different smuggling methods and both have a chance to catch illegal goods smuggled with the empty depot method. Whereas criminals choose per illegal container which smuggling method will be used, this is not possible for law enforcement agencies. Therefore, a linear relationship between the part of resources appointed to a method and the accuracy of that method is assumed for the methods of law enforcement agencies.
An agent-based model is built to capture the complexity of this criminal supply chain and show the behaviour of the cat-and-mouse-like situation between criminals and law enforcement agencies. This agent-based model is combined with the Nash equilibrium known from game theory, as game theory can give insights into this situation using a mathematical framework. As these research methods have not been combined for the distribution of resources among methods in the Port of Rotterdam in earlier research, this research provides insights into how these research methods can be combined and whether they will provide similar results.
When combining the Nash equilibrium from game theory with the agent-based model it is expected that the distribution of resources for the two players, criminals and law enforcement agencies, in the agent-based model will eventually end up in or around the Nash equilibrium. This is because, in the Nash equilibrium, no player can get a higher expected payoff by deviating from the equilibrium. The players in the agent-based model update their distribution of resources every period of four weeks to adapt to the behaviour of the other player. This adaption is modelled with an updating rule. As literature indicated that the modelling of this updating rule can cause different behaviour in agent-based models, six different updating rules are tested in this research.
Given a chance to catch illegal goods of the scan of 0.18 for smuggling methods that can be caught by the scan and a chance of 0.8 for surveillance for methods that can be caught by surveillance, the Nash equilibrium is reached when law enforcement agencies appoint 40/49 of their resources to the scan and 9/49 to surveillance and criminals appoint 40/49 of their resources to the pincode fraud method and 9/49 to the switch and pincode fraud method and the extraction method combined. In the Nash equilibrium criminals will not appoint any resources to the empty depot method.
The six updating rules used in the agent-based model show different behaviours. Some updating rules cause the players in the agent-based model to appoint all their resources to only one method and others cause the players to end up in a cyclic pattern around the Nash equilibrium. The agent-based model also shows that by changing parameters of certain updating rules, the behaviour can change completely. For some updating rules the distribution of resources can get appointed according to an equilibrium which is not a Nash equilibrium as the payoffs of the methods are not equal to each other. Various difficulties arise when designing an updating rule. None of the six updating rules can guarantee the agent-based models to end up in or around the Nash equilibrium.
These difficulties include that resources should be distributed according to the payoff of methods according to game theory and should not be done according to the success rate of methods. Other difficulties arise when methods get appointed little or no resources. As it is the best response for players to distribute all their resources to one method when the other player is not playing according to the Nash equilibrium, the updating rule needs to be able to appoint zero resources to a method. Updating rules should also ensure that this method can be reappointed more resources when the other player changes its distribution of resources. Other difficulties include equilibria that are not a Nash equilibrium as mentioned above and the fact that players do not adapt in the same way when they do not have the same number of methods.
From this research, it can be concluded that in order to use an agent-based model for the situation between law enforcement agencies and criminals the knowledge about Nash equilibria should be considered while creating the model. This will not happen automatically as seen by the multiple difficulties this research showed. This research also shows that updating the distribution of resources by law enforcement agencies is important as they risk having an unnecessarily low chance to catch illegal goods when criminals adapt, while law enforcement agencies would not.
Therefore, it is recommended for law enforcement agencies to update the distribution of resources when it is expected that criminals will adapt as well, to not risk having an unnecessarily low chance of catching illegal goods. Furthermore, it is recommended to consider the Nash equilibrium while updating the distribution of resources and to carefully observe the distribution of resources of criminals when appointing all resources to only one method.
Limitations of this research include that there are only a limited number of methods included and players can not learn new methods. Due to the limited data about the criminal supply chain and about the methods that can be used by law enforcement agencies, there is deep uncertainty in model parameters, especially in the chances of catching illegal goods of the methods of law enforcement agencies. Therefore the results are not entirely valid and should only be used to analyse expected behaviour. Further research is needed on these chances of catching illegal goods and to test more updating rules as this research does not provide an updating rule that will ensure that an agent-based model will always end up in or around the Nash equilibrium.","criminal supply chain; Nash Equilibria; Agent-based modeling; Game theory; Port of Rotterdam","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:888eb3b9-10f0-426a-a12b-1fa1de78191e","http://resolver.tudelft.nl/uuid:888eb3b9-10f0-426a-a12b-1fa1de78191e","Sustainability Assessment of a Sustainable Innovation for the Aviation Industry: Case Study of Bio Composites for Aircraft Interiors","Żarnowska, Agata (TU Delft Technology, Policy and Management; Collins Aerospace)","Lieu, J. (mentor); van de Kaa, G. (graduation committee); Castillo Gonzalez, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The current impact of the aviation industry on climate change poses little choice for aviation companies but to invest in sustainability. One way to do this is to develop and implement sustainable innovations in aircraft. However, the question is how it can be validated whether an innovation is sustainable. To do so, this research uses sustainability assessment methodologies and investigates, how the integration of sustainability assessment tools can support the decision-making process regarding investment in sustainable innovation. It presents the methodology for the sustainability assessment of sustainable innovation for aircraft while using two tools - Life Cycle Assessment (LCA) and Cost-Benefit Analysis (CBA). The first one is to assess the environmental impacts of an innovation, the second one is to determine its financial and also social implications.
The chosen sustainable innovation, upon which the case study is developed and the methods are tested, is the bio composite material for usage in aircraft interiors. In particular, the viability of replacing conventional composites in Boeing 787-8 aircraft business class seats with bio composites is considered. The thesis is conducted in collaboration with Collins Aerospace, which is the manufacturer of products for aircraft. Before realizing sustainability assessment, the technology is analysed using theoretical frameworks. In particular, the analysis is developed on technology drivers, challenges, and the current stage of development in the aviation and automotive industry. After that, the specific bio composite suitable for aircraft interior - geopolymer panel created in an EU project - is chosen for further sustainability assessment. Next, the case study includes the environmental assessment (comparative, fast-tracked LCA) and economic assessment (CBA) of the chosen material. The LCA concludes that usage of the bio composite reduces the carbon footprint and energy requirement by 38%; however, increases water consumption by 47%. The result from CBA is that due to the lower weight of bio composite material, an airline can save €3382±338 on 1 out of 18 business class seats during 5 years of aircraft operation; hence, this is by how much ’bio seat’ can be more expensive to be still profitable. Therefore, the case study proves that bio composites might be better than conventional composites from an environmental and economic point of view.
The case study presents that sustainability assessment provides information valuable for the decision-making process. Integrating environmental and economic assessment shows a bigger picture and broadens the perspective. Additionally, the analysis of technology based on theoretical frameworks provides important insights for both, decision-making and sustainability assessment. Also, the integration of LCA and CBA tools is concluded to be suitable for this purpose and the methodology is described. The problems associated with such tools combination are discussed, where double-counting is the challenge discovered in the study. In addition, the issues stated in the literature are evaluated, and the propositions on how these challenges can be overcome are indicated.","Sustainability Assessment Methodology; Bio Composites; Aviation; Decision-making; Life Cycle Assessment; Cost Benefit Analysis; Aircraft Interiors","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:f5fa50d9-94fb-4067-a40a-a2cb1423ddb1","http://resolver.tudelft.nl/uuid:f5fa50d9-94fb-4067-a40a-a2cb1423ddb1","The Impact of Vocal Communication and its Personalization on Intention to Use of Chatbots Using Behavioral Activation to Support Patients Experiencing Depression","Doan, Kevin (TU Delft Technology, Policy and Management)","Metoui, N. (mentor); Ding, Aaron Yi (graduation committee); Scholz, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","The 21st century has seen a significant increase in the global prevalence of mental health problems, affecting almost a billion people. These conditions not only reduce the quality of life for individuals but also lead to stigmatization, discrimination, and social isolation. The COVID-19 pandemic has further exacerbated mental health issues, with depression and anxiety becoming the most common mental disorders among young workers. However, encouraging young adults to seek help for mental health is challenging.
To address this issue, the concept of digitalizing psychotherapies through mental health chatbot services is proposed as a potential solution. Giving the chatbot a voice to communicate is suggested to increase perceived trust and intention to use among users. A research question is posed: ""How does vocal communication and its personalization in chatbots affect the intention to use of young adults with depression?""
The study conducted an online survey using Qualtrics with three groups of participants: the control group (text-based communication) and two treatment groups (vocal communication, and personalized vocal communication). The chatbot followed a rigid script based on a psychotherapy protocol called behavioral activation. Data analysis involved Mann-Whitney U tests, ordinal logistic regression, and one-way ANOVA for randomization check.
Findings from the study indicated that chatbots communicating through speech did not significantly influence trust compared to text-based chatbots. Personalization of the voice did not increase trust based on emotions and even negatively affected cognitive trust. Both cognitive and affective trust were positively associated with the intention to use chatbots. Cognitive trust indirectly mediated the relationship between personalized vocal communication and intention to use.
The study contributed to existing literature by exploring the adoption of conversational agents for mental health support, focusing on young adults with depression. It also provided insights into the use of speech in chatbots and the impact of personalization on trust and chatbot adoption. Additionally, the study shed light on the adoption of mental health chatbots in developing countries, which lack access to healthcare.
From a managerial and societal standpoint, the study's findings have implications for companies developing chatbots for mental healthcare. The insights gained can improve the design of mental health chatbots, potentially easing the burden on mental health professionals and contributing to long-term societal well-being. Moreover, the study highlights the potential benefits of chatbot accessibility for users in developing countries with limited access to healthcare.
Overall, the research provides valuable knowledge about the adoption of chatbots for mental health support, voice communication, personalization, and its implications for various demographics and regions. It also raises ethical considerations, particularly regarding gender-based biases when using gender-specific voices for chatbots.","chatbot; depression; trust; adoption; intention to use; voice; speech; personalization; factorial design; between-subjects; survey design; design science; affective trust; cognitive trust; young adults; Mann-Whitney U test; ordinal logistic regression; behavioral activation; anthropomorphism; conversational agent; Quantitative analysis; healthcare; interaction effect; mediation; ChatGPT","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:cd14618e-6b5c-4d78-8924-0eb01dca504c","http://resolver.tudelft.nl/uuid:cd14618e-6b5c-4d78-8924-0eb01dca504c","Reinforcement Learning Methodology for Electricity Market Simulation","Whitman, Charles (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Applied Sciences)","De Vries, Laurens (mentor); Cremer, Jochen (mentor); Zobernig, V. (mentor); Delft University of Technology (degree granting institution)","2023","This work seeks to resolve an outstanding problem in the use of reinforcement-learning methods for the simulation of economically-rational agents. We discuss the problem of non-stationarity, and how this subsequently limits market simulation capabilities. After explicating and isolating the source of the problem for a day-ahead electricity market, we demonstrate the application of methods which resolve this problem in simple test-cases, and prove conditions under which similar methods will work in general. Subsequently, we illustrate how these techniques can be used to solve a restricted market-design problem, in the process introducing a framework for discussing adversarial market-design for electricity markets in general. It is hoped that, insofar as they provide a new feedback-loop for market-design, these results will facilitate the design of more complex electricity-markets suitable for the energy transition.","Reinforcement Learning; Market Design; Electricity Market Design","en","master thesis","","","","","","Github link - https://github.com/CharlesR-W/SET_Thesis","","","","","","Applied Physics","",""
"uuid:16fe9ace-63f1-48c2-8b41-20655c2d7991","http://resolver.tudelft.nl/uuid:16fe9ace-63f1-48c2-8b41-20655c2d7991","Mechanical amplification for sensitivity enhancement of a FBG-based sensor","Iedema, Menno (TU Delft Mechanical, Maritime and Materials Engineering)","Goosen, J.F.L. (mentor); van der Veen, G.J. (graduation committee); Hassan HosseinNia, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","High-tech machines are being improved by extend- ing product quality inspections through implementing better sensors. The resolution of an integrated optical sensor can be optimized through mechanical amplification. In this work mechanical sensitivity enhancement of a Fiber Bragg Grating based sensor is realized, through optimizing output displacement and force (strain) with limited input force and displacement. A compliant mechanical force amplifier is designed with key parameters, through which the amplification of the structure and its stiffness versus efficiency are adjustable. Input compression is converted to output tension and its two flexible hinges are loaded axially in tension. The simple one DOF lever mechanism can be used as a building block for compound mechanisms. Through a Matlab model based on beam theory a parametric analysis is performed. A functional load test is performed to experimentally verify the design function. A finite element analysis in COMSOL is used to validate optimal designs and compared to the model and experiment. Through topology optimization a rhombus design is generated, which is compared with the lever designs. The initial strain of a 2 mm steel rod at 1 N input force is 0.38 με. By integrating the in-line amplifier/transducer that gets compressed by 41.9 μm and amplifies the force by a factor 1.64 with an efficiency η of 65.6 %, the output strain is improved to 2 mε, by a factor of 5300. The number of resolution steps with an interrogator resolution of 1 pm/bit and a fiber transduction of 1.21 pm/με is ε · T /R = 2435. The force per resolution step is 0.41 mN . An integrated FBG-based sensor combined with the mechani- cal amplifier/transducer is a viable option to measure input force with a high resolution. The next step is to realise implementation with the sensor and a 1:1 scale prototype fabricated with milling and wire-EDM.","Compliant Mechanism; Fiber Bragg Grating; Sensitivity enhancement; Force Amplification","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Precision and Microsystems Engineering","Engineered functional material structures for advanced sensing in high-tech instruments.",""
"uuid:d51196dc-d26b-4b49-ac53-7a254101c4de","http://resolver.tudelft.nl/uuid:d51196dc-d26b-4b49-ac53-7a254101c4de","Analysis of Core-Shell Leaky-Wave Lens Antennas based on a combination of Physical Optics and Asymptotic Techniques","Bechrakis Triantafyllos, Alexandros (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Microelectronics)","Llombart, Nuria (mentor); Neto, A. (graduation committee); Spirito, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the framework of this MSc thesis the analysis of core-shell leaky-wave lens antennas is presented, based on a combination of asymptotic and Physical Optics techniques. This study aims to develop an analysis approach which can be subsequently used for the optimization of the Fly’s Eye antenna concept, through enabling the investigation of shaped variations of the core lens. The main difficulty of this prospect refers to the surface of the core lens being in the near field of the leaky wave feeding structure, since the evaluation of the near field is in general a computationally inefficient process. Adhering to this conclusion, a big part of this thesis elaborates on a very fast approach for the derivation of the near field, through asymptotically approximating the involved integral expressions. More specifically, the presented method exploits the nature of the near field in the examined stratification through introducing an approximation in the integral expressions, which in turn enables their asymptotic evaluation in a straightforward manner. Subsequently, the near field on the core lens is combined with a set of Physical Optics techniques in order to develop a model for the integrated lens architecture of the Fly’s Eye antenna. Modelling the core-shell structure in such a manner enables its study in a much more computationally efficient fashion compared to the use of a full-wave simulator. In addition, it facilitates the investigation of structural alterations in the antenna concept, like shaped variations of the core lens. The derived model presented in this thesis also contributed to the measurement campaign of the Fly’s Eye antenna prototype, through identifying a problematic component in the assembled prototype.","Asymptotic techniques; Leaky waves; Lens antennas; Near field","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:3ee2a204-864a-447b-bc7d-ca96251e6805","http://resolver.tudelft.nl/uuid:3ee2a204-864a-447b-bc7d-ca96251e6805","Multi fidelity screening as a method for long term analysis of green water events in turret moored FPSOs","Habiyaremye, Romain (TU Delft Mechanical, Maritime and Materials Engineering)","Delft University of Technology (degree granting institution)","2023","The design process of an FPSO requires extensive knowledge about local environmental conditions. Storms and heavy weather can lead to high waves, some of which can impact the deck of the structure, leading to high pressures and loads. This occurrence, called a green water event (GWE), can cause damage to equipment as well as forming a hazard to crew working on deck. The occurrence of green water events is very rare which makes the determination the design loads associated with them challenging. Low fidelity models such as linear diffraction lack accuracy to model GWE while high fidelity models such as computational fluid dynamics are very expensive and slow. Multi fidelity methods attempt to bridge that gap, combining the speed of low fidelity models with the accuracy of high fidelity models. This study focusses on screening, a multi fidelity method that screens many sea state realizations using a low fidelity model and a so called indicator, a parameter or pattern in the behavior of the model that gives clues as to whether a green water event might have occurred. Once these suspected green water events have been identified, high fidelity models can be used in a much more targeted way to determine whether or not the suspected events are green water events and what loads they generate.
The present study compares commonly used indicators and combines them in a multi parameter indicator in an attempt to improve screening efficiency. The basis of the research is the Aoka Mizu FPSO designed and owned by Bluewater energy services. The Aoka Mizu underwent a thorough refitting process for additional green water protection pending its redeployment. This refit was preceded by a battery of model scale tests, hydrodynamic calculations and CFD simulations. A two stage screening process was introduced that combines multiple indicators in consecutive screening stages. The multi parameter indicator that was introduced performed better than the best commonly used indicator when tested against model scale measurement data. The two stage screening method can improve the performance of an indicator by up to 34% compared to single stage screening.
The two stage screening method and the multi parameter indicator were combined with a linear diffraction model into a so called low fidelity surrogate. This low fidelity surrogate was tested for its ability to identify green water events by simulating the model scale tests. While the low fidelity surrogate yielded poorer performance than initially calculated from the model scale test data, two stage screening still improved the performance of the screening process.
The aim of this thesis is to develop an ecological model for fish habitat suitability in the river Rotte basin in the Netherlands that can be used in policy development for ecological restoration. The model serves as a decision support system to explain differences in fish population and evaluate the impact of management actions to modify fish habitat suitability. The river Rotte suits perfectly for this case study, because recently a fish passage has been realised between the Rotte and Nieuwe Maas to facilitate fish migration and expand living conditions. Furthermore, the river Rotte is a designated WFD water body, but the current status of the river varies between ""poor"" and ""moderate"" due to an unbalance between plant-loving and benthivorous fish species.
The model developed in this thesis is a Bayesian Belief Network model that predicts habitat factors for food preference and preference for habitat structure. The model is based on machine learning with a set of cases from monitoring data and predicts the probability distribution for fish habitat suitability for plant-loving and benthivorous fish species. The model has been applied to assess the impact of local conditions on fish habitat differentiation and to evaluate the impact of management actions on fish habitat suitability. The research shows that the Bayesian Belief Network model is very useable for policy making and facilitates the participation of various stakeholders. However, the current version of the model shows inadequate prediction accuracy and relies heavily on sampling data. This can be improved by expanding the scope of the model to include other water bodies in the Netherlands and by using metamodels for specific model variables.
Overall, the Bayesian Belief Network model is functional and usable for policy making, but further improvements are needed to enhance its prediction accuracy. This can be achieved through expanding the model's scope, evaluating its performance, and including more habitat factors in the model structure.
To address this research question, the methodology applied in this thesis consists of two main approaches: numerical simulations and a fatigue crack growth model. The simulations specifically consider an incident where an 8-inch pipeline was damaged by an AC-14 High Holding Power (HHP) anchor. The pipeline is internally pressurised at maximum gauge pressure, and simulations are conducted considering daily and yearly variations in loading cycles, specifically at 10% and 50% pressure reductions. Through these simulations, the stress distribution and variation within the pipeline material resulting from the anchor impact are investigated, providing insights into the behaviour of the pipeline under such conditions. The fatigue crack growth model used in this study is based on the Paris law, which describes the relationship between crack depth and the number of cycles required for crack propagation under cyclic loading conditions. The presence of hydrogen significantly accelerates the rate of fatigue crack growth. As a result, adjustments are made to the Paris law to account for this effect, particularly in determining the range in which the law remains applicable. The crack growth analysis focuses on determining a critical crack depth, which could possibly lead to pipeline failure. A Failure Assessment Diagram (FAD) is used to determine the maximum allowable crack size, ensuring the safety of the pipeline. The FAD, along with the wall thickness of the pipeline, serves as a critical criterion for assessing structural integrity. The remaining lifetime of the pipeline following an accident depends on which criterion, either the FAD or the wall thickness, indicates failure first.
The crack growth analysis conducted in this research reveals that as the crack depth progresses under the influence of hydrogen, it eventually reaches a critical depth that introduces a potential risk of pipeline failure. Specifically, when considering yearly pressure variations, the crack reaches this critical depth in slightly over 8 years. Although the attained crack depth at this point is not yet through-thickness, the crack growth rate experiences a significant increase after 8 years, ultimately resulting in a through-thickness crack 9 years after the initial impact. The findings of this study have significant implications for the future development and maintenance of offshore hydrogen pipelines. By understanding the consequences of anchor hooking incidents and their impact on the operational lifespan of hydrogen pipelines, this research contributes to the development of robust and resilient infrastructure for a sustainable energy future.
Background
This research is initiated to investigate the underrepresentation of women in technical occupations, particularly in the male-dominated field of the construction industry. The Netherlands is a country where this gender gap has been observed, with a significant disparity in the representation of women in the industry. Despite the recognized importance of gender diversity and equity in promoting gender equality and empowerment, there is a lack of comprehensive frameworks that address these issues within organizations.
Purpose and methodology
This study aims to enhance understanding of gender diversity and equity in the workplace, particularly focusing on challenges faced by women in the Dutch construction industry. It seeks to offer insights and recommendations for promoting gender diversity by examining different organizational roles. The research contributes to existing literature, informs company diversity initiatives, and provides insights applicable to similar industries. The objective is to explore perceptions, challenges, and opportunities related to gender diversity within Strukton Rail from the perspectives of employees, top management, and HR partners. This is achieved through a combination of methods including interviews, questionnaires, and observations, enriched by the researcher's unique position as a Strukton Rail employee, allowing comprehensive exploration of the organization's culture, practices, and policies.
Findings and Recommendations
This research explores gender diversity and equity perspectives within Strukton Rail. Different roles (employees, top management, and HR) have varying viewpoints. There's recognition of a male-dominated culture, but differing acknowledgment of its impact on female employees. Some top management members claim gender-blindness but exhibit underlying biases. Work-life balance is valued by employees, especially female employees, while management prioritizes operational needs. Biases may affect perceptions of adaptability and caregiving roles. To address challenges, management commitment is crucial, involving a gender diversity vision, bias challenge, and clear women's representation goals. Open dialogue is vital for understanding diverse perspectives and driving change. Compliance with the Corporate Sustainability Reporting Directive is also highlighted.
Practical Implications
The description of the framework for organizational change provides organizations in the construction industry with a way to assess the gender diversity and equity status within their organization. This can aid in challenging and assessing challenges that hinder the inclusion and retention of women within the industry.
Value
This research describes an approach to understand organizational factors influencing gender diversity and equity in the construction industry. In present a novel framework that can shed light on the gender diversity and equity within an organization and can be the blueprint for organizational change. The value of this research is rooted in the ethnographic methodology, combined with the case study design. Combined with the dual position of the researcher as both employee and researcher, highly in-depth, contextual data forms the basis of this research.","Gender Diversity; Gender Equity; Construction Industry; Rail Industry","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:be3db6fe-8f93-4c97-b11b-5f2de860ce85","http://resolver.tudelft.nl/uuid:be3db6fe-8f93-4c97-b11b-5f2de860ce85","Contractual Portfolio Approach in Civil Engineering: An explorative case study research into the bundling of multiple works into one initial tender","Hoekstra, Bas (TU Delft Civil Engineering & Geosciences)","Houwing, E.J. (mentor); Bruggeman, E.M. (graduation committee); Hombergen, L.P.I.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Dutch construction sector is confronted with a complex and challenging situation. The majority of the infrastructural elements in the Netherlands were built in the 1950s and 60s, which means they are approaching the end of their lifespan. This state, in combination with backlogged maintenance and budget cuts, created a significant and urgent renovation and replacement wave that the sector is now facing. Additionally, other challenges like the tightness of the labour market, increasing material prices, the sector’s substantial emissions of CO2 and nitrogen and it’s limited learning capacity only exacerbate the complexity of the situation.
One of the key solutions emerging in this context is the implementation of the contractual portfolio approach (CPA), where multiple works are bundled into one tender. This approach shows potential to efficiently tackle the renovation and replacement task, while at the same time creating a healthier situation in the sector. However, it is a very novel strategy about which both stakeholders and researchers possess limited knowledge. Therefore, this research fills this gap by exploring the implementation of CPA for the civil engineering sector. This exploration is done by analysing what CPA exactly entails, the objectives clients pursue when implementing a CPA and the choice options they have when doing so.
Out of this study, a clear definition of CPA emerges, which is:
The bundling of a logical set of similar works into one initial tender, with the purpose of reaching certain work-transcending objectives.
Next to this, eight main objectives are identified, accompanied by eleven key choice options that clients have at their disposal. These objectives include enhancing standardisation, increasing efficiency and stimulating a learning curve. The choice options, among others, are the selection and award criteria of the initial tender, the composition of the bundling of works and the implementation of performance management. Clients tend to lack a concise list of objectives while at the same time having a shortage of an overarching view on available choice options. Next to this, the links between choice options and objectives bring about certain dilemmas. This happens when a specific choice has a positive effect on one objective, but a negative one on another. As an example, the implementation of performance management provides the client with a certain degree of control over the quality of the portfolio, while at the same time decreasing the contractor’s willingness to invest. Next to these dilemmas, certain situational factors prove to be influential for the effectivity of choice options. For instance, a high complexity of the environment of a work hampers the workflow within a portfolio.
Concluding, clients can adopt the contractual portfolio approach as a way to achieve a variety of objectives. From sustainability to efficiency, and from risk reduction to standardisation. To effectively do this, it is important to explicitly define objectives and limit these to the most important ones. Furthermore, it is important to consider all the available choice options and to position the buttons in a way that it aligns to both the portfolio situation and the specific objectives. Then, it is vital to consider the circumstances of the specific case and to carefully weigh the trade-offs associated with certain dilemmas. Ultimately, clients are advised to use the CPA Decision Guideline that is constructed in this research as a tool that supports the decision-making.
To address these limitations, a novel approach has gained traction within the research community: the paired electrolysis of CO2 reduction reaction (CO2RR) and glycerol oxidation reaction (GOR). How- ever, these studies mostly employ expensive platinum group metal (PGM) catalysts in flow cells, failing to address cost dependency or scalability for future industrial applications due to inefficient energy use in this electrolyzer configuration. Therefore, this study intends to understand and optimize the paired electrolysis of CO2RR with GOR in zero-gap electrolyzers while comparing the performances of Pt (a relatively rare PGM catalyst) and Ni (an abundant non-PGM catalyst). The effects of applied cell po- tential, glycerol concentration, active surface area, and ion exchange membrane type on GOR product selectivity and the system’s energy demand are evaluated through potential and current controlled ex- periments. Gas chromatography (GC) and proton nuclear magnetic resonance spectroscopy (H-NMR) are used for product analysis.
This thesis demonstrates the viability of paired electrolysis in zero-gap electrolyzers, yielding major products like formate and lactate alongside minor byproducts such as acetate, glycerate, and dihydrox- yacetone. The results reveal Ni’s superior performance over Pt at current densities below 200 mA/cm2 in zero-gap electrolyzers. The negative influence of increasing applied potentials on faradaic efficien- cies (FEs) is presented, particularly in Ni, likely due to side reactions like OER or formate oxidation. The study also illustrates that increased glycerol concentrations decrease FEs and system activities due to heightened viscosity-related diffusivity issues. Moreover, the tests conducted using the Ni anode in zero-gap electrolyzers utilizing bipolar membranes (BPM) show a minor reduction in product selectivity likely caused by the increased amounts of OH– ions near the anode coming from the water dissociation reaction (WDR).
The study also uncovers that the anticipated significant reduction in the cell’s energy demand with the replacement of OER with GOR is not observed in zero-gap electrolyzers. No conclusive improve- ments are observed for either catalyst when anion exchange membranes (AEM) are employed, and only marginal improvements in the cell’s energy demand are achieved when bipolar membranes are used with Ni. Although this behavior is speculated to be a consequence of the absence of a flowing electrolyte near the anode, further investigations are needed to identify the cause of this unexpected lack of improvement in the energy demand.","Electrochemical CO2 reduction; Electrochemistry; Glycerol Oxidation; Zero gap electrolyzers","en","master thesis","","","","","","","","","","","","Applied Sciences","",""
"uuid:7c19c91e-e206-46d6-9b76-6511d4af201b","http://resolver.tudelft.nl/uuid:7c19c91e-e206-46d6-9b76-6511d4af201b","End-to-End model for free-space-optical Air-to-Space communication services","Helsdingen, Wieger (TU Delft Aerospace Engineering)","Saathof, R. (mentor); den Breeje, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","FSO satellite communication enables data transfer at high bandwidths, low latency, and high security. Due to these benefits, FSO communication services with satellite constellations can hugely improve global connectivity and bandwidth, while maintaining sufficient security. Modeling a global FSO satellite communication service is beneficial for gaining insight into, and performing preliminary analysis on such missions. However, these missions are complex problems with several physical processes occurring at different time scales. Macroscopic processes in the order of minutes to hours consist of relative platform dynamics (eg. aircraft and satellite motion) and atmospheric attenuation. Microscopic processes consist of atmospheric turbulence- and platform disturbances in the order of milliseconds and transporting photons/bits in the order of nanoseconds. To our knowledge, there are currently no end-to-end models that accurately and efficiently simulate a combination of all these processes. To overcome this, a multi-scale method is proposed that simulates and combines the physics of the microscopic and macroscopic processes of a global FSO satellite communication mission. A bi-directional air-to-space link is chosen as a use case. The proposed model simulates a 1.5 hours communication mission in 6 minutes with 8 Gb random access memory (RAM). Platform dynamics and jitter are the most dominant effects. Including microscopic processes results in a performance difference in signal power of 10 dB to 80 dB depending on the strength of the atmospheric channel. Using the multi-scale method, we can efficiently and realistically simulate an FSO communication service end-toend between an aircraft and a satellite constellation.","Free-space-optics; Laser Communication; End-to-End; aircraft; Constellation; Modelling","en","master thesis","","","","","","","","","","","","Aerospace Engineering","UltraAir (Airbus and TNO)",""
"uuid:ec07d7a5-06cf-4615-8643-497e656bd0e7","http://resolver.tudelft.nl/uuid:ec07d7a5-06cf-4615-8643-497e656bd0e7","Employee Driven Green Innovation","Damen, Ritchie (TU Delft Technology, Policy and Management)","Pachos-Fokialis, N. (mentor); Gammon, A.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:4e293111-efa8-46c4-a530-a8e254f550f8","http://resolver.tudelft.nl/uuid:4e293111-efa8-46c4-a530-a8e254f550f8","Optimizing the Spatial Distribution of Battery Swapping Stations in the Urban Area Considering Urban Livability","Du, Ximing (TU Delft Civil Engineering & Geosciences)","Snelder, M. (mentor); Correia, Gonçalo (mentor); de Clercq, G.K. (mentor); Delft University of Technology (degree granting institution)","2023","Nowadays, electric vehicles (EVs) are becoming one of the most popular traffic modes in urban mobility system. As a new mode, it has its unique characteristics but also have many features in common with conventional transportation tools. One main advantage of the EV is that it uses the clean energy as the power of driving, which can significantly improve the sustainability of the current mobility system. Therefore, this new traffic mode has been widely and strongly supported by all sectors of society. Many governments around the world even plan to totally forbid the sale of conventional fuel vehicles to promote people’s acceptance towards the EV. However, a critical obstacle to continued progress in this technology is the range anxiety and the inconvenience of the current charging schemes, which to a great extent, limit the flexibility of drivers’ trip scheduling. In the case of this anxiety, the concept of Battery Swapping Station (BSS) becomes popular at present. This infrastructure is expected to provide battery swapping services to the EV like a fuel station, which can greatly eliminate the range anxiety and enhance the flexibility of trip scheduling for EV drivers.
This thesis aims to make the construction planning for this future infrastructure. To be specific, it is to find the optimal spatial distribution of BSSs in the urban traffic network. For solving this type of problem, there have already been many studies that developed optimization models with objectives such as minimizing the overall construction and operation costs of the system or maximizing the overall operational profits. There are also a few studies take the routing problem into consideration as well. However, another important stakeholder in this problem is completely missed in existing research, that is the urban resident. Urban residents now are becoming more and more concerned about the living environments in the city, so they should not be neglected when trying to solve the locating problem of BSSs because the construction and operation of this infrastructure will have a significant impact on urban livability. On the basis of this consideration, this research develops a model that simultaneously calculates the interests of three stakeholders in the optimization, which are system investors, urban residents, and system users (EV drivers) so that a more balanced solution to this optimal locating problem can be obtained, which is more realistic and practical in the future.
The developed model is applied to a real traffic network in this research. Delft City in The Netherlands is chosen to be the experiment site. In general, four types of concerns are tested in this study. The first concern is about the uncertainty of the optimal solution brought by the randomness of EV’s initial SOC. Since there are not mature statistics on collecting EV’s initial SOC distribution at present, a probability density function is used to randomly generate initial SOC for vehicles during experimenting. In this case, it is possible that the optimal locations can be quite uncertain in the city under different draws. Therefore, this uncertainty is specifically tested first. Then the second concern is about the future development of EV-related technologies. To be specific, the optimization is conducted under different maximum traveling ranges of the EV to see what will be the case if the traveling range of the EV becomes wider and wider in the future. The third concern is an optimization strategy that might be applied by the decision-maker to ensure a good living environment in the residential area in the city, so a station size limit is set in this area to see how the optimal solution will change under this circumstance. The last concern is about some possible optimization preferences of the decision-maker, for example, the preference for a better travel time in the traffic network, fewer stations in the residential area, less economic expenditure on station construction. The impacts of these three preferences are examined in this study.
A series of performance indicators are designed to comprehensively reflect the impact of a certain concern on the optimization. And it is found that each of the concern will significantly influence the optimal decision-making in this problem. Much information can be inferred from experiment results, which will be specifically exhibited and explained in later parts of this thesis.
At the end of this research, the limitations of the developed model are analyzed in detail, and recommendations for future research on this topic is formulated based on these limitations.
The initial phase involved stakeholder and competitor analysis, along with qualitative research through interviews with the energy company employees. Housing categories were established and prioritized, while the identified problems were refined. Additional interviews were conducted to look into the desirability of the to be developed solution.
The subsequent phase involved individual brainstorming and various workshops, utilizing different methods to select and further develop the most promising solutions. Roadmaps were created, outlining strategic directions and required activities. Simultaneously, a future vision is formulated through strategic trend scanning and clustering, providing a long-term perspective and comprehensive understanding of the broader picture of the energy business in 2050.
This study suggests the energy company to focus on five new innovations. All innovations propose the use of either new HIU’s or ways of connecting district heating to the HIU. These solutions combined have the maximum potential of connecting 67.2 percent of the buildings.
Furthermore, the recommendation was made that, to meet future customer needs, the energy company should transition from their current role of organizing the energy transition to becoming a provider of knowledge and expertise.
Lastly, it was found that to overcome challenges in adopting district heating, the energy company should improve their communication about their process, progress, and the benefits of district heating to the residents.
The escalating demand for crucial metals within renewable energy technology sectors has raised alarms regarding supply chain security. It is imperative to fortify the sustained and secure provision of these pivotal metals to ensure the forthcoming viability of EVs. Numerous nations have committed to achieving net-zero emissions by 2050, intensifying the future prominence of renewables, and underscoring the significance of securing metal supplies for EVs to meet the 2050 demand amid competing technological contenders.
Multiple knowledge gaps and challenges encompass the repercussions of EV proliferation on essential metal resources. Prevailing studies on metal scarcity focus on various scenarios, yet few target the exponentially surging demand for these metals stemming from the EV surge. While geological reserve perspectives have scrutinized metal criticality for EVs, this approach lacks a comprehensive panorama, neglecting influential factors such as geopolitics and competing demand. Furthermore, there exists scant exploration of alternative remedies to diminish reliance on critical metals within EVs and their consequences for metal supply chains. The feasibility of these alternatives hinges on their impact on vehicular performance, a determinant factor for broad acceptance.
The primary objective of this research is to address the inquiry: ""How does the rapid proliferation of electric vehicles affect the availability of vital metals, and what strategies can be adopted to alleviate potential supply impediments?""
This research pursues a four-tier approach. Initial efforts involve delineating criticality for EVs and devising an analytical framework that encompasses diverse perspectives beyond geological reserves. A comprehensive review identifies socio-technical metrics instrumental in assessing metal criticality and their contributions to EV-related criticality. This informs the development of an analytical model, rooted in established frameworks, to evaluate metal criticality for EVs. The model then undergoes validation through expert interviews.
Subsequently, the research identifies potential bottlenecks in critical metal supply. Essential metals for EV functioning are identified through literature analysis. Building upon prior work by Habib et al., the research delves into geopolitical reserves and integrates future demand scenarios. Each metal within scope undergoes individual analysis, considering geopolitics and competitive demand, utilizing literature reviews to uncover potential supply bottlenecks by 2050.
The third stage concentrates on recognizing critical metals from the analytical model results and outlining alternative strategies to alleviate supply bottlenecks. Insights from academic and industry experts, garnered through interviews, illuminate the feasibility of alternative technologies and their metal consumption implications. Varied battery configurations and trade-offs are explored, employing a semi-structured interview approach to ensure thorough data capture.
Lastly, the research aspires to actualize alternative pathways to mitigate prospective supply bottlenecks. This involves examining existing practices that imperil suggested solutions and probing promising remedies to these challenges. The technological readiness of these solutions is gauged, considering their effects on EV design and performance. Policy approaches by global leaders, coupled with identified bottlenecks and solutions, inform actionable recommendations.
The pivotal factors impacting metal criticality for EVs encompass Geological Reserve availability to meet 2050 EV demand, Competing Demand Sectors, and a spectrum of Political, Economic, Social, Technological, Environmental, and Legal factors. An analytical model is formulated to evaluate metal criticality through each of these lenses. Key metals identified as vital for EV functionality are Lithium, Nickel, Cobalt, Copper, Aluminium, and Rare Earth Metals (REES).
From the analytical results, potential supply bottlenecks emerge for Lithium, Nickel, Cobalt, and REEs, stemming from diverse combinations of factors within the model. Expert interviews unearth alternative paths to circumvent these bottlenecks, including substituting REE-containing Permanent Magnet Synchronous (PSM) motors with other technologies, short-term adoption of Lithium Iron Phosphate (LFP) and Lithium Manganese Oxide (LMO) batteries, and long-term integration of alternative battery chemistries like Sodium-ion, Potassium-ion, and Solid-State Electrolyte batteries.
The readiness of these technologies is evaluated, alongside scrutiny of policies regarding Critical Raw Material supply and Net-Zero emissions, as observed in global leader initiatives such as the European Union. Drawing from this analysis, a compilation of recommendations is outlined for Global policymakers, EV manufacturers, and EV users. These suggestions seek to accelerate the integration of these alternative technologies within the Electric Vehicle Industry. The proposed strategies to veer away from metals susceptible to supply bottlenecks are summarized, culminating in practical recommendations for stakeholders, manufacturers, and policymakers.
The project employs the Agile methodology and the Double Diamond Model to iteratively design user interfaces that visually articulate informed consent for ICT (i.e. laptop) data sharing for CE processes, such as laptop’s repair, reuse, and return. The main objective is to help cultivate a more sustainable ICT service in TU Delft by enhancing CE data sharing transparency and offering incentives for informed consent with the User Interface (UI) design. The design of the user interface aims to simplify the comprehension of consent for data sharing, bring more transparency, and raise awareness about data privacy. This approach is expected to motivate the research staff to actively participate in data sharing, thereby contributing to sustainability efforts within the organization. The thesis culminates with the presentation of key findings and potential avenues for future exploration and research, providing valuable insights into the interplay of data privacy, user experience, and sustainability within ICT services.","Informed Consent; User Interface Design; Circular Economy","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:490bb582-bcc6-4b0f-a752-0814100754e9","http://resolver.tudelft.nl/uuid:490bb582-bcc6-4b0f-a752-0814100754e9","Predicting the short-term response to inlet interventions in Lake Bardawil: A study on the initial hydrodynamic and morphological response to inlet dredging interventions in Lake Bardawil, a shallow micro-tidal lagoon in northern Egypt","Hoek, Wouter (TU Delft Civil Engineering & Geosciences)","Wang, Zhengbing (mentor); Kranenburg, W.M. (graduation committee); Pearson, S.G. (graduation committee); van Bentem, Rick (graduation committee); Mol, Arjan (graduation committee); Delft University of Technology (degree granting institution)","2023","Lake Bardawil is a hypersaline shallow micro-tidal double-inlet lagoon on the northern coast of the Sinai Peninsula in Egypt. The inlets, named Boughaz 1 and Boughaz 2, connect Lake Bardawil to the Mediterranean Sea. The local population relies on the fishing yields from the lagoon, however, in the current situation, the lagoon’s inlets are unstable, needing constant maintenance dredging to stay open. Additionally, due to the hypersaline situation (limiting fish attraction) and high fish catch demand, the current fishing situation is unsustainable. The Weather Makers propose a solution to the problem using dredging interventions. Enlarging the inlets of Lake Bardawil is expected to result in increased fish migration, decreased salinity in the lagoon and increased stability of the inlets. This research aims to investigate the short-term response of Lake Bardawil and specifically its inlets to the dredging interventions proposed. To investigate the response to the interventions, two numerical models are used; a hydrodynamic model in D-flow FM and a coupled morphological model using D-flow FM and D-waves. The model results are analysed in terms of the hydrodynamic tidal response, combined hydrodynamic response to tide and weather and finally the morphological response to tidal and weather effects. From the hydrodynamic model, it can be concluded that the interventions result in a larger tidal prism for the lagoon by a factor of 1.6. This is expected to result in increased fish migration and reduced salinity in the lagoon. As the tidal prism increases, so does the discharge through the inlets. The increased discharge through the inlets results in inlet velocity amplitudes that exceed the theoretical critical value for convergence to a dynamically stable inlet cross-section for both inlets. The interventions affect more than just tidal currents in Lake Bardawil. Weather effects in the lagoon are amplified by the interventions. This is demonstrated by increased interaction between the inlets in terms of net import and export of water. During winter storms, the wind-induced flow from Boughaz 1 to Boughaz 2 is greater in magnitude. The wind waves caused by these winter storms result in sediment resuspension. This results in larger sediment transport from the basin to Boughaz 2. Using the coupled model, the morphological response in both inlets can be predicted. In Boughaz 1, the present sediment-importing character is amplified. Whereas the similar summertime sediment-importing character of Boughaz 2 is reduced substantially. The sediment export of Boughaz 2 during winter is larger in the new situation. The interventions result in increased sedimentation in the dredged channel of Boughaz 1. This does not hinder the increased tidal discharge at Boughaz 1, which is the main intended function of the interventions. This means the increased sedimentation does not influence the intended functioning of the interventions in the short term. Due to the increased sedimentation in the inner dredged channel, there is no indication of increased stability in Boughaz 1. Boughaz 2 shows less morphological activity in the situation after interventions. As is the case with Boughaz 1, there is no hindrance to the functioning of the interventions during the short simulation period. There is reduced deposition of sediment in the inner flood delta region of the inlet and the updrift side of the inlet channel compared to the known unstable situation during both winter and summer simulations. This indicates improved stability for Boughaz 2. The short-term response to the interventions in the inlets of the Lake Bardawil system is as intended, the tidal prism is increased and while there is only an indication of increased stability in Boughaz 2, there are no short-term impediments to the intended primary functioning of the interventions in either of the inlets.","","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering | Coastal Engineering","",""
"uuid:55561a25-3fe4-4122-b3da-3789a1ddb81e","http://resolver.tudelft.nl/uuid:55561a25-3fe4-4122-b3da-3789a1ddb81e","Forecasting daily month-ahead TTF gas prices using a combination of preprocessing and machine learning techniques","Vertregt, Tom (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dwarka, V.N.S.R. (graduation committee); Vuik, Cornelis (mentor); Borovykh, Igor (graduation committee); Delft University of Technology (degree granting institution)","2023","Although energy commodity price forecasting has been around for quite some time, up until recently, especially in Europe, it mostly concerned other energy commodities than gas. That is why in this work, a forecasting model is presented for the single day forecast of the daily VWAP price of the TTF month-ahead gas contract from 2020/01/02 until 2023/08/03. A model combining machine learning and data preprocessing is proposed. First a decomposition of the gas price is produced by a combination of Variational Mode Decomposition (VMD) and Independent Component Analysis (ICA). With these decompositions an initial volatility regime split is chosen because of the unusual characteristics of the dataset. During the forecasting period the Russo-Ukrainian war started, and the economy was recovering from the COVID-19 crisis, causing the gas price to surge to levels previously unknown. Using the decompositions as input, a final price prediction has been made using a Gated Recurrent Unit Neural Network (GRUNN) and Support Vector Regression (SVR), amongst others. The proposed model is compared to a selection of benchmarks, one of which is the naive forecast. To conclude, a selection of exogenous variables is added to the model to improve the performance. Gas storage and the UK NBP gas price are chosen for their specific characteristics. The best performance the model exhibits is between 0.38% MAPE for the low volatility regime, and 1.4% MAPE for the high volatility regime.","TTF gas price; ICA; VMD; GRUNN; SVR; month-ahead","en","master thesis","","","","","","","","2025-08-16","","","","Applied Mathematics | Financial Engineering","",""
"uuid:fc2e0c52-8eed-4aa9-b59b-80f5b6dd0769","http://resolver.tudelft.nl/uuid:fc2e0c52-8eed-4aa9-b59b-80f5b6dd0769","Enhancing Deep Networks through Customized Iterative Hierarchical Data Augmentation: A Study utilizing the Sussex-Huawei-Locomotion Dataset","van Amerongen, Maximilian (TU Delft Mechanical, Maritime and Materials Engineering)","Kok, M. (mentor); Tang, Qinrui (mentor); Roth, Michael (mentor); Jahan, Kanwal (mentor); Laurenti, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Artificial Neural Networks (ANNs) have emerged as a powerful tool for classification tasks due to their ability to outperform traditional methods. Nevertheless, their effectiveness relies heavily on the availability of large, varied, and labeled datasets, which are often not available. To counter this constraint, data augmentation techniques have emerged, leveraging existing data to generate additional, variant data. Extending these techniques to multi-dimensional time series data, such as the transportation mode detection data considered in this thesis, however, introduces challenges. In response, generative models such as Variational Autoencoders (VAEs) have shown promising advancements.
In this context, this thesis investigates the application of the Iterative Hierarchical Data Aug- mentation (IHDA) algorithm for ANNs, which represents a VAE-based data augmentation technique. The IHDA method utilizes VAEs not only to generate new data samples but also to map existing data to a lower-dimensional latent space, which is then utilized for identifying samples that might require additional training. The proponents of this method, Khan and Fraz, reported an accuracy elevation for the considered transportation mode detection classifier from 83% to 92%. However, due to the absence of publicly accessible code for this algorithm, the initial step of this thesis involved implementing the IHDA algorithm. Further, this research proposed and incorporated advancements like the σ-VAE, aimed to improve the generative capacity of the VAE and to refine its latent space mapping. Additionally, the Kullback-Leibler (KL) divergence was introduced as a similarity metric, aiming to optimize the identification process of samples that require retraining.
Unfortunately, the results reported by Khan and Fraz could not be reproduced in this study. Furthermore, despite the potential shown by the σ-VAE to improve the generative capacity and refine the latent space mapping, along with the enhanced sample identification through the KL divergence, these enhancements did not lead to an overall improvement in the IHDA algorithm. This was primarily attributed to the low generative performance of the VAEs utilized, which also hindered a thorough evaluation of the effectiveness of the IHDA algorithm.
Given these outcomes, it is suggested that future work should focus on employing more complex VAE models with the potential to enhance their generative performance, which, in turn, could improve the IHDA algorithm’s overall effectiveness.","Variational Autoencoder (VAE); Deep Learning; Transportation Mode Detection; Data Augmentation","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:1d5b87d0-0b27-4d48-9512-cd1955c2843a","http://resolver.tudelft.nl/uuid:1d5b87d0-0b27-4d48-9512-cd1955c2843a","Development of a Point-of-use Water Treatment System in Nepal","Maga, Poh (TU Delft Industrial Design Engineering; TU Delft Sustainable Design Engineering)","Song, Y. (mentor); Verwaal, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This report details the design of a decentralized water treatment system for use in Nepal, which currently lacks improved sanitation for 10.8 million people and a water contamination rate of 71 percent. This contributes to the approximately 140,000 deaths per year from diarrheal illnesses caused by such bacterial contamination. To combat this, the Phutung Research Institute (PRI) in Nepal has developed a low-cost optical sensor that can detect pathogenic bacteria in water. This sensor is a vast improvement over existing tools used to assess water quality, such as consumable test kits or laboratory analysis. However, detecting contaminated water is only one of two steps necessary to provide safe water to those who need it - the water must be cleaned. Such is the purpose of this project: to integrate this sensor in a design that both detects contaminated water and purifies it for people in Nepal. In addition to providing a practical application for PRI’s technology, this project applies other areas of design to create a holistic product intended to operate through its complete life-cycle within Nepal. User ethnography was researched to identify a ubiquitous water tank system as the implementation point, allowing for a single design to be applicable throughout Nepal’s diverse population. This also yielded additional pain points that are addressed to increase the acceptability of this product design in Nepal. Manufacturing and maintenance research yielded a modular architecture that can be constructed in Nepal as much as possible, thereby shortening supply lines and reducing costs while stimulating the local economy. Such a system also allows for the maintenance of sensitive components in the field without specialist intervention. These elements were combined to create a TRL 6 prototype designed to detect bacteria within a home’s water supply and automatically eliminate it with chlorine treatment. It is intended to both demonstrate PRI’s technology and to facilitate field testing in Nepal. This was done while improvising a design method called ‘Who, Why, How into What’. A new method was necessary to organize a project in which multiple diverged areas of design development had to occur simultaneously over a short period of time, barring the use of more traditional and better-defined design methods.","Nepal; Water; Public Health; Embodiment; Developing countries","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:f1b85936-6b1c-4783-882e-989b4dbc7d91","http://resolver.tudelft.nl/uuid:f1b85936-6b1c-4783-882e-989b4dbc7d91","Ex-ante viability of wonder nanomaterials from waste CO2: An ex-ante techno-economic and environmental assessment of CO2 based carbon nanomaterial production and comparison with status quo","Kunati, Nikhil (TU Delft Technology, Policy and Management)","Ibarra Gonzalez, P. (mentor); Kortlever, R. (graduation committee); Goetheer, Earl (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","The primary objective of this study was to address the lack of understanding of the commercial- scale implementation of novel CO2 based carbon nanomaterial (CNM) production and its comparison with status quo CNM production processes using unsustainable fossil resources. To accomplish this objective, first, a literature review was performed on the status quo commercial- scale CNM production, the lab-scale CO2 based processes and the CNM market. In regards to the status quo processes, the literature review yielded mainly chemical vapor deposition (CVD) processes of carbon nanotubes (CNTs) and graphene production. With respect to the lab scale CO2 based processes, the literature review involved categorising the identified processes into four different approaches based on their working principles - CO2 based CVD, molten salt based electrochemical CO2 reduction, liquid metal catalyst aided CO2 reduction and metal reductant enabled CO2 reduction. With regards to the CNM market, CNT materials were found to have the highest market share followed by that of graphene. Startup spinoffs working on the graphene and CNT production from CO2 were identified with origins to university-based research groups.
Following such literature review, a framework was developed to select one process each from the status quo CVD processes and the novel CO2 based processes, which produced comparable CNM. This framework was applied to the processes obtained from the literature review, which led to the selection of a methane-based CVD process and a molten salt-based electrochemical CO2 reduction process for small-diameter multi-walled (MW) CNT production. The methane-based CVD process involved the use of Ni-Mo catalyst on MgO support and high-temperature operating condition of 975°C. The molten salt-based electrochemical CO2 reduction process involved the use of Ni and steel electrodes, pure molten Li2CO3 electrolyte and high-temperature operating condition of 750°C. These selected processes were designed and simulated in Aspen Plus at a commercial-scale production level of 5000 tonnes per operating year. The mass balance, energy balance, and equipment cost data obtained from the simulations were used to assess the technical, economic, and environmental performance of the ex-ante CO2 based production process and the status quo CVD production process.
The technical performance assessment involved the estimation of resource intensity and energy efficiency indicators of the two processes, wherein the CVD process outperformed the electrochemical process in both indicators. The economic performance assessment involved the estimation of total capital investment, operational expenditure, net present value, and payback period. The CVD process performed better than the electrochemical process in all the indicators except total capital investment. Both processes indicated positive net present values and short payback periods, indicating the realisation of commercial scale plants of both processes to be profitable. The environmental performance assessment was carried out using the life cycle assessment methodology in the CMLCA software. The climate change impact indicators at scope 1 and 2 levels along with the net avoided impact from using CO2 were estimated using the CML 2001 impact assessment family. The electrochemical process was shown to perform considerably better than the CVD process in all the climate change impact indicators. Overall, the technical and economic performance of the CVD process was better than that of the electrochemical process. However, the environmental performance of the electrochemical process was shown to outperform that of the CVD process.
The limitations associated with modeling decisions taken in the two processes and with the uncertainty associated with the lack of data were highlighted. Further, the relevance of this study was discussed with respect to the industrial symbiosis potential of using waste CO2 from industries leading to GHG emission reduction and subsequent deceleration of climate change impacts. Finally, future potential studies were highlighted that could build on the research and insights generated in this study. One of these studies involved a case study on the implementation of a commercial-scale electrochemical process for MWCNT production in Port of Rotterdam region. Another study involved a techno-economic and environmental assessment of atmospheric CO2 capture and conversion to carbon nanomaterials for applications that can potentially achieve net negative emissions.","Carbon nanomaterials; Carbon nanotubes; Chemical Vapor Deposition (CVD); Electrochemical CO2 reduction; PORTHOS; Graphene; Industrial Symbiosis; Circular economy; Industrial Flue Gases; Aspen Plus; LCA; Gate to Gate Scope; Netherlands; sustainability; CO2 utilisation","en","master thesis","","","","","","https://drive.google.com/drive/folders/1SMQeQ7t-LTmpRzafImeQ5o6cBtVwEQxW Link for supplementary files","","","","","","Industrial Ecology","",""
"uuid:595ceb6b-a453-47bc-9fe1-5b5ff58495fd","http://resolver.tudelft.nl/uuid:595ceb6b-a453-47bc-9fe1-5b5ff58495fd","Digital Employee Driven Innovation in Construction Engineering","Uitermarkt, Stefan (TU Delft Technology, Policy and Management)","Pachos-Fokialis, N. (mentor); Sand, M. (graduation committee); Verburg, R.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis focuses on driving innovation in organizations by involving employees in the innovation processes. Emphasis is laid on the involvement of ICT and the organizational climate in stimulating the innovative behavior of employees to create more innovativeness in the organization. The thesis holds a case study at a large-sized multinational in engineering & consultancy, from which qualitative research has been conducted through the use of a survey. The focus of the study is on construction engineering, a sector that has shown signs of stagnating growth through a lack of innovativeness. Through the qualitative analysis and based on the findings, a model is proposed on how employee-driven innovation can be managed in construction engineering in order to stimulate innovation in this sector.","innovation; EDI; ICT; Organizational climate","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:9c0a3e69-9b3e-4891-8f53-7b8a6098acd6","http://resolver.tudelft.nl/uuid:9c0a3e69-9b3e-4891-8f53-7b8a6098acd6","Passive film thickness control in hydrodynamic lubrication on non-flat surfaces","Blommestein, Daniel (TU Delft Mechanical, Maritime and Materials Engineering)","van Ostayen, R.A.J. (mentor); Snieder, J. (mentor); Hassan HosseinNia, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Nanoimprinting is a manufacturing method to replicate micro- and nanotextures on large-area substrates with ultraviolet-curable resins. Significant potential of large-area nanopatterning lies in its capacity to greatly enhance the performance of an abundance of devices and foster the creation of cutting-edge products. However, current large-area imprinting techniques require improvement in order to achieve high resolution, high throughput and cost-effectiveness, whilst imprinting in a thin uniform resin layer. This work presents the development of a demonstrator to passively control the film thickness in hydrodynamic lubrication on non-flat surfaces in nanoimprinting lithography.
Using low stiffness actuation, it was expected that film thickness uniformity increases during imprinting. In this project a functioning demonstrator is realised, where the film height under a slider bearing is passively controlled. The slider bearing behaviour has been tested on various flat and non-flat surfaces. Film heights of 80-160 micrometer were realised on non-flat substrates of 800 micrometer.","Hydrodynamics; Bearing; Demonstrator; Reynolds equation; Stiffness","en","master thesis","","","","","","","","2024-08-16","","","","Mechanical Engineering | High-Tech Engineering","",""
"uuid:3e66dd39-b22d-43d1-bdd2-72598d011c22","http://resolver.tudelft.nl/uuid:3e66dd39-b22d-43d1-bdd2-72598d011c22","Effects of light soaking on the performance and stability of a-Si:H thin-film solar cells","Muthaiyan Karthikeyen, Shriram (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smets, A.H.M. (mentor); Padmakumar, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Thin-film silicon photovoltaic (PV) technology has an enormous potential in the solar power market due to its light weight, flexibility and ease of integration. This enables it to have a wide range of applications like roof top and building integrated installations making it more sustainable. Some of the other key benefits of thin-film silicon PV technology include energy efficient production, less material usage, low temperature coefficient and low manufacturing cost. The relatively low conversion efficiency, however, is the major drawback of thin film silicon solar cells. Hydrogenated amorphous silicon (a-Si:H) grown by plasma-enhanced chemical vapor deposition (PECVD) is one of the extensively employed light absorbers in thin-film silicon solar cells. However, light-induced degradation (LID) of a-Si:H is one of the biggest limiting factors of this technology. LID is a metastable defect creation phenomenon that decreases the conversion efficiency of the a-Si:H solar cell after prolonged exposure to light. This is known as the Staebler-Wronski effect (SWE). SWE has been a subject of research over the past 4 decades. Despite tremendous efforts, the complete suppression of the LID has not been demonstrated yet. Nevertheless, there has been a great progress in characterisation of the defect creation and also the optimisation of solar cells to minimise the effect of LID.
In this thesis, LID of three single junction a-Si:H thin film solar cells with different qualities of a-Si:H absorber layer namely high bandgap a-Si:H, low bandgap a-Si:H and high/low bandgap a-Si:H are studied. Light soaking experiments were carried out for several hundred hours and the performance of the solar cells was analysed through external quantum efficiency (EQE) and illuminated JV characterisations at regular time intervals. Some of the key findings of the experiments include severe LID of high bandgap a-Si:H absorber layer due to increased defect creation, enhanced recombination at the p/i interface in all of the devices and also a significant relationship between the performance and light soaking temperature. Furthermore, the thermal annealing study revealed that, maximum recovery of the performance parameters can be observed at an annealing temperature of 180 ◦C.
Based on the findings of the above mentioned study, additional experiments were carried out to investigate the stability of the devices with different materials as a buffer layer at the p/i interface. The results of the experiments revealed the significance of the buffer layer in the performance of the devices and also its influence on the LID. In addition, the analysis suggests that intrinsic silicon oxide (i-SiOX), intrinsic hydrogenated amorphous silicon (i-a-Si:H), and p-doped hydrogenated amorphous silicon (p-aSi:H) are some of the potential candidates for a buffer layer in an a-Si:H solar cell that could give an improved stabilised performance. Furthermore, the speculation of light induced boron diffusion into
the a-Si:H bulk through the buffer layer was tested by another light soaking study to investigate effects of window layer (p) doping on LID. The study found no correlation between the window layer doping and the p/i interface degradation indicating the possibility of a different defect creation mechanism responsible for the enhanced recombination at the p/i interface.","Thin-film silicon solar cells; Light induced degradation (LID); Staebler-Wronski effect (SWE); Hydrogenated amorphous silicon; High bandgap amorphous silicon; Low bandgap amorphous silicon; p/i interface; Buffer layer","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:0c895382-9070-41d3-a0cc-76095e191496","http://resolver.tudelft.nl/uuid:0c895382-9070-41d3-a0cc-76095e191496","EPConomics: Unveiling the economic potential of energy efficiency in Dutch retail real estate","Zhang, Jasmine (TU Delft Technology, Policy and Management)","Qian, QK (mentor); Ward, H. (graduation committee); Eichholtz, P. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","The quest for environmentally conscious practices has become a paramount concern in the real estate sector, with a growing emphasis on reducing carbon emissions, as they are found to be responsible for a significant 36 percent of total global energy consumption. Energy Performance Certificates (EPCs) play a crucial role in advancing energy efficiency and facilitating the seamless transition toward achieving overarching national and EU regulatory energy goals. A growing body of research has explored the connection between energy performance attributes and the impact of EPCs. Although these studies consistently reveal the existence of a pricing effect, more recent investigations suggest that the relationship is intricate and inconclusive, especially when considering data constraints and varying model specifications.
In this research, compelling evidence is presented regarding the economic advantages of EPCs in the Dutch retail market. The study draws from the principles of Industrial Ecology, with a specific emphasis on understanding the systemic relationships between society, the economy, and the natural environment. By analyzing historical rental and sale market transactions from 2015 to 2021, this research presents some of the earliest evidence on the economic value of energy certification in the retail sector. Stationary premia for higher EPC-certified rental transactions are roughly 11 percent, on a price per square meter basis. Selling prices of energy-efficient transactions are more marginal and complex, especially in light of data constraints and changing model specifications. This linkage between sustainability and financial benefits creates a strong incentive for investors, and businesses, alike to adopt energy-efficient measures, since currently, substantial upfront, often on-balance, capital costs are incurred to carry out energy retrofits of existing building stock. This study also stands out as one of the first to conduct spatial analyses of EPCs for the retail sector, providing valuable insights for informed policy-making while considering geographic variation. The absence of a concrete plan within Dutch national regulations for enhancing energy efficiency in retail buildings and aligning them with overarching climate goals in the built environment underscores the importance of this study. In the new age of economic activities where transparency is the zeitgeist, this research provides empirical evidence to mobilize responsible investments into energy-efficient buildings and shift the paradigm from merely managing downside risks to benefiting stakeholders and improving capital efficiency.","Energy Efficiency; Energy Transition; Retail; Green Premium; Sustainable Real Estate Investment","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:aee552ff-e503-450e-9021-9c7e91a98e88","http://resolver.tudelft.nl/uuid:aee552ff-e503-450e-9021-9c7e91a98e88","Photon-sail periodic orbits around the Lagrange points of the Alpha-Centauri system","Haagh, Luc (TU Delft Aerospace Engineering; TU Delft Astrodynamics & Space Missions)","Heiligers, M.J. (mentor); Noomen, R. (mentor); Visser, P.N.A.M. (graduation committee); Speretta, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","The first mission proposals to visit the Alpha Centauri system use photon-sail acceleration as a mode of propulsion to reach this stellar system closest to our own Solar System. To prepare for a future mission, the photon-sail dynamics in the system is investigated. Planar Lyapunov orbits around the colinear classical Lagrange points are designed to explore the Alpha Centauri system. This has been done before in other systems like the Earth-moon and Sun-Earth systems, but not yet in an elliptical binary star system. Starting with an initial guess in the circular restricted three-body problem without photon-sail acceleration, a Multiple Shooting Differential Correction (MSDC) algorithm changes the trajectory to a periodic orbit. A continuation method increases the eccentricity to match e = 0.5208, which is the eccentricity of the inner binary system of Alpha Centauri. The lightness number of the photon sail is increased to add photon-sail acceleration to the model up to a defined maximum of 𝛽𝑚𝑎𝑥 = 2. A set of five constant steering laws is chosen to investigate its effect. Next to that, the moment at which the periodic orbit starts in terms of the true anomaly is varied as well. This results in a set of 40 families of periodic orbits with increasing lightness numbers. Depending on the orientation, the augmented Lyapunov orbit either shrinks into smaller orbits or expands into larger orbits when increasing the lightness number. If the orbit shrinks, it can either converge into an artificial equilibrium point or the photon-radiation pressure on the sail can become minimal. In that case, the Lyapunov orbit becomes (almost) independent on the lightness number and reaches 𝛽𝑚𝑎𝑥 = 2. If the orbit expands, the maximum velocity will eventually go to infinity. At this vertical asymptote, the maximum lightness number is found. The initial true anomaly of Alpha Centauri 𝜃0 has a great effect on the Lyapunov orbits around L2 and L3 in the classical ER3BP. For 𝜃0 = 0, the orbit either converges to an AEP or the maximum velocity goes to infinity. For 𝜃0 = 𝜋, a few orientations can reach 𝛽𝑚𝑎𝑥 = 2. To further explore Alpha Centauri, an adaptive differential evolution algorithm is used to design trajectories between the Lyapunov orbits. The performance of the algorithm is expressed as the Euclidean difference between the states at the end of the departure leg and the beginning of the arrival leg. Three different lightness number of 𝛽 = 0.1, 0.5 and 2 are used for these trajectories. With a lightness number of 0.1, the dimensionless Euclidean error is in the range of 1E-1 to 1E-3, depending on the Lyapunov orbits. With this lightness number, the stars are also used as a gravity assist. For larger lightness numbers, the Euclidean error becomes negligible in the range 1E-7. With a lightness number of 2, the time of flight during the trajectory is significantly lower. In future research, this can be further decreased using an MSDC algorithm.","Photon sailing; Alpha Centauri; Lyapunov Orbit; Multiple Shooting Differential Correction; Adaptive Differential Evolution","en","master thesis","","","","","","","","2025-08-16","","","","Aerospace Engineering","",""
"uuid:934ca6d4-01f6-4e5f-ad3d-b0e62c6c73c7","http://resolver.tudelft.nl/uuid:934ca6d4-01f6-4e5f-ad3d-b0e62c6c73c7","The comparison of different stress measurements in determining the stress profile of a near-surface low-stress hard-rock mine","Hertogs, Max (TU Delft Civil Engineering & Geosciences)","Barnhoorn, A. (mentor); Soleymani Shishvan, M. (graduation committee); Schmitz, Robrecht (graduation committee); Rinne, Mikael (graduation committee); Feldmann, Yannick (graduation committee); Delft University of Technology (degree granting institution)","2023","Measuring rock stress is a difficult process, especially in the Stjernøya Nepheline-Syenite mine in Northern Norway. The complex nature and topography of the shallow deposit makes it difficult to conduct industry standard tests. Therefore, this thesis aims at answering how different stress measurement techniques and their results compare to each other in a shallow low-stress hard-rock mine. To do this, an elaborate laboratory work is done to find a relation between stress (UCS) and acoustic properties and velocities of the nepheline syenite. Using that information, a similar combination of tests is done in the field, namely a flat jack test and acoustic velocity measurements with a hammer and geophones. To give further insight in the gathered field-data, visual classification methods, like RMR are done to verify and aid the tests and the results. The laboratory tests gave more insight in the relation between stress and acoustic velocity. There exists a positive, somewhat logarithmic relation between confining pressure and velocity through the sample, until the end of the elastic domain. Depending on the sample, this becomes more apparent after an initial loading phase between 0 and 10-20 MPa. In this low-stress zone, the wave arrival times could not be accurately observed and tend to give very low results. This problem translated to the field. Despite the flat-jack provided an understandable vertical pressure of 9.8 MPa, the acoustic measurements in the field did not always coincide with this pressure, according to the laboratory relationship, generally giving lower velocities than expected. However, a relation between rock quality and wave-velocity could also be present, as the cracks in the heterogeneous rock could heavily influence acoustic velocity. Therefore, this research concludes that both the flat jack and the acoustic investigative methods have their use in understanding the stress-profile of the shallow deposit. Given the low stresses in the mine, the acoustical investigative method could not be used directly to measure stress, but it can be used as a control for the flat jack tests and have use in monitoring of the rock wall.","","en","master thesis","","","","","","","","","","","","Applied Earth Sciences | European Mining Course","",""
"uuid:c5e7eff2-729d-4727-a1ac-0068916a87c4","http://resolver.tudelft.nl/uuid:c5e7eff2-729d-4727-a1ac-0068916a87c4","Stakeholder Perceptions in Offshore Wind Development and Multi-Use in Rhode Island (United States)","Herrera Ximenez, Lola (TU Delft Technology, Policy and Management)","Pearce, B.J. (mentor); Mogollón, José (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","Offshore wind farms (OWF) are located in countries with densely populated coasts with numerous marine environment users, creating difficulties in organizing marine space. Fishing activities are among the most affected by offshore wind development (OWD). This situation is leading to problems and conflicts between stakeholders. In addition, spatial limitations such as exclusion zones are expected to be placed on numerous ongoing activities with increasing claims of competing uses.
The development of OWF involves multiple actors who are commonly organized in networks rather than a hierarchy, so cooperation between stakeholders is needed to find a better location for OWF and to minimize conflict among actors. Multi-use activities in OWD are a challenge and an opportunity to coordinate and agree among different actors in the future of offshore wind (OW).
Multi-use (MU) policies have yet to be developed enough, and they are in their first stages on the East Coast of the USA. Therefore, this thesis will analyze the perspectives, primary interests, and interdependences of the key stakeholders involved in OWD and how multi-use can be an integral part of the early stages of the permitting process to develop OW.
The thesis explores the integration of MU activities in OWD in RI, USA, and the benefits it can bring. The report uses actor models and comparative cognitive mapping (CCM) as a model to analyze stakeholder perspectives and identify potential conflicts and synergies in OWD.
Several strategies are suggested for addressing conflicts in OWD, including promoting collaboration and guidance that aligns with the goals of state agencies and other stakeholders, streamlining policies that support processes such as interconnection, grid integration of OWD, and permitting and policies. Moreover, it is essential to continue with assessments and research, engage the public and other stakeholders, and educate the general public about the benefits and risks associated with offshore wind energy (OWE) and the potential activities of MU.
Overall, the thesis emphasizes the importance of stakeholder collaboration and an integrated approach to governance and power distribution in addressing conflicts and promoting sustainable OWD in Rhode Island and the United States. These actions enable the sustainability and responsibility of this new industry operating in federal waters, enhancing coastal economies, minimizing conflicts, and maintaining ocean ecosystem services.
The findings of this thesis can aid in decision-making for issues in OWD and provide suggestions for developing new policies that can be integrated into the implementation of Marine Spatial Planning (MSP) for MU sites, allowing various users to utilize marine space sustainably.","Actor models; cognitive mapping; offshore wind; stakeholders; marine governance; perceptions Marine Spatial Planning","en","master thesis","","","","","","","","","","","","Industrial Ecology","","41.742325, -71.742332"
"uuid:7a9de971-e5e0-46dc-98af-cf6967b23f15","http://resolver.tudelft.nl/uuid:7a9de971-e5e0-46dc-98af-cf6967b23f15","Reduction of Capacity Drop at Sag and Tunnel Bottlenecks through Connected Vehicles","Wu, Pansy (TU Delft Civil Engineering & Geosciences; TU Delft Transport and Planning)","Martínez, I. (mentor); van Arem, B. (graduation committee); Hegyi, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Traffic congestion is a challenge that frequently emerges due to changes in the roadways such as tunnels and sags, causing capacity reduction. The capacity drop phenomenon exacerbates traffic congestion, due to decreased queue discharge rates. Among the strategies employed for traffic management, Variable Speed Limit (VSL) control is a common approach to alleviate congestion and mitigate capacity drop. The control is expected to be more powerful when integrated with Connected Vehicles (CVs). However, the intricate interplay between the Market Penetration Rate (MPR) of CVs and the parameters governing VSL remains under-explored.
This study seeks to quantify the relationship between the MPR of CVs and the key VSL parameters, encompassing factors like the optimal acceleration length and speed limits. The VSL control is applied to CVs at the road section upstream of a tunnel bottleneck modelled by a continuum car-following model with bounded acceleration. The findings of this research suggest a minimum MPR of CVs essential for preventing capacity drop and reaching the maximal outflow. Intriguingly, this challenges the conventional notion that regulating solely the leading vehicle suffices to govern the behaviours of all following vehicles. The value of the minimum MPR threshold is affected by the acceleration behaviours vehicles exhibit.
Furthermore, this study underscores the importance of considering the MPR of CVs when devising the optimal speed limit and acceleration length for the VSL. It shows that while a higher speed limit can lead to higher throughput, the optimal acceleration length increases exponentially with the increasing speed limit, particularly in cases with low MPR of CVs. While adopting a relatively lower speed limit, the acceleration length can be reduced to 0m for all levels of MPR of CVs. In summary, it suggests that when implementing VSL in practice, a balance between the resulted throughput, the required acceleration length and the robustness of VSL across different levels of MPR of CVs should be considered.","Capacity drop; Variable speed limit; Connected vehicles; Sag and tunnel bottlenecks; Market penetration rate","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:a6ae4b62-fdfa-4423-93c6-937b48cdf9be","http://resolver.tudelft.nl/uuid:a6ae4b62-fdfa-4423-93c6-937b48cdf9be","Design Guidelines for Integrating AI into Mental Healthcare: A Case Study on Posttraumatic Stress Disorder Prediction","Steurbaut, Thomas (TU Delft Technology, Policy and Management)","Metoui, N. (mentor); Figueroa, C.A. (graduation committee); Staňková, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Global mental healthcare confronts daunting challenges, notably posttraumatic stress disorder (PTSD), necessitating immediate attention and innovative solutions. Many individuals requiring mental health support face various barriers, including social stigma, low perceived need, and restricted access to care providers — especially prevalent in certain regions — which impedes their quest for professional assistance. Amidst these obstacles, artificial intelligence (AI) emerges as a promising instrument, ushering in its unique challenges and opportunities. This thesis delves into these intricacies, aiming to develop comprehensive and pioneering design guidelines for AI applications within mental healthcare. The research focuses on three pivotal areas: identifying the distinct challenges and opportunities of implementing AI in mental healthcare; adapting existing AI design principles to fit the mental health landscape; and understanding the crucial role of multidisciplinary collaboration and user-centered design in this context. The primary objective is to devise guidelines that address inherent difficulties in mental healthcare, such as stigma and complexity of disorders, while leveraging potential benefits like early support intervention and expanded access to mental healthcare services. The suggested design guidelines embrace a systematic approach, encapsulating problem definition, stakeholder engagement, data acquisition, ethical and legal considerations, model design, system deployment, usage, maintenance, and iterative improvements based on feedback. Grounding these guidelines in a practical context, the thesis introduces AnchorAid, a tool that is designed theoretically and remains hypothetical. This virtual assistant provides post-trauma recovery support by gathering data, generating personalized feedback, recommending symptom management strategies, and assisting clinicians in the patient management processes. Through design guidelines establishment and their implementation via AnchorAid, this thesis lays a solid foundation for AI integration into mental healthcare.","","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:7fbb554c-1aa3-4d16-8f13-a16c988f5ff9","http://resolver.tudelft.nl/uuid:7fbb554c-1aa3-4d16-8f13-a16c988f5ff9","User-centric signal processing of high-resolution meteorological phased array radar","Lu, Wenyi (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yarovoy, Alexander (mentor); Krasnov, O.A. (mentor); Schleiss, M.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis project is centered around the retrieval of meteorological parameters using a fast-scanning phased array radar, specifically targeting precipitation-like objects such as raindrops. The main objective is to transform radar data into variables that accurately characterize precipitation. This endeavor involves addressing various challenges, including extracting meteorological object-related variables, mapping targets from noisy radar measurements affected by phase noise, and resolving the issue of Doppler aliasing.
In this report, these challenges are tackled by introducing a range of techniques and algorithms designed to enhance radar data analysis and validate the proposed methods. The most commonly derived radar parameters for meteorological targets, known as Doppler moments, are extensively discussed, including reflectivity, mean Doppler velocity and Doppler spectrum width. An exploration of the circular calculation of Doppler spectra moments is conducted, providing valuable insights into the velocity distribution of radar targets. By analyzing higher-order moments, the characteristics and dynamics of the targets can be better understood, leading to improved target identification and tracking. Additionally, a novel circular variance-based target mapping technique is proposed to map targets from noisy radar measurements effectively. This approach proves particularly well-suited for scenarios where traditional reflectivity-based methods fall short. Apart from the circular variance-based technique, this thesis explores reflectivity-based target mapping approaches that offer enhanced methods for identifying and classifying different target parts. Two pipelines are proposed: the morphology-based pipeline and the entropy-based pipeline. Through simulation and real-world data analysis, the pros and cons of each pipeline are carefully evaluated. The second pipeline demonstrates significant benefits in classification, allowing for a more detailed and accurate representation of radar returns. It effectively distinguishes point targets, extended targets of interest, global noise, and phase noise, enabling a more comprehensive analysis of radar data and enhancing the interpretation of detected targets.
Furthermore, the critical issue of Doppler dealiasing is thoroughly investigated, addressing the problem of velocity ambiguity caused by the Nyquist limit. Three approaches are compared: gradient-based, existing advanced technique UNRAVEL, and optimizer-based. Based on the evaluation of the simulation model, the ""ParticleSwarm"" optimization approach is selected as the most effective in enhancing velocity measurements in severe weather conditions.
Throughout this report, experimental results are presented, findings are discussed, and recommendations and suggestions for future research are provided. The proposed techniques and algorithms have undergone rigorous evaluation and validation using both simulated data and real-world radar measurements. Overall, this research contributes
valuable insights into radar data processing for meteorological applications, offering improved accuracy and reliability for various weather-related analyses and forecasting tasks.","","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:99884f56-3956-4f0d-9e95-95168f6716ba","http://resolver.tudelft.nl/uuid:99884f56-3956-4f0d-9e95-95168f6716ba","Designing for explanation-driven trust in Chatbots","Zhang, Zhengquan (TU Delft Industrial Design Engineering)","Schneegass, C. (mentor); Tsiakas, K. (mentor); Delft University of Technology (degree granting institution)","2023","Trust plays an important role in the implementation of chatbot technology. This study was also focusing on user trust in chatbots, particularly focusing on the role of response delay and explanation-driven driven subjective transparency. This research includes a pretest and a main test. In the pretest, we selected one explanation that was perceived by the participants that can raise the most social presence feeling as well as the subjective transparency of the chatbot. In the main test, a 2 × 2 between-subject experiment was designed and conducted to test the hypotheses. First, the findings revealed that while response delay did not significantly influence trust or social presence, clear explanations, especially in the context of instant delays, positively impacted subjective transparency and trust. Second, the study reinforced the positive correlation between social presence and trust, subjective transparency, and trust. From a practical perspective, the research offers insights for chatbot design, emphasizing the importance of improving subjective transparency and rendering a more natural and human-like interaction.","Artificial intelligence (AI); Generative AI; Response delay; Social presence; Subjective transparency; Chatbot trustworthiness; User Trust","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:39c2dc1e-19bd-4ee9-8ef5-098148b7a8bc","http://resolver.tudelft.nl/uuid:39c2dc1e-19bd-4ee9-8ef5-098148b7a8bc","Integrating railway network development with hierarchically lower modalities of public transport: A case study on the Amsterdam – Lelystad corridor","Mihalevschi, Anton (TU Delft Civil Engineering & Geosciences)","Soza Parra, J.A. (mentor); van Oort, N. (graduation committee); Veeneman, Wijnand (graduation committee); Oldenziel, W. (graduation committee); Delft University of Technology (degree granting institution)","2023","Urbanization, a desire for a reduction of CO2 and a need for a more efficient use of space are likely to greatly increase demand for rail travel in the coming decades. To improve railway capacity, extra infrastructure can be built, or existing track can be optimized. A silo analogy provides an interesting idea for an integral approach to network development that combines the demand of heavy-rail and bus, tram, and metro: either obtain more demand for the heavy-rail system and thus validate expensive infrastructural investments or reduce demand. Both options require a broadening of the analysis so that it includes bus, tram, and metro networks. To explore the practical implications of this analogy, the research question is set up as follows:
“Does integrated public transport network development in the form of a simultaneous consideration for infrastructural investment in both heavy-rail and hierarchically lower public transport modes offer a better solution for fulfilling transportation needs, compared to a segregated approach?”
To answer the research question, literature review has been used to gain insights in public transport integration from a technical and a governance perspective. Literature has also been used to form integration strategies that could be applied in network development. The formed strategies consist of using network hierarchy as a guideline for either applying bus, tram, and metro to take over lower hierarchy services from the heavy-rail and vice versa. A case study on the corridor Amsterdam – Lelystad in The Netherlands was used to apply the integration strategies on and to analyze the effects of the development following the different strategies in a real-life situation.
The results show that the variant following the strategy of assigning lower hierarchy services to heavy-rail appears best in terms of generalized travel time, synthetic demand, and investment costs. It scores well on fairness of the offer, but lowest on ambition fulfillment and operational costs. It turned out that each variant needed investment in more heavy-rail infrastructure to allow for completing of the heavy-rail ambitions. The variant with more tasks assigned to bus, tram, and metro scored the worst, except for ambition fulfillment. Furthermore, the multicriteria analysis, although useful for providing insights in the qualities of the different variants, does not give a definitive answer on what the best variant would be. Using external input to assign weights to the multicriteria analyses would have been a good improvement in getting to that answer. Instead, a stakeholder reflection is done to give insight in possible stances regarding the criteria from the stakeholder’s perspective.
The research suggests that it is worth considering ambitions and problems outside of just the bus, tram, and metro or just the heavy-rail system. The process of brainstorming based on expertise, using qualitative multicriteria analyses for making decisions with supporting arguments in the design phase, planning a plausible timetable and analyzing and comparing several variants forms a good skeleton for investigating the possibilities of public transport network development in places where heavy-rail capacity becomes problematic.
After considering several design directions, it was determined to create a mechanism capable of mechanically pulling the needle tube out of the hub. A device like this will render needles unusable while simultaneously opening up possibilities for the recycling of the materials of needle waste. Additionally a device that mechanically separates the materials of needles does not currently exist.
Tensile tests were performed with various needle sizes and temperatures to better investigate this. There were no statistically significant differences between temperatures, although the results from 16 G needles differed significantly from the results from 20 G and 23 G needles. It was discovered that the device's minimum pulling force should be 500 N; this, along with information taken from several set standards and previous projects on needle devices, was then included into a set of requirements for the device.
After exploring several clamping, pulling, and combined mechanisms, a roller mechanism was chosen for the device. A functional prototype capable of extracting a needle tube from its hub was created. This prototype was then tested, and various experiments were carried out to try to enhance the design because needle tubes were still slipping in between the rollers on occasion, and some needle tubes broke off.
Adding other structures or a different material like rubber on the rollers did not result in better performance, and a knurling pattern worked best for creating grip on the needle tube. Adding a ridge did not improve the performance, however removing some material from both sides of the gap in Roller A did enhance performance temporarily. Making the rollers out of stainless steel 431 rather than 316 did not increase their performance; however, stainless steel 431 with a heat treatment did improve the performance and showed consistent test results. This final prototype, including the rollers made out of stainless steel 431 with a heat treatment was then used to do final verification and validation.
20 G needles could be pulled with the device consistently at a needle length of 33.8 mm, both wet and dry. 27 G needles however kept breaking off, both wet and dry. A design choice should be made here about if the device should be aimed at a smaller range of needles or a redesign should be made where different spring forces could be applied. The device showed not springback and no parts became trapped in the mechanism.
It was impossible to insert needles of various diameters at greater angles from the vertical of the aperture and 16 G needles did not fit into the device at all. A redesign is needed for this.
The average activation force of the device was 14.72 N and the distance from the hand holding the needle to the hand operating the device did not exceed 50 mm.
The cycle time per needle was still too long for the device. Further research is required to improve these parameters. The prototype did meet the weight and size requirements. The device did not have a sharps box attached to it, this should be added in a redesign.
If the rollers of the device are to be constructed of stainless steel 431, they should be made in India, since stainless steel 431 was not available in Nepal. The case, cubes, pushing plate, socket, spring axis, and lever may all be made in Nepal. Standard components, such as springs and bearings, may be obtained in India. However still choices and more research is needed in the area of where exactly the product should be produced and assembled.
More research is needed to determine how the metal components of needles may be recycled and how a device like this would work with different types of needles. Also researching if applying force to both rollers and a redesign either with a different spring of multiple spring forces should still be done.
More testing is needed to determine the pulling force and how many cycles the device can sustain. As well as a drop test, a tipping test on an angular surface and users tests.
Lastly research into how to incorporate a redesign where the syringe is also rendered unusable is needed, since this study only focused on making the needle part unusable by separating the materials.","Medical waste; Sharps; Sustainability; Nepal","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:5e4f8ca8-a5fa-499d-877c-0d534de2e590","http://resolver.tudelft.nl/uuid:5e4f8ca8-a5fa-499d-877c-0d534de2e590","The generalizability of argument quality dimensions in NLP models","Nguyen, Jakub (TU Delft Electrical Engineering, Mathematics and Computer Science)","Jonker, C.M. (mentor); Murukannaiah, P.K. (mentor); van der Meer, Michiel (mentor); Delft University of Technology (degree granting institution)","2023","This research revolves around measuring the quality of arguments. High-quality arguments help in improving political discussions, resulting in better decision-making. Wachsmuth et al. developed a taxonomy breaking down argument quality into several dimensions. This work makes use of that taxonomy and combines it with modern NLP models. A cross-dataset examination of argument quality models was conducted. In particular, models were investigated on their generalizability between dimensions. Overall results show that there is no large difference in accuracy and agreement when models predict data of a quality dimension they were trained on, over dimensions they were not trained on. One can conclude that generalizations of argument quality dimensions with language models were not found. Nevertheless, qualitative analysis highlights findings that indicate some generalization to other dimensions.","Argument mining; Natural language processing; Artifical Intelligence","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:b6223530-7968-4a0f-82e6-9c01cc95d85a","http://resolver.tudelft.nl/uuid:b6223530-7968-4a0f-82e6-9c01cc95d85a","The handover moment: Designing a framework that allows the aggregation of insights to allow a translation into an interaction that increases the likelihood of implementation","Notten, Diederik (TU Delft Industrial Design Engineering)","van der Bijl-Brouwer, M. (mentor); Brouwer, W. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Dutch Immigration and Naturalisation Service (IND) has been finding it increasingly difficult to carry out its task in recent years. Increasingly, they have been in the news negatively with reports such as poor conditions in Ter Apel, hopeless waiting times for applicants and having to pay penalty payments. Besides the media attention, it is also a point of discussion in politics at national and European level. The complex policy that will follow from this makes for a situation of tension for the IND. In order to respond appropriately to both politics and applicants, it is important for the IND to be agile and responsive as an organisation. To achieve this, the IND wants to become more innovative, which partly means retrieving ideas from the organisation and then experimenting with them with the aim of improving processes, also known as bottom-up innovation. Currently, one team within the IND, the Einsteinbrigade, is responsible for facilitating this bottom-up innovation. Although this team is very effective in identifying which innovations are of value to the organisation, they do not always manage to convey this value to those responsible for implementation.
This thesis project explores what exactly underlies this phenomenon, and how design can address it. Through qualitative research methods, the following research question is dissected and explored:
Why are some of the Einsteinbrigades completed experiments not followed up with an implementation project?
The insights obtained revealed the root cause of this problem, but more importantly which bottlenecks lie below. These bottlenecks were translated into design goals from which a direction was chosen for the continuation of the project. It reads as follows:
With my to be designed intervention, I want to achieve that Clients within the IND respond to the needs of the Business by making the Einsteinbrigade capable of effectively conveying the value of an experiment to the Client.
Within this design goal, 6 principles were identified that have been proven to help implementation; (1)The expected benefit; (2)The compatibility; (3)Sensing surprise; (4)Perceiving multiples, (5)Embodying alternatives, and (6)Verbal Mastery. From testing these principles, strengths emerged that were incorporated into the final design.
The design took the form of a framework in which the insights gained from the experiment can be compiled from which an interaction can be designed appropriate to the Client and the experiment. The interaction creates a unique experience for the Client, but above all makes it tangible and recognisable what impact the innovation is having.
During the evaluation, it emerged that the interactions provide a unique moment within IND’s current meeting culture, and strongly contribute to conveying the value of the results. In addition, In addition, placing the insights in the framework allows new insights and connections to emerge, contributing to the narrative, and ultimately interaction. In addition, designing the interaction stimulates creativity, which was recognised as a necessary replacement instead of the current way of presenting results.
In conclusion, it must be acknowledged that this design is not conclusive for the Einsteinbrigade problem. It is a first step in the right direction of making the IND a mature organisation where bottom-up innovation is central to improving processes. Ultimately, it is recommended that to execute successful bottom-up innovation, there must be an organisation-wide leadership with corresponding clear agreements.","implementation; Valley of death; Public organisations; bottom-up innovation","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:6db38af2-9cb0-4ef8-9564-b7758d078501","http://resolver.tudelft.nl/uuid:6db38af2-9cb0-4ef8-9564-b7758d078501","Life Cycle Assessment of microfluidic devices for point-of-care testing: A comparative analysis of PDMS, paper and PLA","Tjokro, Kristie (TU Delft Technology, Policy and Management)","Cucurachi, Stefano (mentor); Rwei, A.Y. (mentor); Lian, Justin (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","In microfluidics, typical laboratory processes can be condensed to a miniature device. This reduces analysis time and required volumes of samples and reagents, increases mobility and flexibility, and is cost effective. In this work, the environmental impacts of three microfluidic devices for glucose detection are assessed using a comparative life cycle assessment (LCA) from cradle-to-grave. The three devices are a polydimethylsiloxane (PDMS) device manufactured through soft lithography, a paper device manufactured through wax stamping, and a polylactic acid (PLA) device manufactured through 3D printing. The environmental impacts are determined for two manufacturing scenarios: on laboratory-scale and commercial-scale. The functional unit is 1 act of glucose detection performed on a human sample using 1 microfluidic glucose detection device.
Assuming laboratory-scale manufacturing, the paper device has the lowest environmental impacts, whereas the PLA device has the highest impact. The main contributing processes are those pertaining to the devices' manufacture. For the PDMS device, these are the processes for soft lithography, for the paper device it is the paraffin use, while for the PLA device it is the 3D printing. Assuming commercial-scale manufacturing, the PLA device has the lowest environmental impact, whereas the PDMS device has the highest impact. This scenario was modelled by improving efficiencies in the manufacturing of the PDMS and paper device, and substituting 3D printing for injection moulding for the PLA device.
To reduce the devices' environmental impacts, a general recommendation is to transition to an electricity mix based on renewables rather than fossil fuels. For the PDMS device, a recommendation is to redesign the device such that the user can apply reagents before use, thereby avoiding emissions by cutting out a need for refrigeration, which is present in the current design. For the paper device a recommendation is to minimise the waste of paraffin, as it is the main contributor to the device's environmental impacts. Lastly, for the paper and PLA device it is recommended to consider alternate manufacturing methods when upscaling production. Their current manufacturing methods are ideal for prototyping, but are inefficient on a commercial scale.
Some limitations are that several data points were estimated, cut off, or secondary. Data gaps were bridged through the use of proxies and stoichiometry for chemicals, which affected the accuracy of the model. Furthermore, recycling and chance of failure during manufacture are not accounted for. Lastly, as microfluidic devices are designed in many different ways, the results cannot be translated one-to-one to other devices. They can only provide a general idea of what the impacts for other devices might be.
A continuation of this work could simulate the synthesis of chemicals using process design software, for increased accuracy. Another opportunity for further study is to collect and implement primary data and assess how that affects the results. Future research could investigate fields of microfluidics other than diagnostics. Generally, more research is necessary to model a proper ex-ante LCA with various scenarios. With enough research, microfluidics might fully reach its potential, while being environmentally responsible.","microfluidics; life cycle assessment; LCA; PDMS; polydimethylsiloxane; PLA; Polylactic Acid; Glucose monitoring; ex-ante LCA; Sustainability","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:886225cd-5f12-4979-b7fb-e22c05d9322f","http://resolver.tudelft.nl/uuid:886225cd-5f12-4979-b7fb-e22c05d9322f","Examining Citizen Preferences for Participation in the Energy Transition: Aligning Central and Decentral Participation Processes in The Netherlands","Corsten, Dorris (TU Delft Technology, Policy and Management)","Mouter, N. (mentor); Hoppe, T. (graduation committee); Goes, K. (graduation committee); Itten, Anatol (mentor); Pedd, Jim (graduation committee); Delft University of Technology (degree granting institution)","2023","To mitigate climate change by transforming the energy system citizen involvement is crucial. Citizen involvement can help achieve these goals as well as accelerate the transition, by harnessing local knowledge for the improvement of plans, creating a support base and the opportunity for citizens to come up with their own initiatives. Therefore this research is evaluating the preference of citizen segments for different participation methods in the energy transition, for different governance levels. A Latent Class Cluster Analysis (LCCA) is applied to data received from a survey, resulting in a classification of respondents based on attitudes towards participation, which can be identified by some characteristics resulting from demographic values and attitudes towards climate change. Additional interviews with policy-makers and citizen representatives identified challenges and opportunities for the integration of citizens' attitudes towards participation and participation processes in The Netherlands. Primary conclusions are that there are no fundamental differences in the participation methods applied to different governance levels, just as the preferences themselves do not vary significantly. Moreover, the majority of citizens appreciate being involved with participation. Therefore, the effort for participation can result in automatic alignment between the preferences and central and decentral participation. One of the main barriers identified is the disparity between the system world, where policies are formulated and the energy transition is planned, and the living world, which represents how citizens experience and perceive these changes. Opportunities for closing this gap are sharing results of participation processes with different governance levels, improving information provided to citizens and improving connection with the community by becoming more visible and organizing more participation.","Citizen participation; Multi-level governance; Latent Class Cluster Analysis","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:6cf332e0-592b-4472-9ec0-c544c9abeb70","http://resolver.tudelft.nl/uuid:6cf332e0-592b-4472-9ec0-c544c9abeb70","Shunt Hunt in Thin Film Silicon Solar Cells: Investigation, Mitigation and Optimization","Dhavle, Shloka Atul (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smets, A.H.M. (mentor); Perez Rodriguez, P. (mentor); Delft University of Technology (degree granting institution)","2023","Thin film silicon solar cells are a type of photovoltaic technology with the advantage of having thin layers of silicon to generate electricity. Unlike traditional crystalline silicon solar cells, which use thick silicon wafers, thin-film silicon solar cells use amorphous silicon that can be made with much thinner layers of silicon. This allows them to be more lightweight, flexible, and potentially less expensive to manufacture. However, their efficiency has traditionally been lower than crystalline silicon solar cells, which are more
commonly used in large-scale installations. This is primarily due to the material property of amorphous silicon, which is laden with defects and voids. Nonetheless, ongoing research and development aim to improve the efficiency and commercial viability of thin-film silicon solar cells.
HyET Solar B.V. is a company based in the Netherlands which employs a Roll to Roll (R2R) technology to produce such flexible solar cells. A temporary aluminum foil is used as the substrate on which the solar cell stack is deposited. The temporary foil is etched away, and the layers are encapsulated in low-cost polymer foils. This thesis is part of the ongoing FlamingoPV (Flexible Lightweight Advanced Materials In Next Generation of PV) project in collaboration between HyET Solar and TU Delft, to develop single, tandem, and triple junction cells with 12, 13, and 14% efficiencies, and a lifetime longer than 35 years. Part of the ongoing research to improve the performance of thin-film silicon solar cells is to understand the difference between the thin films deposited on a rigid glass substrate and flexible aluminum substrate and to investigate why the performance is lower on the aluminum substrate. In
particular, special emphasis is given to the origin of shunts in thin-film silicon solar cells, and conducting a top-down root cause analysis to investigate the origin of these shunts. Mitigation strategies are suggested to improve the solar cells fabricated on foil. Apart from this, the solar cell layers are optimized for improved electrical and optical performance on the glass substrate, to ultimately implement it on the aluminum substrate.
The key takeaways from this research are that aluminum foil was found to be a major culprit for the origin of the shunts. The foil consists of alloying elements of iron and copper, which get exposed to the surface when the foil is cleaned. When the subsequent layers are grown on the foil, the alloying elements were observed to short-circuit the device, thus causing leakage currents. Another major culprit was the formation and accumulation of silicon dust on the samples during the PECVD deposition, which was more prevalent on devices fabricated on aluminum foil. The key takeaways from the optimization experiments are that by the band-gap profiling of i-layer at a lower thickness (230nm) than the standard (300nm), we could maintain the initial electrical and optical properties of the devices. This gives us room to reduce material usage and costs at the same output performance. A permanent degradation was observed in the metal contacts of these devices, apart from the temporary light-induced degradation commonly seen in a-Si:H based solar cells. The tests conducted to improve the electrical performance did give the desired results, with an increase in the efficiency of the devices. The tests conducted to improve the optical performance did not give the desired results, with a decrease in the electrical performance and no significant increase in the optical response of the devices.","Thin film silicon; Shunt resistance; Flexible aluminum substrate","en","master thesis","","","","","","","","2025-08-14","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:4ba1cd76-be76-4895-9d43-4d8b7840a949","http://resolver.tudelft.nl/uuid:4ba1cd76-be76-4895-9d43-4d8b7840a949","Enhancing Business Data Sharing in the Supply Chain Domain: A Framework of Infrastructural and Institutional Instruments","Abbineni, Rishika (TU Delft Technology, Policy and Management)","Zuiderwijk, AMG (mentor); Veeneman, Wijnand (graduation committee); Delft University of Technology (degree granting institution)","2023","Effective data sharing plays a pivotal role in optimizing supply chain management and driving the operational excellence of businesses. However, certain barriers and challenges exist among the supply chain partners in communication and data exchange. This thesis investigates these barriers and proposes potential solutions, referred to as instruments, for data sharing in supply chains. The objective is to develop and validate a framework that addresses feasible barriers in high-tech supply chain organizations. Single case study interviews in a high-tech supply chain firm assess the framework's applicability, followed by a validation phase to test its generalizability. Infrastructural instruments include ICT, Blockchain, AI, ML, data standardization, and data security measures. Institutional instruments encompass cultural factors, trust-building techniques, contractual agreements, education and training programs, leadership practices, and ethical data sharing. The research contribution extends to a strategic deployment plan for these instruments, offering valuable insights to supply chain professionals. Additionally, the research emphasizes the significance of viewing risk as a comprehensive concept in relation to trust, technology, privacy, and governance-related barriers.
Results of structure properties produced with ab initio molecular dynamics (AIMD, at quantum scale) simulations are compared with machine learning molecular dynamics (MLMD, at multi scale) simulations. There are no significant differences in the calculated shortest typical atomic distances and coordination numbers for both KOH (aq) and pure water systems. The determined transport properties are in the same order of magnitude as experimental results, although the calculated viscosity is overestimated and the self-diffusion of H2O and K+ are underestimated. This is because the system is simulated at a higher than experimental density and hydrogen bonding is overestimated with the selected quantum mechanics model. The proton transfer reactions are captured in the MLMD simulations, calculating the enhanced self-diffusion of OH- to be (6±2)e-9 m squared per second, which matches experimental results at infinite dilution.","molecular simulations; molecular dynamics; machine learning; electrolyte; first principles","en","master thesis","","","","","","https://github.com/JelleLagerweij/Quantum_to_Transport GitHub repository with post-processing code and input files.","","","","","","Mechanical Engineering | Energy, Flow and Process Technology","","51.99942839310585, 4.371015923634443"
"uuid:ef40d17d-e12d-4de6-bbae-97f6b0ac0fa3","http://resolver.tudelft.nl/uuid:ef40d17d-e12d-4de6-bbae-97f6b0ac0fa3","A Wideband Low-power Gyrator-enhanced Electrical Balance Duplexer For IR-UWB Transceiver","Deng, Heqi (TU Delft Electrical Engineering, Mathematics and Computer Science; IMEC)","Babaie, M. (mentor); Song, Minyoung (graduation committee); Bhat, Anoop (graduation committee); Delft University of Technology (degree granting institution)","2023","","Full-duplex; IR-UWB; EBD; Gyrator; non-reciprocity","en","master thesis","","","","","","","","2025-08-31","","","","Electrical Engineering | Wireless Communication and Sensing","",""
"uuid:05d88644-0643-4f4f-afbe-4ff063109939","http://resolver.tudelft.nl/uuid:05d88644-0643-4f4f-afbe-4ff063109939","CMO Opportunity-Identifying Framework for Complex Sustainable Construction Projects","Paraschiv, Maria (TU Delft Civil Engineering & Geosciences)","Bosch-Rekveldt, M.G.C. (graduation committee); Molaei, M. (mentor); Reijtenbagh, Q.A.M. (graduation committee); Erdl, Anne (mentor); Delft University of Technology (degree granting institution)","2023","As the effects of climate change have been negatively impacting the environment, several industries have started to work towards minimizing them. The construction industry is responsible for 40% of the global carbon emissions and many companies are currently working on net-zero and other sustainability goals. As several sustainability practices are implemented in sustainable construction projects, complexity, as well as opportunities, can arise in the front-end phase of projects. The positive impact that complexity can have on projects, as well as the link between complexity and opportunity are overlooked in the literature. The main objective of the study is to fill these two knowledge gaps by developing a framework which illustrates how complexity can generate opportunities. This is achieved by using three main qualitative research methods: literature review, case study analysis, and expert evaluation, in the context of sustainable construction fit-out projects in the private construction sector, with the focus on the front-end phase of projects. The current study shows that complexity in sustainable construction projects is different from general construction projects, due to factors such as the project sustainability scope and goals, sustainability strategies that are implemented, and required team and knowledge. By comparing four projects in the Netherlands, Ireland, and the UK, it is found that the most common elements of complexity in sustainable construction projects are fixed project schedule, project team’s lack of expertise in implementing sustainability, difficulties in implementing sustainable project management, and stakeholders’ lack of understanding of sustainability. The link between complexity and opportunity is illustrated through the connection between complexity and risk, while the way to generate opportunities from complexities is suggested by using management strategies to deal with complexity. The main management strategies found in the study are analysed in terms of the control and interaction allowed in each of them. Some of the strategies are the clear understanding of sustainability requirements, engaging the relevant stakeholders early upfront, and analysing and suggesting different scenarios and methods. Some of the most common opportunities in sustainable construction projects are related to sustainability management, work processes, lifestyle, training and education, costs, and quality. The CMO opportunity-identifying framework is developed, which shows that project members can generate opportunities from complexities in sustainable construction projects by using combinations of management strategies based on control and interaction. A few recommendations for project members in the industry are to be aware of complexity and to actively look for opportunities. Clients are advised to clearly translate sustainability into project requirements and to allow flexibility in the project schedule and budget. Further research can be conducted to test the CMO framework and analyse complexity, opportunities, and management strategies in different types of projects, countries, and by considering other stakeholders.","Complexity; Opportunities; Sustainable construction; Sustainable construction projects; Management strategies; Framework","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:27727947-2bcf-4eb9-9df6-4cff01d65c87","http://resolver.tudelft.nl/uuid:27727947-2bcf-4eb9-9df6-4cff01d65c87","The impact of the activities of non-profit data intermediaries (NPDIs): A qualitative study on the impact of NPDIs in reducing barriers to using Open Government Data (OGD) in Europe","Alorini, Rayyan (TU Delft Technology, Policy and Management)","Zuiderwijk, AMG (mentor); de Bruijne, M.L.C. (graduation committee); Pilshchikova, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","The use and value of Open Government Data (OGD) are gaining increasing attention from governments, corporations, and individuals. Governments have developed portals and started initiatives to share, promote and facilitate OGD interactions. The key objectives of the release of OGD by public entities are public responsibility, economic expansion, and operational and technical values. However, the potential of OGD is limited by the ability to reuse public data. Although OGD is becoming more available, the value realisation of OGD is hindered by its limited use. Within the OGD ecosystem, data intermediaries, especially Non-Profit Organizations (NPOs), have been playing a role within the OGD ecosystem, perhaps making OGD more usable for users. We assume that the barriers that are most likely to hinder the potential of OGD are more linked to the barriers to using OGD other than structural or provisioning barriers. Furthermore, the barriers to using OGD might be more influenced by the activities provided by the non-profit data intermediaries (NPDIs). Given the anticipated transformation potential of OGD and the current barriers to reusing OGD, it is necessary to investigate how the existence and activities of the NPDIs affected the barriers to using OGD. This study explores the impact of the NPDIs’ activities, particularly their impact on barriers to OGD use. We introduced the following research question:
How do European non-profit data intermediaries reduce the barriers to using OGD?
Adopting an explorative case study approach, we first identified the barriers to using OGD through the use of a literate review method. We introduced three categories of barriers in which these barriers originated. These categories are OGD portals, OGD datasets and OGD users’ abilities. Furthermore, we conducted a narrative literature review to analyse and identify the roles and activities of the open data intermediates. We identified seven roles that summarise the set of functions of responsibility that open data intermediaries assume and fourteen activities by specifying certain actions or tasks that open data intermediaries fulfil. Based on the literature review, we could not link the activities to the barriers. However, we conceptualised the barriers to using OGD and the activities of the open data intermediaries separately.
Following this, we conducted desk research by analysing NPDIs’ websites, complemented by interviews with the selected NPDIs to analyse their activities and roles in the OGD ecosystem toward reducing the barriers to using OGD. Our findings of the activities of the NPDIs showed that NPDIs have a different scope and objectives, often a social goal, compared to open data intermediaries who might be, for instance, interested in profit. However, the roles and activities of NPDIs, are similar to open data intermediaries in terms of their operations. NPDIs do not necessarily focus on specific roles or activities but rather provide a wide range of services and conduct various activities. This may be driven by the overarching social goal where they try to offer a complete solution that does not lack in some areas. However, some of the activities we identified might be specific to NPDIs, such as promoting the use of OGD, allowing OGD users to disseminate their OGD-driven insights and improving and facilitating the process of using OGD.
We conducted nine semi-structured interviews with individuals from NPDIs and their users to learn how they perceive NPDIs are reducing the barriers to using OGD. Through coding the interview transcripts, we applied a thematic analysis approach to the data obtained from the interview. We have identified six themes that represent how NPDIs reduce the barriers to using OGD. These themes are; building OGD capacity and expertise, improving OGD accessibility quality and usability, empowering OGD users, OGD process optimisation, promoting and advocating OGD-related activities and policies, and facilitating and improving stakeholders’ collaboration and engagement. The findings showed that NPDIs mainly emphasised improving OGD capacity, accessibility, availability, and findability. Then, we conducted a focus group session to triangulate our case study data. Three participants participated in our session, two represented the NPDIs perspective, and one represented the user perspective. We concluded that NPDIs’ activities reduce the barriers to using OGD, such as OGD users’ ability, OGD accessibility, and quality of OGD datasets and portals. However, quantifying their impact or linking their activities to some of the barriers they reduce is challenging due to the multiple impacts of the NPDIs’ activities.
Our study attempted to address the gap in the literature regarding the NPDIs’ impact in reducing the barriers to using OGD. Also, our study provided insight into how NPDIs reduce the barriers to using OGD; we identified their characteristics and strategies, which contribute to setting the groundwork for future research exploring the link between NPDIs activities and barriers to using OGD. Our results underline the value of NPDIs to the OGD ecosystem. Policymakers or key NPDIs persons can leverage the results of this study to capitalise on the identified opportunities, such as trying to make NPDIs efforts more proactive in anticipating the barriers of OGD to contribute to better use of OGD ultimately.
The study begins with an analysis of actors involved in the energy transition, utilizing methods like social network analysis (SNA), PESTLE analysis, and scenario analysis. The focus is on the Dutch and German electricity transmission system operator, specifically its department Grid Field Operations - Offshore (GFO-O), to understand how E-MLP can enhance comprehension of the complex and dynamic nature of the North Sea offshore energy system.
Through a mixed method approach, combining MLP, actor analysis, SNA, PESTLE analysis, and Socio-Technical Scenarios, both qualitative and quantitative data were collected and applied to gain a holistic understanding of the system. The research reveals that the North Sea offshore energy system is heavily influenced by onshore developments, supply chain considerations, grid congestion, and demand flexibility. The use of artificial intelligence enables niche innovations, while the role of small nuclear reactors is limited. Offshore focuses on energy hubs, standardization, and wind park expansion, but moving further offshore increases vulnerability. The future role of hydrogen remains uncertain, and cybersecurity and multi-use of space are crucial factors.
The study offers recommendations for GFO-O to strengthen its position, attract digital talent, invest in AI capabilities, explore demand flexibility, and investigate green hydrogen production. Policymakers should prioritize multi-use of space and engage with the military to address security threats. Incentivizing demand flexibility at the consumer level can support renewable energy production and ease the offshore system's burden.
This research contributes to the field by applying the E-MLP framework to the North Sea offshore energy system, examining actor interactions, informal institutions, and providing valuable insights for scenario analysis. However, the study acknowledges potential limitations in data availability, interview perspectives, and social network analysis. Future research can delve into specific factors such as the offshore supply chain, explore heterogeneous analysis of the oil and gas sector, and apply E-MLP to other energy transition regimes, extending the study's impact and refining the framework's application.
In conclusion, this master thesis enhances the understanding of the North Sea offshore energy system and provides policy-relevant insights for inclusive policymaking, supporting Europe's energy transition efforts to combat global warming.","Energy transition; Multi-Level Perspective; Extended Multi-Level Perspective; North Sea; Offshore; Renewable Energy","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:56f49092-8c51-4435-aaa0-d7052ec40037","http://resolver.tudelft.nl/uuid:56f49092-8c51-4435-aaa0-d7052ec40037","Vulnerabilities of the three-leg moored TetraSpar floating offshore wind turbine: Are the risks of the TetraSpar mooring system ALARP?","Huting, Max (TU Delft Mechanical, Maritime and Materials Engineering)","van der Stap, A.C.M. (mentor); Lange, F.C. (mentor); van Kessel, Jan (mentor); Delft University of Technology (degree granting institution)","2023","The increasing demand for renewable energy sources has brought about the need for innovative solutions to harness energy from the wind. One such solution is floating offshore wind turbines (FOWT), which offer several advantages over traditional onshore wind turbines and bottom-fixed offshore wind turbines. FOWTs present a challenge concerning overall cost, using fewer mooring lines than seen previously in the offshore industry. Statistically, these mooring line failures are expected to occur annually in large turbine fields and could result in untethered turbines causing extensive financial and reputational damage. It is, therefore, critical to understand whether a single mooring line failure could endanger the entire system, creating a risk that must be reduced to a level that is as low as reasonably practicable (ALARP). The Tetraspar demo FOWT off the coast of Norway is used as a model to investigate the influence of mooring line failure on the mooring system. This thesis investigates the potential risks associated with the three-leg mooring system of a FOWT following mooring line failure. The research employs a simulation-based methodology coupled with insights from previous studies and a fault tree analysis (FTA) to estimate the increase in failure probability of a complete mooring system in case of a single mooring line failure relative to an intact system. Specific assumptions underpin this investigation, including a six-month repair time bridging winter weather till the repair campaign and categorising two mooring line failures in a three-leg mooring system as a complete system failure. This thesis's research is divided into two categories: new failure modes specific to Tetraspar and altered failure modes, which are fatigue-related modes already included in the FTA, adopted from previous studies. Findings highlight the risk of Tetraspar capsizing after a mooring line failure and potential issues with slack line events and fibre sections of the mooring line touching the seafloor. Low-frequency second-order drift significantly increases fatigue in the mooring lines and fairleads, evidenced by an over 1200\% fatigue increase in some instances. A FTA consolidates these findings, showing a total failure probability increase in broken line state of between 32\% to 137\% based on the assumptions made. The study reveals a notable increase in fatigue following a mooring line failure. However, this state will persist for only six months within the turbine's 20-year lifespan, accounting for 1/40th of its design life. With the implementation of a robust safety factor, these fatigue issues can be effectively mitigated. It is advocated that 'design for failure' is incorporated into a three-leg mooring system design to ensure the risks associated with TetraSpar are ALARP. Five recommendations are suggested for the design phase to ensure the TetraSpar and FOWTs achieve ALARP risk levels considering potential mooring line failure, offering solutions that do not necessitate on-site visits, and ideally creating a system that can endure for six months without intervention, allowing for repairs during the summer campaign for more cost-effective and safer operations.","FOWT; TetraSpar; Mooring line; ALARP","en","master thesis","","","","","","","","2025-08-03","","","","Offshore and Dredging Engineering","",""
"uuid:e4e6c52b-8995-4620-b80b-40f13bc4f748","http://resolver.tudelft.nl/uuid:e4e6c52b-8995-4620-b80b-40f13bc4f748","Towards the unification of the Core-Guided and Hitting Set Maximum Satisfiability approaches","Marijnissen, Imko (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Algorithmics)","Demirović, E. (mentor); Flippo, M.L. (mentor); Picek, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Core-guided solvers and Implicit Hitting Set (IHS) solvers have become ubiquitous within the field of Maximum Satisfiability (MaxSAT). While both types of solvers iteratively increase the solution cost until a satisfiable solution is found, the manner in which this relaxation is performed leads to the belief that these techniques are wholly separate from one another. This work exhibits the difficulty of directly translating the cores found by an IHS-solver to cores utilizable by an OLL-solver due to an inherent disconnect between the manner in which both approaches explore the solution space. It will then be shown how this translation can be performed more easily given certain assumptions. Finally, several techniques are introduced for performing a translation from cores of the original formula to OLL-cores which avoids the aforementioned issue, resulting in a hybrid IHS-OLL algorithm. The comparison between the performance of the hybrid algorithm and RC2 shows that the hybrid algorithm achieves analogous performance in terms of the number of instances solved while indicating that utilising cores of the original formula as a starting point for an OLL solver can be beneficial for the performance of the solver in certain cases.","Maximum Satisfiability; Hybrid algorithm; Combinatorics","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:872be35e-06de-4546-b711-3ca20e2c97d4","http://resolver.tudelft.nl/uuid:872be35e-06de-4546-b711-3ca20e2c97d4","Designing a data-enabled interactive tool for the early identification and referral of (expectant) families living in vulnerable circumstances: Supporting the potential of the promising first 1000 days of a child’s life","Nettesheim, Lara (TU Delft Industrial Design Engineering)","Secomandi, Fernando (mentor); van der Veen, L.E. (graduation committee); Hidding, Lisan (graduation committee); Delft University of Technology (degree granting institution)","2023","Every child in the Netherlands should receive an equal opportunity to begin their life with the best possible prospects. The first 1000 days of a child’s life are of utmost importance in establishing a strong foundation for future development. Children may experience a disadvantageous beginning due to various factors such as exposure to smoking or stress (Ministerie van Volksgezondheid, 2018; Roseboom, 2018).
To offer the best start also for children, the objective of this master thesis is to develop a digital tool that leverages the potential of big data to aid in the early identification and referrals of families living in vulnerable circumstances.
The research initiative known as “Making Big Data Meaningful for a Promising Start” is funded by the Dutch research council known as Nederlandse Organisatie voor Wetenschappelijk Onderzoek (NWO), seeks to detect vulnerable situations at an earlier stage using predictive models that use existing large amounts of data (big data) to identify potential adverse outcomes for children. The prediction model has the potential to estimate the level of risk a family faces for experiencing a negative outcome in the future. In order to cater this model to the parents’ needs, various user-centred design methods were used.
The approach of this project was first to understand the context of the first 1000 days and understand who is involved, how the current risk identification and referral works, and the experiences of the parents and the healthcare professionals. Literature research and qualitative research methods such as interviews and observations provided insights into the different perspectives of parents and healthcare professionals in this specific context. This approach led to identifying several significant needs that would guide the design direction for the future conceptual tool. These needs include trust, safety and self-esteem. Parents often face fear regarding the potential outcomes linked to revealing specific information, and they may also experience emotions like shame, guilt, or self-doubt when they believe they are incapable of adequately providing for their child. This may result in parents choosing not to disclose information, which can lead to delayed identification and potential referral.
Once the underlying needs of parents were understood, the following phase involved creating together and exploring the solution space surrounding a digital tool. To achieve this, co-creation sessions were held with parents and healthcare professionals.
During the co-creation sessions, several additional requirements emerged that were deemed essential for the conceptualization of the digital tool.
Among these newly identified requirements was the consideration that if the tool incorporated a prediction model, it must not only identify risk but also offer actionable solutions and be implemented with repetitive use since circumstances can change over time.
Through understanding and aligning the needs of parents, a final digital concept Advies Op Maat was designed. The concept allows parents to fill in their information in a sandbox environment, enabling them to try the tool before committing to sending the information to the healthcare professional. Based on the information filled in, parents can receive preliminary advice and choose whether they want to continue.
By conducting moderated user testing, the experience of the digital concept was evaluated and explored. At the moment, a significant challenge in achieving trust and safety relates to the questions posed within the tool, which can be sensitive and confrontational for parents.
At the conclusion of this project, iterations were made to address and improve these specific aspects to potentially use parts of the concept in the next phases of the research project of the “Big Data and a Promising Start” initiative.
modifications of entire families. By exploring the potential of family-centered care ideology for healthcare delivery, this thesis paves a way to include family members of myocardial infarction(MI) patients as co-recipients of formal care. To accomplish the objective, various activities were undertaken, such as researching factors that influence healthcare paradigms, exploring effective strategies to promote healthy behavior, and investigating the role of family members in shaping a patient’s health trajectory.
Through desk research and interviews with key-informants of cardiovascular and home based care, valuable insights were uncovered revealing the diverse roles family members can assume throughout a patient’s health journey. These roles were found to be dynamic and dependent on various factors including personal preferences and the stage in the health trajectory, highlighting the need for personalized healthcare approaches that cater to the unique preferences and dynamics of each family.
Uncovering a research gap of the representation of these roles, a new perspective recognizing the perpetual influential capacity of family members was adopted to further explore individuals preferences of in their ability to be involved in the patients healthcare and to influence the health behaviour of the patient. By adopting this approach, family members are encouraged to prioritize their own health while simultaneously providing support to patients in maintaining positive lifestyle behaviors essential for sustaining cardiovascular health.
Based on the insights gained from a qualitative study highlighting the differences in family contexts and subsequent preferences in health-oriented involvement, a service vision was formulated to demonstrate a family-centered healthcare delivery through a modular approach. The vision emphasized the importance of data-driven insights to inform tailored interventions for sustainable lifestyle adherence. By harnessing data, healthcare providers can gain valuable information about patients and family members, enabling them to tailor interventions and support structures to meet their specific needs.
To move closer to this service vision, it is proposed to follow a data-enabled design process that enables human, technological and organizational considerations and thereby empowering designers to iterate and expand the service ecosystem.
To conclude, this thesis creates an opportunity for engaging stakeholders and ensuring that the envisioned care approach aligns with the interconnected healthcare landscape, driving the system towards a more inclusive and comprehensive care future.","eHealth; Behavior Change; Family caregivers; Remote Patient Monitoring; Myocardial Infarction","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:1f4d1c8a-6015-4a4a-afae-461f74b41cb9","http://resolver.tudelft.nl/uuid:1f4d1c8a-6015-4a4a-afae-461f74b41cb9","Energy Justice as a catalyst for Regional Energy Transitions: Understanding Governance and Decision-making in the Organisation of Equitable Participation and Local Ownership in the Regional Energy Strategy of the Rotterdam-The Hague Energy Region","Luijk, Olaf (TU Delft Technology, Policy and Management)","Hoppe, T. (mentor); Okur, Ö. (graduation committee); Martinez Reyes, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis delves into the intricate challenges facing The Netherlands as it aims to fulfil its ambitious CO2 emission reduction goals by means of the Regional Energy Strategy (RES) framework. A significant issue highlighted is the emergence of an unintended wealth gap and energy poverty affecting over 600,000 people, which restricts their involvement in the energy transition process. These concerns point to the necessity of comprehensive research to ensure marginalized groups’ active involvement in the energy transition.
The core objective of this study is to scrutinize the impact of governance and decision-making practices in the Regional Energy Strategy of Rotterdam The Hague (RES-RDH) on energy justice principles. It seeks to define and understand the concepts of ’local ownership’ and ’equitable participation' within the RES-RDH context. By exploring ways to incorporate energy justice principles into decision-making processes, this research aims to create a comprehensive reference tool to guide the region’s energy transition towards fairness and equity.
The investigation employs a literature review, policy document analysis, and interviews to address the main research question and its four sub-questions. The sub-questions focus on defining equitable participation and local ownership in the RES-RDH context, understanding the influence of institutional governance on these aspects, identifying specific challenges in organizing equitable participation, and exploring how energy justice principles can enhance decision-making processes. The findings collectively answer the main research question and contribute to the final reference tool’s development.
The theoretical framework incorporates elements of energy justice and public decision-making, enabling a thorough exploration of the equitability and inclusivity of RES- RDH’s decision-making processes. It examines equitable participation, local ownership, and energy justice principles through theoretical lenses, emphasizing the importance of engaging diverse stakeholders, ensuring local community involvement, and balancing power dynamics...
Multi-actor collaboration is essential to develop and integrate new energy systems, evident in actors' interconnection in value networks and complex value propositions for integrating these product services (Weiller, C., & Neely, A., 2013). However, ecosystem collaboration comes with challenges, as each actor operates under different principles and seeks different benefits (Bos-de Vos, 2020). In this context, service designers face the challenge of developing methods and approaches to improve actors' capabilities in navigating systemic complexity, and translating abstract values into concrete actions (Sangiorgi, 2009, Vink, J., 2021, Bos-de Vos, 2020).
To address these issues, this thesis examines the LIFE project, employing both theoretical research and empirical data. One significant theoretical gap is the lack of tools for value modeling from an ecosystem perspective. Within the LIFE project, complex conversations regarding values emerge due to differing perceptions, leading to value misalignment, siloed ways of working, and intricate decision-making processes. The prevailing view of value focuses on unidirectional exchanges, lacking an ecosystem or systemic perspective of the project. Thus, a need arises for a tool that provides an ecosystem view and allows multiple stakeholders to engage in explicit value conversations.
To bridge this gap, this thesis introduces the 'value ecosystem canvas,' supporting collaborative networks in value ecosystem modeling. This 'project-centric' tool is designed for co-creation sessions, enabling actors to visually model value exchanges iteratively with the aid of a clear value guide (Bos-de Vos, 2020), exploring potential links between actors and concretizing value exchanges. Additionally, it facilitates an ecosystem understanding of how product-services add value to other actors and what value could be potentially exchanged (created and captured).
In conclusion, the value ecosystem canvas aims to foster effective collaboration, align values, and promote a shared understanding of value exchanges within the LIFE Project consortium and other urban innovation initiatives. By enabling a systemic view, the tool empowers collaborative networks to navigate complexity and contribute meaningfully to the ongoing systemic transitions.","Value modeling; Value ecosystem; Service ecosystem design; value co-creation; multi-stakeholder","en","master thesis","","","","","","","","","","","","Strategic Product Design","LIFE project",""
"uuid:181670ba-9cee-41a5-8dcb-24102b6e49df","http://resolver.tudelft.nl/uuid:181670ba-9cee-41a5-8dcb-24102b6e49df","No-regret in water management decision-making: Exploring perspectives in Dutch water policymaking","Ignasse, Adam (TU Delft Technology, Policy and Management)","Minkman, E. (mentor); Klijn, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:5660b7d2-2bdb-4622-8872-5921e100cc80","http://resolver.tudelft.nl/uuid:5660b7d2-2bdb-4622-8872-5921e100cc80","Reprocessing used surgical instruments for veterinary healthcare application: A case study on surgical vessel sealing energy-based devices","Duzijn, Yannick (TU Delft Mechanical, Maritime and Materials Engineering)","Horeman, T. (mentor); van Straten, Bart (mentor); Delft University of Technology (degree granting institution)","2023","br/>With increasing healthcare demand, environmental concerns and waste management in healthcare, particularly in the operating room (OR), are significant issues. Disposable surgical energy devices, like vessel sealers, gain popularity in veterinary healthcare, but reusing them is officially forbidden by law and presents challenges. Objective: This research aims to clinically validate reprocessing the Ethicon HARMONIC® HD 1000i for safe reuse in veterinary healthcare. The study assesses the feasibility of using readily available disinfection methods and evaluates the device’s functionality and safety. The research’s scope is limited to a case study (functional analysis) on the Ethicon HARMONIC® HD 1000i. Methods: A comprehensive device analysis was performed using Hot Spot Mapping and Disassembly Map methods, followed by mechanical stability testing during sterilization conditions. A design update was proposed for easier disassembly. The functionality of 2x new, 2x reprocessed and 2x redesigned Ethicon HARMONIC® HD 1000i’s after reprocessing (disinfection, disassembly, reassembly, sterilization) was evaluated in a bench-top study. Tissue transsections on 120 cm pork fillets (6-11mm thickness) per device and pinch force measured with newly developed sensor (40 movements/device) were performed. Furthermore, 2 burst pressure measurements per device on frozen and embalmed artery sections were performed in collaboration with Amsterdam Skills Centre for Health Science. Results: In the disassembly analysis, 42 operations were needed, involving 40 parts and 10 steps with 6 tools. The disassembly time was 429 seconds (07:09 minutes) total. In tissue transsection, the new and reprocessed devices performed similarly, with mean task times ranging from 62.3 to 66.8 seconds. The redesigned devices had slower task times (averaging 196.1 seconds and 104.7 seconds) resulting in lower marching speeds (0.5-1.0 mm/s compared to 1.5-1.6 mm/s for new and reprocessed devices). In pinch force measurements the new devices performed best with average pinch forces of 19.1 N and 20.2 N. The reprocessed devices had slightly lower performance (16.8 N and 16.7 N), while the redesigned devices performed the worst with pinch forces of 5.6 N and 8.8 N, representing a significant drop in performance compared to the new devices. The burst pressure measurement tests showed that none of the devices were able to effectively seal the arteries, resulting in no pressure buildup. Discussion: Overall, reprocessed devices performed similarly to new devices in tissue transsection, showing the effectiveness of reprocessing. Achieved marching speeds by reprocessed and redesigned were considerable given tissue thickness differences, highlighting reprocessing’s potential to extend device lifespan. New devices had 15% higher pinch force than reprocessed, while redesigned had 63% lower force due to a weakened casing. Strengthening redesign and adding new jaw ends could improve modularity and power transmission. Burst pressure tests revealed all Ethicon Harmonic devices (new, reprocessed, redesigned) couldn’t effectively seal
arteries, likely due to frozen artery characteristics and tissue alterations from embalming. Conclusion: This study emphasized the potential of modular medical devices with improved disassembly time, demonstrating that a modular design approach combined with reprocessing strategies holds promise to ensure a more sustainable healthcare system. This research is a collaboration between the Technical University of Delft, Johnson & Johnson Netherlands and GreenCycl.
Keywords: ETHICON, harmonic, ENSEAL, Ligasure, ster- ilisation, reprocessing, medical instruments, healthcare, veterinary, redesign, modular, burst pressure, tissue transection, pinch force","ETHICON; harmonic; ENSEAL; Ligasure; reprocessing; medical instruments; healthcare; redesign; modular","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:942aeb92-8df7-4638-8711-109ce3f3e4f2","http://resolver.tudelft.nl/uuid:942aeb92-8df7-4638-8711-109ce3f3e4f2","Enhancing awareness of biodiversity crisis in families that visit Naturalis","van der Heide, Yamila (TU Delft Industrial Design Engineering)","Verma, H. (graduation committee); Vermeeren, A.P.O.S. (mentor); Aartsen, Pieter (graduation committee); Delft University of Technology (degree granting institution)","2023","Currently, biodiversity is under worldwide pressure. The organization Naturalis Biodiversity Centre wants to discover ways to address the biodiversity crisis in their museum.
For the design process, the double diamond method is applied. Through desk research and interviewing experts, the concept of biodiversity is explored. To have a clear focus early in the project and make the design process more manageable, it is chosen to focus on the decrease of insects. Insects are an undervalued group despite their importance, and they require attention as humankind depends on their existence.
Biodiversity decline is a phenomenon seen throughout history, but for the first time it is mostly caused by human activity. The causes of the decline can be at individual and systemic levels. Museums are good environments to address complex problems like this, because of the large audience and the ability to share current events and context. However, visitor’s attention span and development time can be limiting factors.
The project aims to work towards transformation, making the audience aware of the problem and encouraging them to reflect on their own role. These steps are the first two stages of a simplified plan that leads to transformation. To refine the installation further, the Com-b model of behavior change is used.
While the biodiversity crisis is addressed through the website, app, and educational programs of Naturalis, it is not addressed in the museum. Together with employees from the educational and exhibit departments, a message on biodiversity crisis is thought of, which is summarized in three pillars: connectedness, taking care of nature, and motivating the visitors.
To understand how families experience Naturalis and how they want to be approached regarding the biodiversity crisis, families visiting Naturalis are interviewed and observed. This has led to insights, resulting in the development of three personas representing certain types of families.
The design goal is: To design a playful transformative experience at Naturalis where family members can develop a deeper understanding of the biodiversity crisis and discover how they can contribute to biodiversity in their surroundings.
The exhibit journey consists of three steps: approach, interact, and take-away. The installation will be located near the entrance, where families are still open to trying something new and are motivated to learning and playing.
By consulting biologists and Naturalis employees, hosting brainstorm sessions with fellow design students, visiting museums for inspiration, and testing with families, the project has come towards a final concept. The concept allows families to discover which elements contribute to a bee-friendly environment and which do not. By manipulating physical wheels representing elements such as flowers, families can observe the effects of their choices on a screen, which also provides an explanation. The concept was understood and appealing to families, particularly the interactive part with the wheels and screen. However, the effect part should be redesigned in consultation with a bee specialist and children’s illustrator. Additionally, the game element needs reworking, to focus solely on bees to keep it understandable and engaging.
It appears that many studies do not provide clear information on how the functional unit (FU) is specified, which application(s) the battery is utilised for, application characteristics, modelling assumptions including the electricity and battery inputs or complete LCI data. Overall, the degree of transparency of many battery LCA studies is mediocre which complicates judging the usefulness of results and should be improved to improve comparability and reproducibility for which recommendations are provided. Moreover, the interaction of battery parameters and application characteristics is captured in proposed modelling guidelines for the electricity and battery system input flows. Value stacking results in environmental benefits, particularly when a battery is used to store renewable electricity which is used to serve another application simultaneously. It seems only interesting for battery technologies with high cycle lives such as RFBs and some lithium-ion batteries because these offer the ability to increase battery utilisation without considerably decreasing the battery’s lifetime.
To reach sustainability ambitions, battery applications leading to a reduction in environmental impacts should be promoted for which a general incentive policy is not appropriate. Such policy stimulates all battery applications, which could lead to small or even negative contributions to environmental impact reduction compared to the current situation. Even though this is a temporary transition problem, it could lead to an undesirable interim increase of environmental impacts during the transition. To this end, performing comparative assessments of applications that are expected to be served by batteries in the future, requiring the involvement of transmission network operators, and how these are served in the current situation are useful.","Life cycle assessment; Stationary battery; Battery system; Battery use phase; Value stacking; Multi-use; Multi-purpose applications","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:33e52312-0437-4578-a3ad-43d17b28c6b8","http://resolver.tudelft.nl/uuid:33e52312-0437-4578-a3ad-43d17b28c6b8","Impact of climate change on precipitation in Suriname","Smit, Jacob (TU Delft Civil Engineering & Geosciences)","Rutten, M.M. (mentor); van der Ent, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Suriname is highly vulnerable to hazards that are climate change related, such as droughts and floods. Knowledge about future precipitation in Suriname is needed for the population of Suriname in order to adapt to climate change effects. By analysing 32 CMIP6 models, this study investigates the impact of climate change on precipitation in Suriname. The intermodel spread of the projected change in precipitation in 2100 compared to the reference period (1991-2020) is large, ranging from -45% (-2.0 mm/day) to +10% (+0.5 mm/day). Drivers of climate change in Suriname are the Intertropical Convergence Zone (ITCZ), Atlantic Meridional Overturning Circulation (AMOC) and EL Niño Southern Oscillation (ENSO). A weaker AMOC strength leads to warming of the south Atlantic Ocean and cooling of the north Atlantic Ocean, indicating a southward shift of the ITCZ. More El Niño like conditions lead to weakening of the mean zonal circulation along the equator and an eastward migration of the Walker Circulation in the Pacific. The occurrence of an increase in upward motion over the Pacific ITCZ and an increase in downward motion over Suriname also indicate an eastward migration of the Walker Circulation. With an eastward migrated Walker circulation an upward motion of moisture is strengthened and deep convection is increased over the Pacific. At the same time the opposite happens in Suriname where deep convection is decreased due to downward motion of air.
Models with relatively high future drying project the ITCZ at a more southward position, leading to low precipitation amounts in Suriname. Next to that, these models project a relatively stronger southward shift of the ITCZ compared to wet models, leading to an even stronger drying effect in Suriname. The climate models show a mean weakening of the AMOC strength, especially the dry models. More El Niño like conditions, with a decrease in deep convection in projections from dry models, are another reason for lower precipitation projections for dry models than for wet models.
After a bias-correction with Quantile Delta Mapping (QDM) the intermodel spread decreases significantly from 1-8 mm/day to 4-7.5 mm/day. Next to that, QDM correction has shifted the historical multimodel mean precipitation upwards by approximately 3 mm/day, almost doubling it. The doubling of the average precipitation indicates that climate models fail to accurately simulate the climate in Suriname. The 10% most extreme 1-day and 5-day cumulative precipitation values decrease according to climate projections. This is probably due to a decrease in the average projected precipitation in Suriname throughout the year. Extreme precipitation increases for the 0.1% most extreme 1-day and 5-day cumulative precipitation values. Despite the projected decrease in average precipitation, the models project more intense extreme precipitation events. The higher values for 0.1% extreme events can be explained by future warming, giving rise to a higher air capacity for water vapor.
In this project an attempt was made to find a solution for the most impactful and relevant components such as the PCBA and the PV panel. First sustainability issues of the current TRACK components were identified and then the sustainable opportunities and limitations of alternative technologies were discussed. To show at what point in time the new technologies are expected to become relevant, technology roadmaps were created.
As a conclusion of this world-friendly technology research, a vision for the future of the TRACK line was laid out in a product roadmap. To show how the integration of the more world-friendly components could translate into practise, the TRACK Core 5G concept was designed as a showcase product. The TRACK Core 5G prototype includes a solvent-based recycled polycarbonate (PC) transparent enclosure, with electronics printed on a recycled substrate and a flexible emerging PV panel.
To extend the lifespan of the electronics, circular product design guidelines were applied. The basis of the circular loops is that the components can be disassembled quickly. In the current product the time it takes to replace the battery was relatively long (80 seconds) and the PV panel could not be replaced at all. By using the disassembly strategies and the choice for a 'direct access' design, all electronic components, including the PV panel, can be disassembled in 6-17 seconds.
In order to extend the life of the most impactful components, the chips on the printed circuit board, the theory of modular design was applied. By using the material properties of the flexible PCB, a new strategy for upgrading the PCB was developed which brings down the initial cost and
offers more flexibility.","Circular Product Design; IoT; Design for Disassembly; Modularity; Printed Electronics; Asset tracking; Plastic Recycling","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:8155dc98-21ec-4603-8be0-cd13eec6f6ad","http://resolver.tudelft.nl/uuid:8155dc98-21ec-4603-8be0-cd13eec6f6ad","Vision-guided object pose estimation for robotic pushing in real-time","van der Burg, Thijs (TU Delft Mechanical, Maritime and Materials Engineering)","Wisse, M. (mentor); Tang, Y. (graduation committee); Delft University of Technology (degree granting institution)","2023","Object pushing in robotics has numerous applications, but it often relies on room-bound object tracking systems such as Motion Capture (MoCap) for accurate object pose acquisition. Such systems limit the potential use scenarios, since they add complexity and cost and require expansion of the sensor infrastructure for expanding the operational areas. To address these limitations, we propose a framework that integrates object pushing and vision-guided object pose estimation using a monocular RGB camera that is placed on the pushing agent. By leveraging the temporal coherence of the scene, we accelerate the 2D object detection stage that is common in the field of object pose estimation. Our contributions include the development of a novel framework that continuously pushes an object while simultaneously tracking its pose. We incorporate dynamics by efficiently using a Model Predictive Path Integral controller’s predictive model to predict the object location in the forthcoming image, resulting in an extreme reduction of computational cost for 2D object detection, without sacrificing the accuracy of the pose estimation. Our framework achieves real-time object pushing with accurate object pose estimation, which we demonstrate with real-world experiments. Furthermore, we provide a novel object pose estimation dataset in the widely used BOP format, specifically for robot planar pushing with an on-board camera. Our approach pushes towards eliminating the need for room-bound sensor systems, expanding the potential use cases. By considering temporal coherence and scene dynamics, our framework challenges recent object pose estimation methods that fully process each image as uncorrelated individuals, while providing a promising solution for real-time object pushing.","object pose estimation; computer vision; deep learning; robot pushing; non-prehensile manipulation","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:948faebc-dd20-4bd5-8c6e-19f563ebfabd","http://resolver.tudelft.nl/uuid:948faebc-dd20-4bd5-8c6e-19f563ebfabd","Aesthetics in Visual Training Datasets","Offerman, Celine (TU Delft Industrial Design Engineering)","Bozzon, A. (mentor); van der Maden, W.L.A. (mentor); Delft University of Technology (degree granting institution)","2023","Correctly processing accumulated information is beneficial for our survival. Berghman and Hekkert (2017) argue that this is why we humans derive pleasure from having a sense of aesthetics. These aesthetic experiences can be seen as our brain’s reward system for correctly perceiving and interpret- ing the world around us. While our senses have evolved to perceive and organise the physical world, these very mechanisms also come into play when we interact with the digital realm. Aesthetics in visual training datasets are of importance as it allows us to derive a sense of aesthetic pleasure from digital media. Integrating aesthetics into artificial intelligence, especially in text-to-image generators, becomes important to cater to humans psychological reward systems and to engage them at a deeper level.
This thesis is focused on investigating the annotation method used in the development of the LAION- Aesthetics V2 datasets and comparing it to other annotation methods for measuring aesthetics. The purpose is to explore whether there are more suitable alternatives to the current annotation method (where people are asked to annotate images with the instruction ”how much do you like this image on a scale from 1 to 10?”, (Schuhmann, 2022) which is not backed by literature to actually measure aesthetics), and to evaluate the alignment between the LAION Aesthetics Predictor scores and human ratings.
This thesis explores different distinct levels of inquiry: one focuses on the design of instructions for image annotation tasks (alternative task design), while the other centers around measuring aesthetics during the annotation process (alternative metrics). Both lines of inquiry are supported by relevant literature, indicating their potential capacity to capture aesthetics. In addition to comparing alternative annotation methods, this thesis investigates three hypotheses related to the annotation of aesthetics within the project’s context.
Four experiments are conducted using crowdsourcing to compare alternative task design and alternative metrics. The experiments include semantic concept activation, different phrasing of the annotation instruction, and alternative modalities (such as ranking and two-alternative forced choice). Next to these four experiments, a separate fifth experiment is deployed which looks into the evaluation of image content versus overall image liking. Two post hoc analyses are performed, one which compares scores that the LAION Aesthetics predictor assigns to the stimulus set to human image liking ratings, and one examining the influence of region on image liking ratings.
The LAION aesthetics approach performed equal to the alternatives with scientific backing. The ranking treatment even performed worse. For this data, region did not impact image liking ratings. No significant difference was found between participants’ overall image liking and content liking. The LAION Aesthetics predictor scores partially aligned with human liking ratings but showed some disparities, par- ticularly in extreme ratings. Qualitative analysis suggests that more research is necessary to make a judgement on whether ”liking” is a relevant and appropriate approach for capturing aesthetics.
The limitations of the experiments include small sample sizes and the focus on a specific image class (buildings). Recommendations for future research include exploring different image classes, investigating other ranking modalities, and considering n-alternative forced choice experiments. It is also suggested to examine the influence of regions on aesthetic experiences in more detail, explore Gibbs Sampling with People for measuring image aesthetics, and explore different demographic groups and contexts.
This research aims to provide insights into the intricate relationship between the microbial community and the complex substrate. It primarily focuses on the anaerobic acidogenic fermentation of cabbage, with the aim of identifying and understanding the key influences on microbial behavior and fermentation patterns. Cabbage was chosen as the feedstock for this investigation due to its historical and robust use as a substrate, specifically for the generation of lactic acid. This byproduct not only holds economic value but also presents intriguing scientific implications, such as serving as a promising raw material in biodegradable plastic manufacturing. The initial segment of our research endeavors to investigate the fermentation process and characterize the microbial community dynamics observed during the fermentation of chopped cabbage. Interestingly, our analysis of chopped cabbage fermentation revealed a triphasic progression, wherein the Bacilli class—predominant in the initial stages—facilitated lactic acid production, succeeded by Clostridia and Actinobacteria driving butyrate and propionate generation, respectively.
Despite the principles of thermodynamics and ATP yield suggesting that the transformation of sugars into alternative end products, such as short-chain fatty acids, is more favourable, the production of lactic acid is frequently observed, notably in fermentations involving vegetables. State-of-the art flux balance analysis models suggest that these microorganisms achieve dominance when sugar concentration exceeds a certain threshold, leading to enhanced (Lactic Acid Bacteria) LAB growth rates. We hypothesize that by employing a novel water solubilization method to separate the cabbage into soluble and ”insoluble” fractions, it would enable us to manipulate the initial soluble organic load, under the assumption that the substrate composition maintains similarity. This, in turn, could potentially influence the growth rates of LAB. Remarkably, the data revealed Clostridia class dominating the “insoluble” cabbage, while Bacilli class predominated in the soluble cabbage fermentation at the early stages.
The majority of LAB are documented to necessitate specific amino acids for their growth. A crucial aspect of our research was to elucidate whether LAB engaged in cabbage fermentation exhibits a similar dependency on the substrate. To elucidate this, we used the inoculum from cabbage fermentation to ferment solely cabbage sugars in the absence of the cabbage. The objective was to determine if the microbial community could produce similar fermentation patterns without the non-monosaccharide constituents of cabbage, thus investigating their role in LAB growth. Noteworthy, in the absence of cabbage, a divergent pattern with minimal lactic acid and lack of LAB was observed, highlighting their dependence on non-monosaccharide cabbage components.
This research provided critical insights into the interactions between substrates and microbial communities, with potential applications in optimizing fermentation processes in the food industry and biochemical production. It may also lead to a better understanding of microbial behavior in different substrate conditions, providing a foundation for more efficient and sustainable fermentation.","Acidogenic Fermentation; Cabbage; Microbial Community Dynamics; Initial Soluble Organic Load; Substrate Composition; Lactic Acid Bacteria; Heterolactic; Homolactic; Weissella; Lactobacillus; Clostridia; Actinobacteria; Lachnoclostridium; Acidipropionibacterium; Mannitol Metabolization; Butyrate; Propionate; Acetate","en","master thesis","","","","","","","","","","","","Life Science and Technology (LST)","UNLOCK",""
"uuid:c4fe9a06-ac53-4a67-95ab-552fa6605070","http://resolver.tudelft.nl/uuid:c4fe9a06-ac53-4a67-95ab-552fa6605070","Teleoperation With Force Feedback: A Case Study On Anti-Sway For Offshore Applications","Veitschegger, Niyaz (TU Delft Industrial Design Engineering)","van Heur, R.J.H.G. (mentor); Kernan Freire, S. (graduation committee); Breukels, Jeroen (graduation committee); Delft University of Technology (degree granting institution)","2023","This master’s thesis is an exploration of force feedback in offshore applications with the intention of teleoperating heavy machinery. Specifically, Allseas provided their field joint coating machine handling for offshore pipelay as the subject and scope of this study. The anti-sway use case is identified through literature research, user and expert interviews, and observation of machine handling. Due to the nature of offshore dynamics coupled with heavy machine movement, sway of these machines is relevant to all specifics of handling and placing the machine on the pipe.
Operators rely on their intuition and experience when manually operating these machines. For the proposed teleoperation of machine handling, the operator is now distanced from the machine, removing their sense of control, direct force contact, and now making them trust in automation. This is where a force feedback telemanipulator can increase situational awareness and human machine performance. This is achieved through returning the direct contact forces back to the operator and providing them intuitive control from afar. With more research, rapid prototyping, and machine simulation, Paddy (the teleoperation force feedback test set up) is designed and developed. The proof-of-concept test set up is built using open-source frameworks such as Stanford Hapkit and Vanderbilt Simulink model, but with substantial redesign for offshore anti-sway use case.
To validate the design and use case, a user test with 12 Allseas engineers is conducted. The task is to mitigate the swing of the FJC simulation via the handheld manipulator with and without force feedback. The results imply that the main hypotheses are valid: (1) force feedback yields faster stabilization times, (2) the perceived workload from NASA-TLX scores is lower for force feedback (3) the user requirements and ease of use UMUX-Lite scores is favourable with force feedback, and (4) embodiment and hand placement influences expectations and feeling the feedback mechanisms. Future research could explore different feedback types (assistance vs. error prevention), feedback basis (sway angle vs. angular velocity) or further confirm these hypotheses.
Force feedback is not typically studied in industrial engineering. Thus, design guidelines are created based on this thesis exploration, emphasizing that force feedback is not an add on, but should be considered from the start of the design process. Lastly, recommendations for force feedback in Allseas and other relevant applications are stated for increased situational awareness, task efficiency, and enhanced HMI.","Force Feedback; Haptic Feedback; Teleoperation; Anti-Sway; Allseas; Offshore","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:bb914147-0403-4a1c-aa65-8b5d62dbbc77","http://resolver.tudelft.nl/uuid:bb914147-0403-4a1c-aa65-8b5d62dbbc77","Spatial Adaptive Policy Pathways for rainwater resilient spatial redesign for urban areas","Jurjus, Charlie (TU Delft Civil Engineering & Geosciences)","Rutten, M.M. (mentor); van der Hoek, J.P. (graduation committee); Balz, Verena Elisabeth (graduation committee); Goedbloed, D (graduation committee); Delft University of Technology (degree granting institution)","2023","In this research, the use of spatially applied adaptive pathways for rainwater resilient redesign of urban areas is investigated. It is explored how adaptive pathways can contribute to the integration of the climate stress of pluvial flooding in the planning process for the redesign of existing urban areas. This is done by developing adaptive pathways for the rainwater resilient design for a case-study in Amsterdam and evaluating their possible contribution to the planning process for redesign in general and specifically in Amsterdam.
The use of spatially applied adaptive pathways for rainwater resilience was explored by first developing pathways for a redesign for a case study in Amsterdam, the neighbourhood Bosleeuw, following the Dynamic Adaptive Policy Pathways (DAPP) approach. It was found that when considering pluvial flooding as the system stressor, the number of parallel design alternatives that could be included in the map are limited because rainwater resilience is considered at neighbourhood scale. Moreover, the shortage of the rainwater storage capacity in the current situation compared to the set objective for 2050 results in the inability to express the adaptation tipping points in terms of storage capacity and a sell-by date in terms of time. In addition, because of the number and size of the required measures to reach the objective for rainwater resilience in Amsterdam, the need to consider the implementation time in the adaptive pathways arose, to ensure the feasibility of the proposed pathways.
To this end, a set of modifications were made to the set-up of the pathways for a single design alternative, that enhanced the functionality of the pathways to illustrate the feasibility of the implementation of a solution. The results showed that the modified pathway set-up enable the incorporation of the implementation time of measures, the definition of adaptation tipping points and their sell-by dates and reviewing the effect of partially implemented measures combined.
These functionalities are demonstrated by developing pathways for the implementation of the redesign for the case-study under the temporal limit of the renewal strategy in Amsterdam: the strategy to plan all projects in the public space simultaneously and at the moment of planned maintenance or renewal of infrastructure. The results show that the modified set-up of the pathways enable the visualization and comparison of different implementation strategies and allow for the back casting of required actions to attain a set objective, without going into time-consuming or incomprehensible detail. However, the beneficial feature of the DAPP approach to postpone decisions to the future by reviewing parallel solutions and keeping future options open, is eliminated in the modified set-up, that reviews the implementation of a single solution. Similarly, the focus on the duration of implementation time and the consideration of actions in a timeline reduced the feature of the DAPP approach to express moments of decision and action in terms of how far the uncertain development has progressed rather than in time, which is a key attribute of allowing uncertainty in decision-making and design. The modified pathway set-up presented in this research, therefore, should be considered as complementary to the DAPP approach rather than a replacement. Overall, it is concluded that the adaptive pathways can contribute to rainwater resilience planning by providing a guiding spatial and temporal overview of required actions to support decision-making and the monitoring of adequate implementation of spatial adaptations.
has been confronted with many developments within the last decade that reduced the profitability. Two developments that stand out are: (1) Brexit: the Brexit resulted in a significant reduction in available fishing grounds, (2) Ban on pulse fishing: this method of fishing reduced the operational expenses with
30% to 40%, but is banned by the EU. Al a result to these developments in combination with upcoming (inter)national legislation, the Dutch government introduced a plan ”Noordzee visie” to help vessel owners to reduce their environmental impact. To continue fishing a Dutch beam trawler therefor has to take measurements to comply with these regulations. It will be inevitable to implement the use of sustainable fuels together with energy saving technologies. Vessel owners want to implement these, but without sacrificing operational effectiveness. Therefor it is required to find how different propulsion configuration which are able to reduce CO2 will influence the technical and economical performance of a Dutch trawler.
This research consists of two parts: (1) Literature study and (2) Result processing. Within the literature study the current state of a Dutch beam trawler is defined. Secondly potential converters, energy carriers and energy reduction methods are identified which show potential. At last an assessment model is build to find the influence of available propulsion configurations. The technical feasibility is determined by the configuration being able to comply with: operational effectiveness requirements, maximum added draught, maximum added length, and being able to reduce the CO2 emission with at least 40%. The economical performance of the configurations is assessed by three performance
indicators: (1) yearly operational requirements, (2) Capital expenses of configuration and at last (3) Total cost of ownership.
The operational profile of the vessel is divided into two parts: The long cycle is the time from sailing out from port, the fishing and the return into port. The short cycle is the fishing cycle it the repetition of setting, fishing and hauling the nets. Two types of long cycles exist a 100hr and a 160 hour per week. The short cycle on average takes 2.5 hours. Based on reliability, price and safety aspects multiple converter, energy carriers and energy reduction methods are found for this research. To store the required energy carrier volume including tank arrangement this system examines potential of combining original fuel tank volume together with: net store, fish hold or hull extension.
With the literature study finished the assessment model produces the following outputs.
Technical feasibility: The findings indicate that mono battery configurations are not feasible due to exceeding weight and volume limitations. Fuels like HVO and FAME are technically viable if recognized as zero-emission fuels by the IMO. Hybrid propulsion configurations using MGO-H2(l) and DF-H2(l) are
feasible for all propeller types due to their higher energy density compared to liquid H2. Implementing waste heat recovery or regenerative braking systems, or a combination of both, does not solely determine achieving the 40% CO2 emission reduction target.
Economical feasibility: Depending on the amount of available financial resources in year 2023, available subsidy and to what extent one is willing to take risks, the following conclusions can be made for different categories of initial capital expenses. Lower capital expenditure options opt for HVO and FAME, due to their MGO similarity, which result in low capital investments. Since the TTW emission of bio fuels is sensitive to regulations the dual fuel methanol configuration with a 4.0m diameter propeller is an option which requires more initial investment, but is less sensitive to regulations and therefor more future ready. With initial investments above €2.0M the combination of 4.0m propeller, together with
Orcan WHR and regenerative winch braking, the 100hr cycle performance best for MGO-Hydrogen configuration and the Continuous cycles performance best for a new build beam trawler. Taking into account the remaining value in the TCO a new build beam trawler outperforms all retrofit options, only in the long term 15+ year due to low maintenance and operational expenses.","beam trawler; emission reduction; alternative fuels; energy consumption reduction","en","master thesis","","","","","","","","","","","","Marine Technology","","52.0126341,4.3555860"
"uuid:7a69ef13-5600-48af-82ac-f66a4f68b416","http://resolver.tudelft.nl/uuid:7a69ef13-5600-48af-82ac-f66a4f68b416","Two boundary value control algorithms: For the heat equation on the finite domain using the unified transform method","van Wijk, Thijs (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Delft Center for Systems and Control)","Wahls, S. (mentor); Delft University of Technology (degree granting institution)","2023","In this work we investigate two boundary-value control algorithms for the heat equation on the finite interval. The algorithms we discuss here are based on the unified transform method (UTM), a method invented by A. S. Fokas to solve boundary value problems of partial differential equations. We first inspect the boundary-value control algorithm presented in Kalimeris et al.. This algorithm is originally constructed to find the Neumann boundary value on the right side for nullcontrol of the heat equation. In this work the algorithm has been expanded to allow arbitrary control objective, with either the Dirichlet or Neumann boundary values from both sides. Other improvements have also been made.
Furthermore, a second algorithm is constructed, which aims for a lower computational cost than the first algorithm. This second algorithm uses the same principles as the algorithm used in [10], but stems from another part of the derivation of the UTM. Both algorithms were tested with various control objectives, and show promising results.","Unified transform Method; Boundary Value Problem; Control Strategy; heat equation","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:7629e14c-be84-4de2-a105-3ecf52c2a4f1","http://resolver.tudelft.nl/uuid:7629e14c-be84-4de2-a105-3ecf52c2a4f1","Design and Prototyping of a DC Power Supply Based on Inductive Power Transfer","Manur, Suraj (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ghaffarian Niasar, M. (mentor); Vaessen, P.T.M. (graduation committee); Qin, Z. (graduation committee); Delft University of Technology (degree granting institution)","2023","The integration of renewable energy systems into the grid brings new and unique propositions involving the integration of power electronic inverter based solutions into the High Voltage (HV) grid. Thus a need arises to test the HV components with unconventional waveforms which can be satisfied by the Arbitrary Waveshape Generator (AWG). Two such topologies have been shortlisted for the AWG, ie: Modular Multilevel Converter (MMC) & Cascaded H Bridge (CHB). The MMC requires a single insulated DC source while the CHB requires multiple insulated DC sources with isolation.
Developing insulated DC sources using traditional transformers requires oil, epoxy or other complex insulation materials. The insulation requirements are further exacerbated when HV > 5 kV is required at power levels greater than 1 kW. For this reason, Wireless Power Transfer methods are studied in this thesis to develop a DC Power Supply based on Inductive Power Transfer (IPT). The developed supply is capable of conducting DC breakdown tests and high frequency (>100 kHz) AC discharge tests on dielectrics.
The key requirements for developing such a system are Load Invariant Voltage, High Voltage Gain and Soft Switching. Considering these requirements the Series Parallel (S-P) topology is chosen to deliver 1.5 kW at a DC voltage of 5 kV from a 350 V input, with a diode rectifier. Using the Greinacher rectifier, 10 kV DC output is obtained. Different parameters of the developed resonant converter such as coupling coefficient, switching frequency, deadtime and overcurrent protection are analysed to find a suitable configuration for the DC Power Supply. An efficiency of 88% at rated load and load regulation of 18% is achieved for the developed power supply.
For the CHB, a multi-receiver IPT system is desirable to provide multiple isolated DC outputs. A 2-receiver and a 3-receiver IPT system is constructed and their coupling behaviour is studied. A conclusion is drawn that an ”n” receiver system has a coupling coefficient 𝑘𝑛 = √𝑛𝑘1 and accordingly a S-P IPT system can be developed to obtain multiple (n) isolated DC voltage sources.","Inductive power transfer; Series Parallel Topology; High Voltage Gain; Load Invariant Voltage; Power supply","en","master thesis","","","","","","","","2025-07-31","","","","Electrical Engineering | Electrical Power Engineering","",""
"uuid:3b30e1ee-2211-4065-912b-38442c89be0c","http://resolver.tudelft.nl/uuid:3b30e1ee-2211-4065-912b-38442c89be0c","Non-linearity behaviour of soft soil: intermediate-scale laboratory experiment","Cecchi, Stella (TU Delft Civil Engineering & Geosciences)","Van Manen, Dirk-Jan (mentor); Wellmann, F. (mentor); Delft University of Technology (degree granting institution); Rheinisch-Westfälische Technische Hochschule (degree granting institution); ETH Zürich (degree granting institution)","2023","The main aim of this work was to develop methods to estimate quantitatively, and describe qualitatively, the non-linear behaviour of soft soil in intermediate-scale laboratory experiments. Previous works stated that non-linearity of the soil was found for environments involving a large impedance gradient in the near-surface, e.g., a shallow layer of soft, unconsolidated soil overlying a thick harder layer. It is believed that the micro-grains inside the soft soil, in combination with the geometry, caused the non-linearity, although other laboratory experiments found non-linear behaviour for core samples of different single materials.
The novelty of this thesis project lies in the introduction of a new method for investigating the shallow subsurface that has both the advantages of the laboratory environment (e.g., more control over the parameters and higher resolution measurements) and of the field experiments. Therefore, this new, intermediate-scale laboratory approach could be seen as a missing bridge between the experiments on core samples and the field experiments. To the best of our knowledge, this kind of experiment has not been done before and therefore there have not been any physical definitions or classifications of the observed phenomena, yet.
The research was developed in four experiments. The first two experiments verify the scaling, characterize the chosen analogue materials (Clay and Sand), and investigate the influence of the model boundaries. While, the last two experiments focused on the non-linearity behaviour of the soft soil analogues in response to large voltage (e.g., low 100s of Volts) swept-source signals. Overall, we believe we have observed in these experiments several non-linear behaviours for the constructed two layer model; both in terms of a non-linear dependence of the amplitudes on the voltage level as well as in the form of a slowing of the waves for increasing voltage. In addition, we quantify the non-linearity trough a new parameter called the ``Non-linearity parameter'', $\gamma$, and its magnitude describes the level of non-linearity of the soil. The larger $\gamma$, the more non-linearly the soil behaves, and vice-versa. A model linearized to first order was used to compare the data measured using an laser Doppler vibrometer with other observed data assuming the linear response. Thanks to that model, we could mathematically generalize the amplitude behaviour of the measured velocities of the soil as a function of $\gamma$ and visualize the threshold between the linear and non-linear regimes graphically. It appears it is the first time that such parameter is introduced to describe quantitatively the non-linearity.
The proposed methods for investigating the shallow surface by way of intermediate scale analogue models could breathe new life in the use of the physical modeling for near-surface Geophysics. Both the intermediate scale two layer model and the non-linearity parameter appear to be new in this field. The hope is to open a new path for future research keen in understanding better the non linearity behaviour of soft soils.","non-linear","en","master thesis","","","","","","","","","","","","Applied Geophysics | IDEA League","",""
"uuid:0df3e415-29ae-4991-b40a-916775bf1d5d","http://resolver.tudelft.nl/uuid:0df3e415-29ae-4991-b40a-916775bf1d5d","Integrated Mapping of Rare Earth Element Mineralization Using Hyperspectral Imaging and Laser Induced Fluorescence Spectroscopy in Drill Cores from the Storkwitz Carbonatite, Germany","Krishnan, Aditya (TU Delft Civil Engineering & Geosciences)","Schmiedel, T. (mentor); Buxton, M.W.N. (graduation committee); Lottermoser, Bernd (graduation committee); Leveinen, Jussi (graduation committee); Delft University of Technology (degree granting institution)","2023","The growing importance of rare earth elements (REEs) in sustainable technologies necessitates anefficient assessment of potential resource targets within the European Union. Traditional analyticaltechniques for REE determination have drawbacks like destructive and time-consuming samplepreparation, but hyperspectral imaging (HSI) and laser-induced fluorescence (LiF) offer promisingalternatives. This project aims to use a combined HSI and LiF method to qualitatively characterizeREE mineralization and alteration in drill core samples from the Storkwitz deposit, Germany. The goal is to develop a transferrable mapping approach for REEs, while enhancing our understanding of the Storkwitz deposit. It aims to check the robustness of HSI conducted across the extendedwavelength range as a tool in effectively characterizing the lithologies associated with REEmineralization in the Storkwitz breccia. It also tests if the combined HSI-LiF can provide newinsights into the presence of REEs and its associated minerals in the Storkwitz breccia.The proposed workflow involves acquiring hyperspectral data of the Storkwitz drill core and blocksections in three wavelength ranges (VNIR-SWIR, MWIR, and LWIR). Automatic and manualendmember extraction is performed on the smaller subsection of data to create spectral libraries,which are then used for spectral unmixing and mapping of the entire hyperspectral dataset toidentify lithologies. LiF is used to identify and map rare earth elements (REEs) in selected REErichzones identified from the hyperspectral data.The results indicated that the Storkwitz Breccia is primarily composed of ankerite in the matrix,along with minor amounts of white mica, clay, iron oxides, and REE-fluorcarbonates. The brecciacontains different clasts, including granitoid clasts rich in orthoclase and quartz-albite-biotite, aswell as carbonatitic clasts dominated by ankerite, dolomite, and ankerite-calcite. The breccia alsounderwent four alteration phases, including fenitization, biotite alteration, white mica-clayalteration, and ferric alteration. Laser-induced spectroscopy confirmed the presence of REEs, withapatite and REE-fluorcarbonates, particularly bastnäsite, being the main REE-bearing minerals.The specific REEs identified include Nd3+, Sm3+, Pr3+, and possibly Eu3+. The comprehensiveworkflow combining hyperspectral imaging and laser-induced fluorescence spectroscopy provedto be a successful approach for characterizing lithologies and mapping rare earth elementmineralization in the Storkwitz breccia. The study opens up new possibilities for efficient REEexploration in similar geological settings, providing valuable information for geological loggingand interpretation.","Hyperspectral Imagery; Rare earth elements; Storkwitz Carbonatite; Germany; Laser Induced Fluorescence; spectroscopy","en","master thesis","","","","","","","","","","","","Applied Earth Sciences | European Mining Course","",""
"uuid:ebbd0fd6-0178-4ea4-9d7b-5ec6970680f6","http://resolver.tudelft.nl/uuid:ebbd0fd6-0178-4ea4-9d7b-5ec6970680f6","The Design of a Volume Control System for the Quooker tap: The design a volume unit as an addition to the current product system, which will allow the user to control boiling, chilled and carbonated water volumes","Papadogianni, Maria (TU Delft Industrial Design Engineering)","van Heur, R.J.H.G. (mentor); Flipsen, Bas (graduation committee); Hoogendoorn, Matthijs (graduation committee); Delft University of Technology (degree granting institution)","2023","This report presents the process of designing a volume unit as an addition to the current product system, which will allow the user to control boiling, chilled and carbonated water volumes. This assignment tackles both the user interaction that takes place around the tap over the counter, as well as the functionality and technology implemented below the counter to control the water volumes. One of the drivers of this project is backwards compatibility, making the designed system suitable for all existing taps and reservoirs.
Discover
Research is conducted internally at Quooker B.V. to identify the system characteristics when it comes to Quooker reservoirs, as well as the user interface and usability of the taps and accessories. Externally, competitors and other field applications are considered as inspiration for the design of the project. A set of use cases is obtained through exploration and user interviews, which serve as guideline for the design. Interestingly, users don’t frequently measure water volumes as they rather fill their pots by feeling based on the relative water level in the container. As for technology, after careful literature and desktop research, flow sensors, weight scales, pumps, timers and pressure sensors are considered.
Define
Based on the user needs and wishes, as well as Quooker system limitations, a list of requirements is created to steer the direction of the design process. These criteria include amongst others; usability, performance, aesthetic and cost price requirements. Some important aspects that are considered are limescale, flow rate, mental strain and the properties of special feature water, such as temperature, pressure and water consistency.
Develop
The next step is to explore different design directions. Several options are considered for both the user interaction and flow measurement, as well as possible placements within the current system to establish compatibility. These options are evaluated by users and R&D engineers and the final concepts were chosen; an external knob for over-the-counter user interaction alongside a turbine flow sensor at the inlet of the water system. These concepts are developed and tested within and outside of Quooker B.V. and iterations are made to improve the design.
Deliver
Finally, the part assemblies are laid out and design decisions are presented, as well as where the two units are placed in the system and how they are connected with each other. A cost estimation and preliminary manufacturing plan are presented to prove the feasibility of the design and future recommendations are made to assist Quooker B.V. with the further development of the product.","volume control system; Quooker; flow measurement; flow sensor; volume selection","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:a147269d-c437-4695-be71-a8dc31085aaa","http://resolver.tudelft.nl/uuid:a147269d-c437-4695-be71-a8dc31085aaa","Future framing method: utilizing the power of co-creation and AI when envisioning the future","Oudhof, Tamay (TU Delft Industrial Design Engineering)","Lomas, J.D. (mentor); Hiemstra-van Mastrigt, S. (graduation committee); van Zeumeren, I.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Society currently faces many complex and significant challenges, dilemmas that lack straightforward solutions and are deeply interconnected. These problems demand a transformative approach that involves reevaluating people’s values, beliefs, attitudes, and behavior. Amidst these ongoing challenges, there is a collective hint of pessimism regarding society’s perception to effectively overcome them.
To navigate this era of transformative change, it becomes increasingly critical to engage in dialogue and define future goals. However, the process of generating specific visions and objectives for the future currently lacks guidance. This project seeks to bridge this gap by integrating futuring methods, creativity, co-creation, and Artificial Intelligence (AI). AI has the ability to enhance the abilities of people in this process. Through this innovative approach, the aim is to empower collective envisioning and goal-setting, enabling individuals and organizations to actively explore and shape desirable futures. More positive images of the future can motivate the broader collective as well.
The initial phase of the project involved an extensive exploration of existing literature on co-creation, future visions, the impact of positive future visions, creativity, and AI. In-depth interviews provided valuable insights from each distinct aspect: creating art with AI, facilitating co-creation, and developing future visions. Furthermore, a cultural probe shed light on the aspects individuals require more guidance in when contemplating the future. The findings underscored the relative ease with which people envisioned negative futures, and potential future inventions, while demonstrating a lesser emphasis on the underlying rationale and societal value of these inventions. Additionally, the perceived difficulty level varied considerably, and the outcomes were diverse. In this regard, the utilization of tools can prove beneficial, facilitating smoother and more efficient conversations while helping individuals initiate and navigate discussions with greater ease.
The accumulated basis of knowledge formed a buildable concept, applying the structure of the creative facilitation phases: problem, idea, and solution finding. This buildable concept was tested through four short sessions. Adding and altering its basis to establish the Minimal Viable Method (MVM) and expand to longer sessions. All sessions were conducted in a real setting with a diverse range of topics relevant to the problem owner(s), allowing for continuous improvement and addressing specific research questions during each session. Participants actively engaged in providing feedback and evaluating their experience based on predefined design criteria.
The end result of this project was a Version 1 (V1) design of a toolkit to guide people through a co-creation session using AI to create future visions. The toolkit allows participants to focus on their specific goals and type of futures, it is designed with a facilitator in mind to guide the process. Although, the toolkit includes explanations and guiding signs usable by people without knowledge of these elements. The toolkit includes underlayments, a card deck; a professional and newbie version, different inspiration museum topic sets, and a more elaborate optional manual to guide new users using AI, creating future visions, and vision statements. The toolkit is available through the website: https://future-framing.nl.
This project adds to the current literature by providing a new method to envision the future in co-creation. Evaluation results from these sessions validate the positive impact of the method on participants through specific design criteria. The majority of participants expressed interest in joining another workshop. Additionally, it finds a general interest of people to acquiring skills to use AI. The design goal, to spark empowerment and ownership in participants while creating their own desired future vision in a co-creation session, is successfully implemented with this project. The findings suggest future development of the V1 toolkit and method can further improve experience and outcome. This correlates with future developments with AI, that shape both too.
Architecture and landscape in the urban environment are often the results of a mixture of economic, political, and social demands, and they sometimes grow into mismatched architecture when the demands gradually change over time. Sometimes mismatched architecture brings chaos and confusion, but “…in exchange, it contains a quality of freedom for production” (Kaijima, Kuroda, Tsukamoto, 2006). They are mismatched but fit.
For architectural designs, the question is, how to use the mismatched characteristics to create productive spaces?","Post-industrial; Informal Architecture; Transformation; Urban Agriculture; Reusable; Housing","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","",""
"uuid:ccf7c2a5-931a-446c-9df7-f0d73dc95793","http://resolver.tudelft.nl/uuid:ccf7c2a5-931a-446c-9df7-f0d73dc95793","Bayesian Sensitivity Analysis for a Missing Data Model: Incorporating Covariates via a Cox Model","van Vliet, Christian (TU Delft Electrical Engineering, Mathematics and Computer Science)","van der Vaart, A.W. (mentor); Krijthe, J.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","In problems with missing data, the data are often considered to be missing at random. This assumption can not be checked from the data. We need to assess the sensitivity of study conclusions to violations of non-identifiable assumptions. This thesis performs Bayesian sensitivity analysis for a missing data model with life time outcomes and covariate information. The outcome distribution is modelled through a Cox model, with a beta process prior on the cumulative hazard function. We run experiments in a simulation study to test the performance of the model in scenarios with simulated data of several sample sizes. We show the validity of the model in the context of Bayesian sensitivity analysis, and propose extensions.","Bayesian; nonparametric; Bayesian sensitivity analysis; beta process; Cox model; survival analysis; MCMC; Dirichlet process","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:2497f1ad-206a-4aeb-914d-cecff1ecb851","http://resolver.tudelft.nl/uuid:2497f1ad-206a-4aeb-914d-cecff1ecb851","Life Cycle Assessment of Offshore Low Head Pumped Hydro Storage","Fadul Bonamusa, Mikel (TU Delft Technology, Policy and Management)","Steubing, Bernhard (mentor); Jarquin Laguna, A. (mentor); Delft University of Technology (degree granting institution)","2023","Aiming to comply with the Paris Agreement, the reduction of Europe’s GHG emissions in the energy sector is a must. Due to the intermittency of renewable sources, energy storage technologies are essential to this plan. Offshore Low Head Pumped Hydro Storage (LH PHS) is presented as an alternative to partly solve this problem. Considering that its infrastructure entails a reservoir of a 5km diameter ring in the middle of the sea and needs millions of tonnes of concrete, sand, granite and steel among other materials for its construction; environmental concerns arouse, which this report aims to address.
Information from the Alpheus project about the engineering requirements for an offshore LH PHS plant is used, following ISO 14044 Life Cycle Assessment (LCA) methodology. In this study, the construction, maintenance and operation of an offshore LH PHS plant are assessed, focusing on Global Warming Potential (GWP), Water Use Depletion Potential (WUDP) and Abiotic Depletion Potential for Elements (ADP-E). This is studied with and without the input of electricity, sourcing it from wind or from the Dutch grid mix. Moreover, these results are compared with Lithium iron phosphate (LFP) Batteries and for Wind-Green Hydrogen.
For the construction, operation and maintenance of the LH PHS plant, it is estimated that the emissions would reach 2.8Mt of CO2-eq, 601 million m3 of water and 140.2t of Sb-eq. These emissions are mainly shared between civil and electromechanical infrastructure, the former has more relevance for GWP with almost 56% of the emissions whereas the latter reaches 69% for WUDP and 98% for ADP-E. When electricity is incorporated into the equation and these emissions are translated per kWh, emissions from the generation of electricity exceed 2.4, 5.6 and 1.8 times those emissions from the infrastructure for GWP, WUDP and ADP-E. When comparing LH PHS with other technologies using wind as the only source of electricity production, LFP Batteries outperform LH PHS most of the time for GWP and WUDP, whereas LFP are consistently the worst performer for ADP-E. LH PHS always performs better than Green Hydrogen in all three impact categories.
If emissions reductions are to be achieved in the LH PHS case, the focus should be put on the electricity side: improving the efficiency of the plant, storing only clean energy and improving the performance of renewables. Finally, there are other considerations to LH PHS implementation that should be taken into account that are not assessed in this report. The use of materials and their circularity must be considered, as well as the social ramifications of projects like PHS and mining materials for Li-ion Batteries. Furthermore, impacts on biodiversity must be addressed and its damages should not only be minimized but restored or even improved.","LCA; Life Cycle Assessment; Life Cycle Analysis; Life-cycle Assessment; Life cycle Assessment; life cycle assessment; life cycle analysis; life cycle assessment (LCA); LH PHS; Low Head; Low Head Pumped Hydro Storage; Pumped Hydro Storage; Sustainability","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:eeb957a4-a37c-4bff-9210-7a5907191cad","http://resolver.tudelft.nl/uuid:eeb957a4-a37c-4bff-9210-7a5907191cad","Multi-Objective Optimization of a Grid-Connected PV-Battery-Electrolyzer Fuel Cell Energy System: A Case Study at The Green Village","Maselli, Riccardo (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ziar, H. (mentor); Okur, Ö. (mentor); Li, L. (mentor); Delft University of Technology (degree granting institution)","2023","The transition from a centralized to a decentralized energy infrastructure is one of the most discussed features of the future energy system. With the fast growth of renewable energy technologies, which can be integrated in the built environment and in contexts like small production centers, the development of distributed energy generation and storage systems closer to consumers is expected to play a significant role in driving the change. Within this context, the role of Energy Communities is emerging and is at the center of numerous studies. The Green Village in Delft is developing the 24/7 Energy Lab project, focusing on providing reliable, affordable and clean energy to a small-scale energy community by means of a system composed of solar panels for energy generation, batteries for electrical energy storage, and an hydrogen storage system consisting of electrolyzers, fuel cells and hydrogen tanks for seasonal energy storage.
Previous research has highlighted how an off-grid configuration would result in inconveniently high costs for the community's users, if compared to the average cost of energy in The Netherlands. The aim of this thesis is to study the system in a grid-connected configuration, and in particular to find the optimal sizes of the components in order to achieve the best trade off between three conflicting objectives : minimizing total costs, maximizing self- sufficiency and maximizing reliability. After modeling the system's components and their mutual interactions, the optimization was carried out on MATLAB using a variant of the NSGA-II algorithm, which provides a Pareto Set of equally optimal solutions for the problem. The solutions were then ranked with a Technique for Order Preference based on Similarity to the Ideal Solution (TOPSIS), to assist the decision-making process.
The simulations have determined that an installed capacity of 85.41 kWp (composed of 234 panels of 365 Wp each) results in the most effective choice for the solar energy generation, irrespective of the external conditions imposed. The optimal storage capacity, however, results significantly more influenced by factors such as grid imports limitations and price uncertainties. Under the conditions of limited imports from the grid, an optimal capacity of 75 kWh in the form of batteries was found. In general, the study confirms that the adoption of an hydrogen storage system is far from being convenient on a small scale residential level, regardless of the pricing conditions. The research has also posed an accent on the incremented costs incurred to reach full reliability of the system with low values of dependence from the grid, due to the high costs of the necessary storage equipment. Additionally, despite the best solutions found represent the optimal compromises balancing the conflicting objectives, reasonable solutions in terms of costs faced by the Community's users are usually not among the first choices of the ranking algorithm, mainly because they necessitate of at least 50% of the load to be supplied through grid imports.","Energy Community; Multi Objective Optimisation; PV system; Hydrogen storage","en","master thesis","","","","","","","","2025-09-06","","","","Electrical Engineering | Sustainable Energy Technology","24/7 Energy Lab",""
"uuid:99d8be62-a359-4015-9094-6fd8f65b4657","http://resolver.tudelft.nl/uuid:99d8be62-a359-4015-9094-6fd8f65b4657","Techno-economic analysis of green hydrogen storage and transportation pathways","Kogekar, Parimal (TU Delft Electrical Engineering, Mathematics and Computer Science)","Somoza Tornos, A. (mentor); Delft University of Technology (degree granting institution)","2023","To mitigate climate change and achieve global greenhouse gas emission targets, a great deal of effort is taking place in developing low-carbon solutions in the energy sector. Energy storage technologies are expected to play a crucial role in ensuring energy security by complementing intermittent renewable energy technologies. Green hydrogen is viewed as a promising energy storage solution considering its versatility. However, the lower volumetric energy density at ambient conditions is one of the drawbacks of hydrogen when its storage and transportation are considered. To tackle this problem, multiple promising options are reviewed in this study. This report examined the physical transportation of hydrogen in the form of compressed gas and liquified hydrogen, as well as the storage and transportation of it in chemical form. The latter category includes hydrogen carriers, such as green methanol, green ammonia, and LOHCs. Physical and chemical properties of the said hydrogen vectors, their dehydrogenation and the hydrogenation processes, as well as assumptions related to transportation are investigated in this report. Moreover, different international transportation routes for the import and export of the hydrogen are also considered. The UniSim Design R471 program is used to simulate the hydrogenation and dehydrogenation of the hydrogen vectors in order to determine the precise energy consumption, raw material needs, carrier production rate, etc. The total capital investment cost for each vector is calculated based on the simulations. A MATLAB model is built using the information from the literature research, the outcomes of the UniSim simulations, and the findings of the economic analysis. The MATLAB model's objective is to determine the LCOH for each hydrogen carrier supply chain. The model's finding show that supply networks using compressed hydrogen gas have the highest LCOH, whereas ammonia chains have the lowest LCOH, making them the most economical option. CO2 emission analysis showed that toluene - MCH chain has the highest CO2 emissions. However, both the LCOH and CO2 emissions can be significantly reduced by electrification of the dehydrogenation process.","","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:51785a1a-59cc-49ac-93f1-158959034aa3","http://resolver.tudelft.nl/uuid:51785a1a-59cc-49ac-93f1-158959034aa3","Examining the Future Environmental Impacts of “Clean” Fuels for Generating Heat","Botter, Chan (TU Delft Technology, Policy and Management)","Ibarra Gonzalez, P. (graduation committee); Guinee, J (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","b>Goal and Scope. The decarbonization challenge is especially dire for the energy-intensive industries which worldwide account for a large sum of carbon dioxide emissions. Heat is an essential product demanded by the energy-intensive industries and is currently produced through extensive use of carbon-based fuels including coal, natural gas and oil. Considering the need to meet (inter)national climate targets, these carbon-based fuels must be replaced by cleaner (i.e. less greenhouse gas emitting) alternatives. Biomass, when sourced sustainably, is one such mature alternative yet is still carbon based. For the energy-intensive industries, three of the main non-carbon-based options are: electrification, hydrogen and Iron Fuel Technology™. This research explores the environmental performance of these three alternatives in comparison to natural gas, biomass and each other in two potential future scenarios when implemented in an energy-intensive industry. It also proposes potential ways to reduce environmental impacts and highlights any foreseen (environmental) implications that may occur before large-scale implementation takes place.
Method. The use of ex-ante life cycle assessment allows for the prospective exploration of an upscaled electrification, hydrogen and Iron Fuel Technology™ product system. The life cycle inventory database ecoinvent version 3.9.1 forms the basis for this research in which technology specific processes are modelled by data collected through the inclusion of domain experts and technology developers as well as the completion of desk research. The life cycle impact assessment is based on the European Commission’s proposed Product Environmental Footprint and the subsequent standardized set of impact categories included in this research are climate change; ozone depletion; human toxicity, cancer; human toxicity, non-cancer; particulate matter; ionizing radiation; photochemical oxidant formation; acidification; eutrophication, terrestrial; eutrophication, freshwater; eutrophication, marine; ecotoxicity, freshwater; land use; water use; resource use, minerals and metals; and resource use, fossils. Following the life cycle impact assessment, a contribution analysis was completed which highlighted multiple key parameters within processes that were subsequently tested in sensitivity analyses.
Results. This research confirms that electrification, hydrogen and Iron Fuel Technology™ can limit climate change impacts in comparison to natural gas, thereby helping decarbonization efforts and achieve climate targets. From a solely climate change perspective, sustainably sourced biomass is a better alternative to electrification, hydrogen and Iron Fuel Technology™, but it has limited upscaling potential due to the finite availability of sustainable biomass. Considering other impact categories including acidification, freshwater ecotoxicity, marine, terrestrial and freshwater eutrophication, human toxicity cancer and non-cancer, mineral and metal resource use and particulate matter, electrification, hydrogen and Iron Fuel Technology™ all exert more pressure on the environment than steam produced through natural gas. Most of the exerted pressures as seen in the electrification, hydrogen and Iron Fuel Technology™ product systems stem from the assumed electricity mix and the expansion of the electricity network. As a result, some of the impacts, like those associated with expanding the electricity network, are inherently tied to the energy transition.
The comparison between electrification, hydrogen and Iron Fuel Technology™ showed that Iron Fuel Technology™ using scrap iron and waste hydrogen generally performed best except for the impact category human toxicity, cancer. Only when using a fully wind-based electricity mix is Iron Fuel Technology™ outperformed by direct electrification for climate change, fossil resource use, human toxicity cancer, ionizing radiation, land use, and particulate matter. Hydrogen, due to its large electricity demand for water electrolysis, consistently performed the worst throughout all investigated cases and can only be competitive to electrification and Iron Fuel Technology™ based on waste hydrogen when water electrolysis is completed with a fully wind-based electricity mix. The same applies for Iron Fuel Technology™ using green hydrogen.
Discussions. This research has made the first comparison of electrification, hydrogen and Iron Fuel Technology™ considering its implementation in the energy-intensive industries in comparison to natural gas and biomass as well as comparing them among each other. It thereby investigated potential environmental impacts in a possible 2030 and 2050 Dutch future identifying hotspots and key parameters that are highly influential in determining environmental performance through performing ex-ante LCA. It stands out as it investigates a broad range of impact categories when most reviewed studies only focused on a set of impact categories. Consequently, the outcomes of this research can be used to guide research and development, monitor potential problem areas and be used as the basis for evaluation and further research.
However, the limitation of ex-ante life cycle assessment is that it is exploratory in nature and subject to large uncertainties. As “what if” scenarios are examined, this research does not provide any conclusive results and can only be used to provide insights into potential environmental performances of alternatives, to identify environmental hotspots, for debate and to make recommendations for research and development activities. Large uncertainties in the research stem from temporal mismatches in foreground ex-ante data and background dated data, unquantified characterization factors, and slight inconsistencies regarding system boundaries. The largest uncertainty yet may be the development of each product system in time as ex-ante LCA examines and compares a potentially upscaled emergent technology to a mature technology in the present which may also not necessarily be a fair comparison. The underlying availability and quality of the data in this research reflects this. Even though comparison is made at the same assumed technological readiness level, the underlying data of the mature technology is proven to be possible whereas the data of the emerging technologies is assumed based on expected results. The availability and quality of the used data is therefore drastically different and may lead to arbitrary results.
Recommendations. The results of this research suggest reducing material usage, making manufacturing processes of required background products more sustainable (e.g. copper) and decreasing electricity consumption are the most effective ways to limit environmental impacts in the electrification, hydrogen and Iron Fuel Technology™ product systems. Specifically for Iron Fuel Technology™ it is further recommended to source waste hydrogen, produce initial iron fuel from scrap, further improve the circularity of iron fuel and to use ship transport over truck transport whenever possible. For hydrogen, key recommendations include keeping hydrogen losses to a minimum, and technologically improving the electrolyzer and boiler efficiencies. This research also highlighted that differences in case application and assumptions can influence the environmental performances significantly. As a result, it is strongly recommended to further examine electrification, hydrogen and Iron Fuel Technology™ for various end-use applications and under different scenarios.
Conclusions. The results of this research suggest electrification, hydrogen and Iron Fuel Technology™ could all reduce climate change impacts in both 2030 and 2050. However, it is also noted that they are not fully clean alternatives, i.e. that not all environmental impacts are lower in comparison to carbon-based fuels. The completed ex-ante LCA showed higher environmental impacts for multiple impact categories, among others: acidification, freshwater ecotoxicity, freshwater eutrophication, human toxicity cancer and mineral and metal resource use among other impact categories. Some of these impacts are a direct result of the assumed 2030 and 2050 scenarios reflecting the transition away from carbon-based fuels sketched in this research. The environmental impacts related to copper associated with an expansion of the electricity network is an example of this. To limit environmental impacts, this research suggests a multitude of redesign recommendations for electrification, hydrogen and Iron Fuel Technology™, primarily focused on increasing efficiencies, limiting electricity demand and decreasing emissions of background processes. Though implementing a set of these redesign recommendations helped decrease environmental impacts for electrification, hydrogen and Iron Fuel Technology™, it was shown to be insufficient to reduce environmental impacts in all impact categories to below the environmental impacts of carbon-based fuels or generally alter the environmental performance of electrification, hydrogen and Iron Fuel Technology™ in comparison with one another. The results therefore indicate that Iron Fuel Technology™ based on scrap iron and waste hydrogen is most preferable among the clean alternatives in decreasing climate change impacts while limiting other environmental impacts in as far as possible. However, it must still be noted that dependent on the specific case, the assumptions used, and which impact categories are prioritized, which technology is best suited may be subject to change.
Perspectives. This research suggests that electrification, hydrogen and Iron Fuel Technology™ can help alleviate climate change impacts to varying degrees depending on the scenario assumed, but that tradeoffs of other environmental impacts will likely arise in the transition away from carbon-based fuels. It should therefore be cautioned that a sole emphasis on tackling climate change impacts, specifically decreasing CO2 emissions, may result in overlooking potential side effects that may be environmentally harmful. The environmental impacts of any technology must therefore always be holistically examined over multiple impact categories.
This method came to be by uniting a multitude of factors, namely: The Flying-V aircraft, galley design, traditional co-creation methods and the immersive potential of XR technology. The choice to work with the Flying-V aircraft as a case study was intentional. The absence of a predefined galley design presented a unique opportunity to develop and test the XR+ method.
Design, in this study, leans heavily on the collective problem-solving capabilities brought to the table by participants when engaging in co-creation. This is key for addressing issues too complex for individuals alone. It resonates with the insights of Sanders & Stappers (2006), who highlighted a shift in the design process towards collective, future-oriented design practices. Given that XR has gained significant popularity in recent years and found its way into the design world, it seems pertinent to explore how this technology can be combined into a method with these collective, future-oriented design practices. The first step for this was taken by DLR in a paper exploring XR for the cabin design process.
The journey towards a design method combining co-creation and XR were significantly influenced by collaborating with KLM cabin crew. In total, five co-creation workshops were held, each dedicated to galley design for the Flying-V, and each serving as an iteration to refine and integrate the XR+ approach within co-creation methodologies.
The workshops highlighted the unique strengths of both traditional co-creation and XR. As part of traditional co-creation, participants found physical elements, like blocks, easy to use and effective for initiating conversation and generating ideas. Once these initial concepts were built using 1:20 scale physical objects, the immersive environment of XR was introduced to further explore these concepts on a 1:1 scale. After immersing in XR, practical aspects of the initial physical design were brought to the forefront. Additionally, XR created a new spark of engagement and new creative possibilities were opened up, especially when participants took turns being in XR, creating a sense of curiosity in the non-immersed participants and wanting to be part of the immersion. Taking turns immersing led each participant to build on to the idea of the previous participant, each participant ‘hitchhiking’ onto each others’ ideas.
It was found that where idea generation stops in the physical world, it continues in the virtual world—highlighting the unique strengths of both traditional co-creation and XR.
The conclusion of this research presents a guide for DLR and other design stakeholders to integrate XR+ into their design processes and to use as an add-on to their current practices.
Integrating traditional co-creation elements with XR stimulates ideation, deepens understanding of user needs, and leads to the discovery of innovative design solutions.
Literature points to a wide range of positive as well as negative influences on the
emotional well-being of these siblings taking over care responsibilities at home, namely anxiety, depression, behavioral and social issues, but also strengths of character, such as empathy and kindness (Giallo et al., 2014, Martinez et. al, 2022, Milevsky & Singer, 2022).
This project focused on the personal experiences of siblings at a young age (6-12 years) growing up alongside a child with special needs. By conducting literature research, context mapping according to Sanders & Stappers (2012) with children, and
supplementary interviews with parents the following underlying core values of siblings have been identified: 1. Feeling understood, 2. The need for adult attention and feeling cared for, 3. Just being themselves. Briefly, growing up with a special needs sibling comes along with the challenge of truly feeling and acting like a child.
These insights resulted in the design vision and goal for Ontzorghuis, a Dutch organization offering workshops, retreats and reflective tools for special needs families: Designing for playful and engaging experiences to support siblings (age 6 -12) to assume their role as a child by empowering and facilitating the sibling through interpersonal connections. Through a research-through-design approach (Stappers & Giaccardi, 2017) the essential interactions of playfully sharing secrets in the form of affirmations and personal wishes with each other, were identified. The final design proposal resulted in the storytelling tool “Robin” to create a special bond between siblings and their parents. The playful tool enables children and their parents to create secret and surprising stories together that may involve personal needs or dreams of the child covered in the personality of Robin. The storylines are built upon research insights and the final story aims to serve as a conversation starter to understand the child’s perception and wellbeing of the current situation.
A limitation of this project is that within the scope of this master thesis the final design proposal could not be evaluated with siblings in order to try out the interactions, nor the intended context, the sibling’s home. Therefore, it is recommended to further evaluate the different parts of the design proposal in collaboration with siblings, their parents and sibling experts to prove the effectiveness in diverse contexts in order to create space to feel like a child.","special needs families; social design; wellbeing","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:3964513a-1bc9-48f9-9ba9-0aa40fa2cf48","http://resolver.tudelft.nl/uuid:3964513a-1bc9-48f9-9ba9-0aa40fa2cf48","Development of 2D subsurface site characterization by the fusion of geotechnical and geophysical data","Zeng, Sijun (TU Delft Civil Engineering & Geosciences)","Hicks, M.A. (mentor); van den Eijnden, A.P. (graduation committee); Rongier, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Site characterization is indispensable in the design phase of geotechnical engineering projects. As a key factor in site characterization, the characterization of soil undrained shear strength (Su) is always in the spotlight. Various methods, including laboratory and in-situ tests, have been developed to measure Su. Nevertheless, these measurements are usually sparse at a specific site due to limited time and budget. To enhance Su characterization, other relevant geotechnical investigation data (e.g., cone penetration test data), can be transformed into Su through empirical correlations (referred to as transformation models) to provide more information on Su. Considering this process introduces the transformation uncertainty and a developed transformation model may not be fully applicable to a local site, probabilistic transformation models (PTMs) have been developed to characterize soil parameters in a site-specific way and quantify the uncertainty to augment engineers’ judgement. However, few PTMs incorporate the spatial correlation of soil parameters, especially in the horizontal direction. This limitation hampers the ability to probabilistically characterize Su in 2D/3D space, which is significant in practice. Moreover, estimating the horizontal spatial correlation from pure geotechnical data is challenging because they are typically sparse. In light of these circumstances, this thesis first proposes a PTM-based scheme to probabilistically characterize Su in 2D. Then it is proposed to integrate geophysical data into the scheme. Compared to typical geotechnical investigations, geophysical surveys provide abundant 2D/3D measurement data, which are often correlated with geotechnical data. The fusion of these two data sources benefits characterizing geotechnical data including Su. Particularly the horizontal spatial correlation of Su 2D domain can be estimated from the abundant geophysical data. To be specific, a well-established PTM, MUSIC-X, by which measured Su and other relevant soil parameters can be used to preliminarily characterize Su, is first adopted. In this case, characterization specifically refers to simulating 1D vertical profiles of Su. It is then combined with the intrinsic collocated co-kriging (ICCK) model, by which primary data (i.e., Su) in 2D or theoretically 3D space can be estimated through linearly combining the preliminarily characterized Su from MUSIC-X modelling and observed secondary data (i.e., geophysical data). The secondary parameter considered in this study is interval velocity (Vint). The scheme, to combine the MUSIC-X and ICCK model to estimate Su in 2D space by the fusion of geotechnical and geophysical data, is applied to a real case study at Hollandse Kust (west) wind farm zone to demonstrate its effectiveness. The results indicate that such a scheme can robustly estimate a 2D cross section of Su with quantified uncertainty. A comparative analysis is conducted between the proposed scheme and two alternatives, one lacking preliminary Su characterization (i.e., without MUSIC-X modelling) and one lacking geophysical data, confirming that the proposed scheme has a relatively high accuracy in the estimated cross section. The research reveals it is sensible to combine MUSIC-X and ICCK for 2D Su characterization and brings a new perspective that integrating geotechnical and geophysical data is promising to characterize soil parameters in higher dimensional space.","Site characterization; Data fusion; Geotechnical and geophysical data; Probabilistic transformation model; Intrinsic collocated cokriging method","en","master thesis","","","","","","","","","","","","Geo-Engineering","",""
"uuid:20f83703-dd02-4cdd-9dbb-c9d678c67b99","http://resolver.tudelft.nl/uuid:20f83703-dd02-4cdd-9dbb-c9d678c67b99","SDAE-A toolkit for developing remote patient monitoring in social, daily activity and related emotion: A study in colorectal cancer patients during the follow-up phase in the Netherlands","SUN, Yingtao (TU Delft Industrial Design Engineering)","Goossens, R.H.M. (mentor); Bourgeois, J. (graduation committee); Jung, Jiwon (graduation committee); Delft University of Technology (degree granting institution)","2023","Colorectal cancer is the third most common cancer disease worldwide with highly fatal. It has accounted for 10% of the global cancer incidence (Bray et al., 2018). In the Netherlands, patients who have undergone treatment and surgery for colorectal cancer typically enter a five-year follow-up phase. During this period, patients often experience long-term health issues. Colorectal cancer not only has physical implications for patients but also significantly affects various aspects of their daily lives, including their social networks and mental health (Arndt et al., 2006). On the other hand, remote patient monitoring (RPM) can enhance efficiency and improve patient care delivery. However, there has been a noticeable lack of research and design focusing on the inclusion of social and mental health monitoring within these systems. According to the World Health Organization, health should include “Physical, Social and Mental” aspects. Therefore it’s important to focus on how to develop remote patient monitoring in these aspects.
The project first used a patient community journey map (Jung, 2023) to summarize the experiences of colorectal cancer patients from a large number of posts on an online communication platform and invited medical experts from the Netherlands to a co-creation session to validate the map and explore research directions.
The final research question was defined as: How to develop a remote patient monitoring system for patients’ social and daily activity and related emotion when they are away from the hospital?
The research question was followed by user research. Patients received diary studies, including finishing a probe based on their activities. Upon completion of the diary studies by the patients, there is a follow-up interview to obtain patients' feedback and sentiments regarding the probe. Subsequently, the insights from the user research act as input during a collaborative designers’ brainstorm session. Finally, these research findings were exported into the initial guidelines for developing the RPM in social, daily activity and related emotions for colorectal cancer patients. After evaluations, the final guidelines for colorectal cancer patients’ RPM and a toolkit summarizing the ""develop"" and ""deliver"" phases were presented. This toolkit allows future researchers to develop RPM in social and daily activity and related emotion for patients in other contexts besides colorectal cancer patients, supporting the remote patient monitoring system’s evolution of physical, social and mental health.","Remote Patient Monitoring; Quality of Life; Design Toolkit; Guidelines; Colorectal Cancer","en","master thesis","","","","","","","","","","","","Strategic Product Design | Medisign","",""
"uuid:c4482f3e-f54c-4439-847c-b3aeaef15c99","http://resolver.tudelft.nl/uuid:c4482f3e-f54c-4439-847c-b3aeaef15c99","DFT calculation of NMR parameters for the K doped CsPbF3 solid-state ionic conductor: A small step toward a green vigor","Zhang, Yixuan (TU Delft Mechanical, Maritime and Materials Engineering)","Braga Groszewicz, P. (mentor); Sluiter, M.H.F. (mentor); Heringa, J.R. (mentor); Vasileiadis, A. (mentor); Delft University of Technology (degree granting institution)","2023","The lack of a decent solid-state ionic conductor has hindered the large-scale application of solid-state batteries, which are considered to be the potential game changer for energy transition. The recently reported K doping CsPbF3 material system has shed light on this problem. This material possesses high ionic conductivity and a wide electrochemical stability window at the same time, making it a highly promising candidate for the next-generation fluoride ion solid-state battery. In order to have a clearer understanding of the structural information of this material and to find out what contributes to the outstanding properties it demonstrates, this thesis project uses Density functional theory(DFT) to calculate its ground state properties. Meanwhile, to better understand its local structure, the Nuclear magnetic resonance(NMR) parameters for this material are also calculated using DFT and analyzed in detail. Results generated from the calculations suggest that the coulombic interaction can be utilized to explain the structural deformation upon doping K into the CsPbF3 system. Additionally, the analysis of the optimized cell structure indicates a tendency for the material system to go through a cubic to tetragonal phase transition, which reproduces the trend observed experimentally and offers a potential explanation for the driving force behind it. Further investigation using Nudged elastic band calculations(NEB) also reveals a relatively low energy barrier for vacancies to diffuse in the crystal structure, which provides insight into the high ionic conductivity of this material. The findings manifested in this thesis project could potentially offer improvement directions for the K-doped CsPbF3 system and contribute to the development of other solid-state ionic conductors.","DFT; NMR; Solid state electrolyte; Solid state battery; Materials","en","master thesis","","","","","","","","2024-12-31","","","","Materials Science and Engineering","",""
"uuid:b4ab11d7-8977-4c9c-a26f-ccd9131c61ec","http://resolver.tudelft.nl/uuid:b4ab11d7-8977-4c9c-a26f-ccd9131c61ec","Vertical Extension of Council Estates: An effective method to optimize timber top-up designs, considering structural limits and environmental impact","Bor, Hanco (TU Delft Civil Engineering & Geosciences; TU Delft Materials- Mechanics- Management & Design)","Schipper, H.R. (mentor); Schuurman, A.C.B. (graduation committee); Jonkers, H.M. (graduation committee); Freriks, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The challenge of global warming is an increasing concern of the 21st century. The concept of sustainability is therefore considered of high importance. A major problem of the Dutch government is the growing housing demand. Also after the WWII, the Netherlands faced a housing crisis, resulting in about 847,000 council houses. The massive number of flats combined with the aforementioned deficiency of houses and the climate problem prohibit large scale demolition and reconstruction, as this would lead to both a further short-term deficit of houses and emission of greenhouse gasses. A timber top-up on council estates constitutes a sustainable approach, which accounts for densification and the huge building stock in city centres. Therefore, this research is aimed to develop a method to optimize timber top-up designs for council estates, considering structural limits and environmental impact.
It is found that the building period impacts the structural variety in council estates. Hence unfortunately no universal structural lay-out can be defined. Based on a norm comparison, two flowcharts are produced to predict the overcapacity of distinct council estates. A modular cross laminated timber (CLT) unit and a non-modular timber frame extension (Dutch: houtskeletbouw) are considered. Material minimalization formed the decisive criterion to determine the most effective variant. Therefore, a 3-step method is developed, which considers the flat characteristics, structural overcapacity and minimalization of material use.
To conclude, it is generally not possible to tell a priori which of the two considered timber top-up methods is the most effective for a particular case. Further, it can be concluded that timber top-ups form a sustainable replacement for combined demolition and reconstruction of buildings. Moreover, these facilitate the realization of a substantial number of houses. Therefore, a vertical extension of council estates forms a sustainable solution to the current housing demand in the Netherlands.
Background
This master’s thesis focuses on developing a game that utilizes ChatGPT and the Sciffle Box to guide players in discussing their understanding of corporate values and connecting more deeply with corporate values based on their own human values. Values are abstract and challenging to comprehend. In corporate value training, personal values are often disregarded, resulting in a reduced impact. This project aims to unpack these abstract human values, rendering them more accessible for understanding and communication. By integrating ChatGPT and the Sciffle Box, value communication can become more playful and immersive. Through the connection to their own values, the design has the potential to create a greater impact on employees, fostering a deeper connection with their corporate values.
The study employs a five-stage methodology to investigate the symbiotic potential of the Sciffle Box, human values, and value communication through gaming. This journey involves a literature review focused on understanding values and corporate values, along with research on the Sciffle Box and its contextual use. Three co-design sessions are conducted with master students at Delft University of Technology, identifying various contexts using a value based Sciffle Box game. Insights are synthesized into an opportunity map, followed by iterative design of five prototypes, culminating in the deployment of the most effective design. The final prototype is built with Unity on the Sciffle Box, evaluated by seven staff of Ijsfontein. The final design mapped out five different working dilemmas that are merged in five narratives presented by non-game players played by ChatGPT. Each dilemma has a behaviour goal which matches the corporate values. Players are divided into four teams; each team will have five different human values cards that can only be used once. By applying those values cards, players need to discuss with their team on what is their understanding of corporate values. In the end, each team will present their solution and vote for the best value that can solve the dilemma and match with corporate values. Non-game players will provide feedback based on the value that got the most votes.
The integration of the Sciffle Box and ChatGPT elevates user engagement and comprehension of values. The application of different value theory, demonstrated in the selection and categorization of values, ensures a comprehensive spectrum is represented, fostering nuanced, meaningful discussions among participants. The utilization of ChatGPT showcases AI’s potential in enhancing value-focused game play, generating contextually relevant feedback, and enabling valuable, interactive dialogues. Moreover, the project bridges the gap between personal and corporate values found in conventional corporate training, prompting users to align their individual values with corporate ones, a catalyst for behavioural changes that harmonize personal aspirations with organizational objectives. While limitations do exist, such as the finite range of value cards and the reliance on ChatGPT’s feedback accuracy, the project’s key findings underscore substantial potential for the Sciffle Box as a tool for effective value communication and awareness in a corporate context. Further research can be done to explore how to use AI technology in various ways (text/ audio/video) in corporate training serious games, how to stabilize its performance, and support meaningful conversations. Simultaneously, additional research can discover more value structures that can support value communication in context.","ChatGPT; Human Values; Serious Game","en","master thesis","","","","","","","","","","","","Design for Interaction","","52.3676° , 4.9041°"
"uuid:ad82d212-0dc9-4b1e-8d21-76965fbfe853","http://resolver.tudelft.nl/uuid:ad82d212-0dc9-4b1e-8d21-76965fbfe853","Uncovering Hidden Flows of Plastic: Landscaping the Plastic Waste Management System of City in the Global South - A Case Study of Chennai, India","Marriyapillai Ravisandiran, Sowmya (TU Delft Technology, Policy and Management)","Cucurachi, S. (mentor); Abrahami, S.T. (graduation committee); Delft University of Technology (degree granting institution)","2023","Mountains of solid waste in landfills and rivers of plastic in oceans have become a symbol of modern society, more so in developing countries.
Asia is responsible for 71% of the world’s mismanaged plastics (Neo et al., 2021) with India ranking 12th in the world (Shanker et al., 2022). In contrast, several studies have determined that India has one of the world's highest plastic waste recycling rates, between 40-60% (Shanker et al., 2022). These two seemingly contradictory pictures make India, an interesting choice for a case study on plastic waste management in the developing context.
There are unrecognised warriors in the Global South who have been instrumental in achieving high plastic recycling rates. These are the informal waste workers who work outside the mainstream formal system and collect recyclable material from households, garbage dumps, or even landfills directly. In fact, India owes its successful plastic recycling rate in part to the informal sector.
While there have been studies to quantify the plastic waste management system of India, there is little known about the extent of the contribution of the informal sector to plastic recycling due to the ‘invisible’ nature of its operations.
This study attempts to expand the existing body of knowledge on the contribution of informal sectors in achieving high plastic waste recycling rates in India, by focusing on the test case of the city of Chennai in South India.
In that regard, this paper aims to address the following two sub-research questions related to the plastic recycling value chain: (1) What are the material flows of household plastic waste along the formal and informal recycling value chain of plastic waste in Chennai, and where do exchanges between the two sectors occur? (2) What are the data gaps in estimating the material flows, and the barriers and challenges in building a comprehensive MFA of plastic waste in the developing context?
To answer the first question, the tool Material Flow Analysis (MFA) is used to estimate the recycling value chain of plastic waste generated in Chennai. The plastic waste flows from the stage of waste generation in households to End-of-Life management are covered. The interplay between formal and informal waste sectors is included wherever present. To obtain data for the MFA model, secondary data from peer-reviewed literature, and other literature like reports, government publications, etc. are combined with primary data collected via field visits and interviews with experts.
Data unavailability is one of the biggest barriers to building a comprehensive MFA of plastic waste management system in Chennai, particularly the contribution of the informal sector. Thus, qualitative data obtained from the interviews about the barriers to data collection and the social issues that keep the system hidden from the outside is used to discuss the second research question. Finally, possible solutions to circumvent these issues are explored to promote the flow of information among internal and external stakeholders to envision a more effective and fair plastic waste management system.
The study highlights the importance of involving informal waste pickers in the plastic waste management process, as they play a significant role in achieving a circular economy through recycling plastic waste. By recognizing the contributions of the informal waste sector, this study also aims to improve the lives of waste pickers and promote their inclusion in the formal waste management sector.","material flow analysis; Informal Waste Sector; plastic; content analysis","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:713d329b-cd60-4620-ac79-9d34a3d9d33e","http://resolver.tudelft.nl/uuid:713d329b-cd60-4620-ac79-9d34a3d9d33e","An Assessment of Predictive Models for Operational Management of a Reservoir in a Data-Scarce Basin: A Case Study of the Black Volta Basin","Kasteel, Max (TU Delft Civil Engineering & Geosciences)","van de Giesen, N.C. (mentor); Abraham, E. (mentor); Hrachowitz, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Bui Dam, the second-largest hydropower dam in Ghana, plays a significant role in the sustainable energy mix of the country. It is managed by the Bui Power Authority (BPA) and has a capacity of hydro-clean generation of 404MW, contributing to 17% of the country’s total electricity generation. However, decision-making at the dam lacks the use of predictive models and meteorological measurements. This can lead, in the case of perceived flooding risks and high dam water levels, to valuable water being spilled and endangering the downstream areas. Balancing the tradeoff between energy production and safety can be effectively achieved by implementing predictive models that anticipate peak flows in advance.
Since its commissioning in 2013, the Bui Dam has experienced two instances of emergency spillage, resulting in significant financial losses, property destruction, and displacement of downstream communities. Currently, the reservoir management decision-making process uses two discharge stations upstream, with one of them yielding some unreliable outcomes for high flows. Therefore, it is crucial to prioritize the analysis and updating of rating curves to ensure accurate forecasting.
This research aims to address these limitations by recalibrating the rating curve using the reservoir balance in a conservative manner, i.e. leaning on the safe side to avoid overestimation. Additionally, a conceptual, semi-distributed model was developed simulating high flows, specifically focusing on the years 2019 and 2022 when spillage events occurred. Five different hydrological conceptual models, with three different structures: single, serial, and parallel structures, were tested. The serial model yielded the best results. Then the Black Volta Basin was divided into five sub-catchments, and each sub-catchment was lumped. In the absence of discharge data for the upstream sub-catchments, remote sensing data from GRACE and satellite altimetry (3 virtual stations with data from 2016 to 2022) were used to impose restrictions on the feasible model parameter sets, thereby improving accuracy.
The final model output was calibrated using discharge data obtained from the recalibrated rating curve, along with satellite altimetry data. In the calibrated benchmark case, the model effectively reproduced daily river flows, demonstrating an optimum Nash-Sutcliffe efficiency (NSE) of 0.85 for the period of 2018 to 2022. Subsequently, the model underwent extensive testing under various conditions, including an independent time period without recalibration, different precipitation input sources, transitioning from actual evapotranspiration (AET) to potential evapotranspiration (PET) input, and a change in the testing discharge location. Throughout these testing phases, the model consistently produced favorable results, with NSE values ranging from 0.74 to 0.86.
Furthermore, the model was tested for its progressive predictive capability in simulating the unexpected peak inflows that led to the spillage event in 2019, utilizing iv only precipitation data from the TAHMO precipitation stations, which are openly accessible with near-live timing. The model successfully predicted the occurrence of the large peak inflow, on October 22nd, which ultimately caused the spillage. The model anticipated the occurrence of the ”unexpected” second peak, to some extent, as early as October 12th, providing an 11-day predicting window.
Overall, this research enhances the understanding of the Bui Dam system by implementing a recalibrated rating curve and developing a conceptual model that incorporates remote sensing data. The results demonstrate the model’s capability to simulate past events accurately and predict future inflow patterns, thereby providing valuable insights for effective dam management and spillage prevention.
One significant discovery regarding the character of the Black Volta River at the Bui Dam is the limitation of the prediction period to a strict maximum of two weeks. While the model proves effective within this time-frame, it is advisable for future research to consider incorporating weather predictions to extend this window further. Doing so would enhance the model’s forecasting capabilities and provide even more valuable information for dam operators and decision-makers.","Black Volta; Bui dam; hydrologic modeling; Spillage; inflow forecasts; Ghana; Burkina Faso; Predictive models; Flooding risks; TAHMO; Reservoir management; Conceptual model; Satellite altimetry; SUPERFLEX","en","master thesis","","","","","","","","2024-02-01","","","","Water Management","TEMBO","8.278927000475697, -2.235876000369147"
"uuid:bfd42da7-b218-4ced-bd8f-7269a036c39b","http://resolver.tudelft.nl/uuid:bfd42da7-b218-4ced-bd8f-7269a036c39b","Modeling of multi-rotor wind farms","Birnie-Scott, Yann (TU Delft Aerospace Engineering)","Allaerts, D.J.N. (mentor); van der Laan, Paul (mentor); Baungaard, Mads Christian (graduation committee); Østerlund, Mikkel Kiilerich (graduation committee); Delft University of Technology (degree granting institution); Technical University of Denmark (degree granting institution)","2023","The recurred idea of developing multi-rotor wind turbines has led to the need of more accurate surrogate wake models which allow for a fast annual energy production (AEP) calculation and further understanding of the aerodynamic power losses of multi-rotor wind turbines.
The present thesis develops a surrogate wake model of a multi-rotor-two turbine validated against computational fluid dynamics (CFD) simulations of type RANS-AD. The outcome is a superposition model of an analytical representation of the wake which base function coefficients are stored in look-up tables as a function of the wind inflow conditions affecting the turbine. The derived surrogate model is able to predict the overall wind farm efficiency with more than 90% accuracy while compared to RANS-AD models.
Towards the end of the thesis, a comparison between a single-rotor wind farm of 18 V29 turbines and a multi-rotor wind farm composed by nine 2R-V29 turbines (hypothetical turbine) is evaluated through RANS-AD simulations within the same wind-farm area. The energy ouput showed to be highly dependent on the wind-farm geometry, and the wind direction average suggest that 5% more energy yield is obtained from the multi-rotor-farm for velocities below rated speed.","RANS-AD; surrogate wake model; LUT; PyWakeEllipSys; multi-rotor wind turbine","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:f2d69784-54bc-4020-9276-626689473134","http://resolver.tudelft.nl/uuid:f2d69784-54bc-4020-9276-626689473134","Prediction of the clinical outcome of NMIBC using Artificial Intelligence","Frassini, Emanuele (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Gijzen, M.B. (mentor); Khoraminia, Farbod (graduation committee); Delft University of Technology (degree granting institution)","2023","In our research, we have made a significant advancement in predicting the clinical outcome of high-risk non-muscle invasive bladder cancer (HR-NMIBC) by combining clinicopathological data with image-related features. This integrated approach has shown remarkable improvements in the accuracy of artificial intelligence techniques for outcome prediction.
We developed a novel methodology that effectively combines information from cell nuclei per patient, resulting in enhanced classification accuracy. By integrating clinicopathological data with image-related features extracted from medical imaging, we demonstrated the power of AI in more accurately predicting clinical outcomes for HR-NMIBC.
Our study provides a comprehensive view of the disease, taking into account both macroscopic characteristics and microscopic details observed at the cellular level. By aggregating information from thousands of cell nuclei for each patient, we transformed raw data into a format suitable for machine learning algorithms, improving the performance of AI techniques in clinical outcome prediction.
However, it is essential to address the potential biases and imbalanced variables present in the dataset. We noticed gender imbalance, differences in tumor size, and uneven grading levels, which may affect the generalizability of our conclusions.
To enhance our analysis, we retrained a convolutional neural network (CNN) using our image dataset, achieving high accuracy in segmenting hematoxylin and eosin stained images and accurately identifying cell nuclei boundaries. Additionally, we implemented an innovative clustering technique called FlowSOM, enabling us to group and classify millions of cell nuclei based on their characteristics, providing valuable insights into cellular heterogeneity.
Our AI models exhibited high performance metrics, particularly the random forest algorithm, which proved most suitable for the task. We also conducted a variable importance analysis, revealing specific cell clusters with significant impact on predicting clinical outcomes, emphasizing the relevance of cellular size and shape in disease progression and treatment response.
A Pseudo Rigid Body Model (PRBM) is developed to calculate the moment-angle behavior of the complete prototype. The optimization of the PRBM is performed using a cost function based on the least square error. The optimization parameters are the stiffness of the torsional bars and their initial prestress. Additionally, a Finite Element Analysis (FEA) is conducted to analyze the behavior of an individual I-profile torsional bar. Experimental validation of both the PRBM and the FEA models is carried out using a prototype. The prototype is constructed based on the results obtained from the PRBM optimization to follow the desired graph closely. The results from both FEA and PRBM align closely with the predicted curves, confirming the effectiveness of the proposed approach.","Compliant Mechanisms; Softening Behavior; Contact-Release; Non-Linear Stiffness","en","master thesis","","","","","","","","2024-07-31","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:adbffaf0-eb60-4ab7-93b0-881ce3e3af4a","http://resolver.tudelft.nl/uuid:adbffaf0-eb60-4ab7-93b0-881ce3e3af4a","Technoeconomic Analysis : Green hydrogen production by integrating Concentrated Solar Power (CSP) & Solid Oxide Electrolyzer (SOE)","Srinivasakannan, Narendran (TU Delft Mechanical, Maritime and Materials Engineering)","Ramdin, M. (mentor); Santhanam, Srikanth (mentor); Sane, Omkar (graduation committee); van Biert, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis presents a techno-economic analysis of integrating Concentrated Solar Power (CSP) and Solid Oxide Electrolysis (SOE) technologies for the production of hydrogen. The study begins with the development of system-level 0D models for CSP and SOE individually. These models are then combined to create a unified model for CSP-SOE integration. The Levelized Cost of Hydrogen (LCOH) is estimated and different modes of integration are explored, leading to the selection of off-grid integration as the preferred option. Sensitivity analyses are performed to assess the impact of various parameters on the system’s performance, and preliminary estimates indicate that a power capacity of 195 MW for CSP and SOE is required to achieve the desired hydrogen production target. Based on the results of the basic sizing analysis, a detailed plant model is constructed, incorporating the SOE and hydrogen processing sections. The Aspen simulation software is utilized for the implementation of these sections. To address the intermittent nature of renewable energy sources, three different strategies are proposed for operating the SOE system. After careful evaluation, a strategy is selected that yields an LCOH of $4.1 per kilogram of hydrogen, with a best-case scenario of $3 per kilogram and a worst-case scenario of $5 per kilogram. This study contributes to the understanding of the techno economic aspects of integrating CSP and SOE technologies for hydrogen production, highlighting the potential for achieving competitive costs and promoting the adoption of renewable energy-based hydrogen systems.","Solid Oxide Electrolysis; Green Hydrogen; Technoeconomic assessment; Concentrated solar power","en","master thesis","","","","","","","","2025-07-31","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:91781593-434a-4ddb-873a-3fdf718ca33d","http://resolver.tudelft.nl/uuid:91781593-434a-4ddb-873a-3fdf718ca33d","Urban Rooms: Nature inclusive library in Bressoux","Paszek, Wiktoria (TU Delft Architecture and the Built Environment)","Vermeulen, P.E.L.J.C. (mentor); Schreurs, E.P.N. (mentor); van Deudekom, A.B.J. (mentor); Delft University of Technology (degree granting institution)","2023","","Library; Nature inclusive design; Courtyard; social hub","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","",""
"uuid:13733886-4d6f-4d5b-bfe3-9cde77614f38","http://resolver.tudelft.nl/uuid:13733886-4d6f-4d5b-bfe3-9cde77614f38","Preoperative assessment of the histopathological growth patterns of colorectal liver metastasis on CT using artificial intelligence","van Gurp, Samuel (TU Delft Mechanical, Maritime and Materials Engineering)","Starmans, Martijn (mentor); Voigt, Kelly (graduation committee); Klein, Stefan (graduation committee); Vos, F.M. (mentor); Delft University of Technology (degree granting institution)","2023","Background: Histopathological growth patterns (HGP) are a biomarker for predicting survival and systemic treatment effectiveness in colorectal liver metastasis (CRLM). Currently, HGP assessment in CRLM requires the resection specimen. Predicting the HGP from preoperative medical imaging could allow more personalised care and better outcomes. Methods: 252 patients underwent CRLM resection between 2004 and 2018 without receiving any systemic treatment. Patients were characterised as having either pure desmoplastic growth (dHGP) or any other type of growth pattern combination (non-dHGP) (21% dHGP; 79% non-dHGP). These categories were chosen because pure desmoplastic growth is predictive of better overall survival. regions of interest were automatically extracted using a UNet based segmentation model. These ROIs were passed to a radiomics model and a deep learning model to classify between dHGP/non-dHGP and predict the fraction of dHGP. Results: The best-performing classification method was the radiomic approach achieving an AUC of 0.67 (95% CI: 0.58-0.78), whereas the best-performance deep learning model achieved an average AUC value of 0.59 (95% CI: 0.53-0.65). Additionally, regression predicting the fraction of dHGP failed, with the predicted values showing no significant correlation with the actual value. Conclusions: Radiomics can be used to assess HGP, however further improvements in predictive performance are needed before these methods can be applied.","Colorectal Liver Metastases; Histopathological Growth Pattern; CT scan; Machine Learning; Deep Learning; Radiomics","en","master thesis","","","","","","","","","","","","Biomedical Engineering | Medical Physics","",""
"uuid:fab0f155-b7c8-4d6e-8e5c-86393bb31326","http://resolver.tudelft.nl/uuid:fab0f155-b7c8-4d6e-8e5c-86393bb31326","Neural Network adjusted Spatial Dynamic Factor Models for Real Estate Valuation","Zomerdijk, Koen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Parolya, N. (mentor); Francke, Marc (mentor); Kurowicka, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis concerns modeling residential real estate selling prices in a hedonic price model framework on a small spatial-temporal granularity. The research addresses the challenge of sparse spatial-temporal real estate data, i.e. many combinations of location and time with few or no transactions, by employing spatial dynamic factor models (SDFMs). Two types of SDFMs are employed: an SDFM with a 1D spatial structure based on the spatial random walk and an SDFM with a 2D spatial structure based on the Gaussian random field. To capture the information on the property characteristics, spatial dynamic factor models are combined with two different data-driven models, namely a neural network (NN) and an interpretable version of an NN, the local generalized linear model network (LGLMN). Both a Bayesian approach and an algorithmic approach are employed to estimate the models on both a PC and a high-performance computer (HPC). A simulation study is conducted to demonstrate the ability of an NN to capture linear and non-linear structures when combined with an SDFM and to show the ability of the LGLMN to replicate a linear structure. Furthermore, the models are evaluated on real transaction data from the municipality of Rotterdam. The findings demonstrate that the algorithmically estimated NN-adjusted SDFM based on the spatial random walk (NN-SRW-DFM) outperforms the other models in terms of accuracy with an out-of-sample MAPE of 0.128. Moreover, the results highlight a trade-off between accuracy, speed, and interpretability.","Neural Network; Dynamic Factor Model; Real Estate Valuation; Local Generalized Linear Model Network","en","master thesis","","","","","","","","","","","","Applied Mathematics | Financial Engineering","",""
"uuid:2d658729-5a4f-43e6-a426-de1f55c24565","http://resolver.tudelft.nl/uuid:2d658729-5a4f-43e6-a426-de1f55c24565","Experimental Investigation on Scaling in Gasketed Plate Heat Exchangers","Nanda, Rahul (TU Delft Mechanical, Maritime and Materials Engineering)","Hooman, K. (mentor); Delft University of Technology (degree granting institution)","2023","Gasketed Plate Heat Exchangers (GPHEs) are a sub-class of heat exchangers that enable heat transfer between 2 fluids via metal plates. A common problem encountered in all heat exchangers is fouling. Fouling can be described as the deposition and accumulation of unwanted materials such as scale, algae, suspended solids and insoluble salts on a heat transfer surface. Despite the widespread use of GPHEs and the common occurrence of fouling in heat exchangers, fouling is not clearly understood in GPHEs. Scale, one of the several types of fouling, has the most harmful effect on heat exchangers out of all fouling mechanisms and is the most common problem encountered in cooling water systems. When formed on heat-exchanging surfaces, scale retards heat exchange, accelerates fouling, promotes certain types of corrosion and microbial growth, and increases pumping back pressure. These, in turn, result in decreased plant efficiency, reduced productivity, schedule delays, more downtime for maintenance, and increased costs for equipment repair and replacement. Since Alfa Laval is an active part of this project, and a large portion of the GPHEs they sell are used in cooling water applications, the focus of this project is to understand the effect of flowrate, inlet temperature and GPHE duty on scaling in GPHEs. The most common scale deposited on heat exchangers is calcium carbonate (CaCO3), and therefore this study will only focus on CaCO3 scaling.
In order to quantify the effect of changes in a parameter on scaling tendency and GPHE performance, an experimental setup is built. The experimental design ensures that when altering one parameter, all other operating conditions of the GPHE remain constant, thereby attributing any changes in scaling tendency solely to the test parameter. Throughout each experiment, media temperatures are monitored, and the overall heat transfer coefficient and fouling resistance are plotted against time to observe changes in GPHE performance. Additionally, since the deposited scale initially increases the performance of the GPHE, a time for ’onset of scale’ is also determined for each experiment, where ’onset of scale’ is defined as the moment when the deposited scale is detrimental to GPHE performance. Moreover, following each experiment, the GPHE is disassembled, and the scaled plates are weighed to determine the quantity of scale deposited on the plates. Finally, images of the scaled plates are compared with those of a clean plate to observe the distribution of scale on the plate surface.
After performing and analysing the results from all experiments, it can be concluded that all 3 parameters have a significant influence on GPHE performance. It was observed that higher flowrates led to a smaller amount of scale deposits on the plate and result in a greater duration to achieve the onset of scale condition. Conversely, higher inlet temperatures and GPHE duty led to a greater amount of scale deposits and result in a shorter time to achieve the onset of scale condition. The results also indicated that a change in GPHE duty has the greatest influence on scaling from all 3 parameters, provided the flowrate is greater than 250 kg/hr. However, for flowrates below 250 kg/hr, the flowrate of the test solution has the biggest impact on scaling tendency and GPHE performance. Additionally, an optimal performance region which would result in minimal scale deposition on the plates is determined for the current system. Finally, to predict the amount of scale deposited on the plates as a function of the test parameters, regression analysis is performed on the data and a 5th-degree polynomial function is established.
Using a complex adaptive socio-technical systems approach, the research combines a systematic literature review and a case study with interviews to develop an agent-based model (ABM). The ABM incorporates three types of agents representing food producers, packaging producers, and waste treaters, who can form circular ecosystems focused on closed-loop recycling. Organisational decision-making theory is integrated into the ABM to account for actor behavior, considering different decision-styles and decision-rules.
The ABM explores the effect of multiple variables on packaging waste dynamics in circular ecosystems. Results indicate that circular ecosystems can be established with only a few actors prioritising circularity over individual profit, leading to significant reductions in packaging waste. The decision-style of the food producer plays a crucial role in ecosystems dominated by actors prioritising individual profit. However, availability and sharing of information on recycled material volumes and content are critical for circular ecosystems to emerge.
Circular ecosystems may face limitations due to recycled material shortages, leading to fluctuations in recycled content. Centralised waste treatment can stabilise recycled material supply and, subsequently, recycled content in packaging. Technological innovations hold potential for increasing recycled content and recycling rates, but additional changes in actor behavior and material prices are needed to utilise this potential. On top of that, other high-level circularity strategies should be employed to overcome limitations related to material shortage. Using recycled material could be incentivised through subsidies or taxes on raw materials, but the effectiveness of such measures will depend on the decision-making of the packaging producers.
The discussion reflects on the results, the ABM, and the overall research, identifying limitations and implications and suggesting future research directions. Further refinements to the ABM can enhance its accuracy and suitability for analysing circular ecosystems for waste reduction.
In conclusion, this research sheds light on the dynamics of packaging waste in a circular food packaging ecosystem in the Netherlands, considering actor behavior. The ABM provides a valuable analytical tool for studying circular ecosystems and their potential in the food packaging industry. By emphasizing actor collaboration, circular packaging ecosystems offer a pathway to a more sustainable food packaging industry.","ABM; Agent-Based Modeling; Circular Ecosystem; Circular Economy (CE); Food packaging; Packaging waste","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:20d051dd-390f-49b4-b043-782346f6a89b","http://resolver.tudelft.nl/uuid:20d051dd-390f-49b4-b043-782346f6a89b","Minimizing bubble losses in membraneless flow-through water electrolysis","Deiters, Gilles (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy)","Haverkort, J.W. (mentor); Postma, J.I. (mentor); Eral, H.B. (graduation committee); Buchner, A.J.L.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","In an effort to make water electrolysis more efficient and simple, flow-through electrolyzers eliminate the need for a gas-separating membrane between the electrodes. However, replacing the membrane with a forced flow field brings new challenges and considerations. In this research, the design of a flow-through electrolyzer is optimized for minimum voltage losses due to bubble formation, pressure drop, and electrolytic resistance. These parameters are all influenced by the potassium hydroxide concentration, the inter-electrode gap and the feed flow rate. The effect on the power dissipation of a flow-through electrolyzer is analytically modelled and validated using experiments, where the design variables are varied.
Additionally, the effect on the resistance in the electrolyte of keeping the gasses dissolved in the electrolyte is studied using IV curves of degassed electrolyte. The gasses in the electrolyte were purged with a vacuum chamber. This degassed electrolyte showed a reduction in overpotential of 150 mV at max. However, this reduction in overpotential was outweighed by the energy requirements to degas the electrolyte. Besides degassing, the effect of suppressing bubble formation by different flow rates was investigated. It was found that there was no noticeable reduction in overpotential between the state where bubbles are suppressed and bubbles were formed.
In addition to the effect of keeping the gasses dissolved, an analytical model was constructed to describe the necessary flow rates to mitigate the electrical resistance due to bubbles in the electrolyte. In the analytical model, solubility plays a big role in determining the necessary flow rate, as solubility is related to the emergence of bubbles. Contrarily, experiments showed the effect of solubility was found to be rather low. By varying the gap width, it was shown that the shear rate at the wall is a better indicator for bubble removal and therefore reduction in resistance due to bubbles.
Furthermore, the shape of the discharge channel was changed to promote uniform flow across the electrodes. The uniform flow should make the product removal at every part of the electrolyzer equal, such that there are no stagnant zones where gas accumulation could build up. This new discharge channel shape was analysed using COMSOL Multiphysics by comparing it with a conventional straight discharge channel. The variable discharge channel outperformed the straight discharge channel in creating a uniform flow across the electrodes for Euler numbers bigger than 10, meaning that the inertial forces are negligible compared to the pressure drop. During experiments, the electrolyzer with a variable discharge channel was tested. This electrolyzer configuration did not perform as well as expected from the theory and simulations, having a higher pressure drop and electric resistance than the conventional electrolyzer. The reason why the variable discharge channel performed poorly was inconclusive.
Lastly, the performance of the various variables was evaluated using the total power dissipation as a function of the current density. This took both the pressure drop across the system and the electrical power consumption of the electrolyzer into account. It was found that using a high electrolyte of 6M potassium hydroxide (KOH) together with a small inter-electrode gap gave the lowest energy dissipation per kg produced hydrogen gas. However, increasing the KOH concentration increases the viscosity and thereby the pressure drop. The theoretical optimum for KOH concentration was calculated to be 5M for this flow-through electrolyzer.","Flow-thorugh; Alkaline Water Electrolysis; Bubbles Water Electrolysis; Membraneless Water Electrolysis","en","master thesis","","","","","","","","2025-07-31","","","","Mechanical Engineering | Energy, Flow and Process Technology","",""
"uuid:e6018037-c995-4de2-9f3b-b06be43d42b9","http://resolver.tudelft.nl/uuid:e6018037-c995-4de2-9f3b-b06be43d42b9","A High-Efficiency 4-Phase Series-Parallel Resonant Switched-Capacitor 48-to-3 V DC-DC Converter","Lin, Ningchao (TU Delft Electrical Engineering, Mathematics and Computer Science)","Du, S. (mentor); Delft University of Technology (degree granting institution)","2023","Resonant switch-capacitor (ReSC) is a type of hybrid switched-capacitor (HSC) which utilizes both inductor and capacitor, bearing the potential to overpower conventional switched-capacitor (SC) and magnetic-based converters. This work introduces a novel four-phase series-parallel resonant switch-capacitor (ReSC) DC-DC converter topology, which provides 16-to-1 conversion ratio and can serve as the unregulated first stage of two-stage 48V step-down voltage regulated module (VRM) for data centers. This topology can be treated as the cascade of one 4-to-1 SC stage and one 4-1 series parallel stage, the latter stage could reduce current stress on corresponding capacitors. The proposed converter uses 16 GaN switches, 5 flying capacitors and 1 inductor under the soft-switching condition. Phase changes under zero current switching (ZCS) conditions which greatly reduce their degradation to switches and extend their lifespan. Subsequently, when this topology serves as the first stage of two-stage VRM it could generate a 3V intermediate voltage, reducing voltage stress on the second regulation stage compared with a conventional 12V intermediate bus. A discrete prototype validates the analysis and achieves a fixed 16:1 conversion ratio, 96.5\% peak efficiency, and up to 460W/in$^3$ power density with a 48-V input voltage level.","DC-DC power conversion; two-stage VRM; multi-phase resonant switched capacitor; zero-current-switch","en","master thesis","","","","","","","","","","","","Electrical Engineering | Electronic Instrumentation","",""
"uuid:c0f6fb2f-c466-49f1-a27e-65e4d0694e2c","http://resolver.tudelft.nl/uuid:c0f6fb2f-c466-49f1-a27e-65e4d0694e2c","Generation of quantum emitters in hBN via strain engineering for biosensing applications","Flores Cervello, Mireia (TU Delft Mechanical, Maritime and Materials Engineering)","Caneva, S. (mentor); Yang, X. (graduation committee); Fanzio, P. (graduation committee); Buijnsters, J.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","This master thesis explores strain-induced quantum emitters in hexagonal boron nitride (hBN) as novel optical nanoprobes for Förster resonance energy transfer (FRET)-based biosensors. These types of emitters could outperform conventionally used fluorophores due to their high brightness, stability in harsh environments, biocompatibility and ease of integration with solid state devices. Ultimately, the aim is to combine optically-active hBN emitters with protein fingerprinting devices, which could impact the field of molecular diagnostics by detecting clinically relevant protein biomarkers.
To date, however, it is unclear which parameters are crucial for the generation of hBN quantum emitters with strain in both CVD grown and exfoliated hBN crystals. To address this gap in the field, this thesis systematically investigates the generation of strain by mechanically exfoliating pristine hBN crystals onto a variety of rigid micro/nanostructures with different aspect ratios, including 5 µm and 10 µm microbeads, femtosecond laser-ablated cavities, and CD/Blu-ray micro-nanostructures. We characterised the samples with fluorescence microscopy and atomic force microscopy in order to correlate the optical properties of the hBN with the topography of the substrate. Among the tested structures, samples displayed clear fluorescent emission at the location where the hBN was deposited on the femtosecond laser-ablated cavities with sharp edges. The presence of strain in these regions was verified with Raman spectroscopy, and the spectral properties of the fluorescent regions were determined with photoluminescence spectroscopy. We additionally studied the temporal behavior of the identified emitters and observed effects such as blinking with intensities reduced up to a 38 % and photobleaching with quantum emitters’ lifetimes between 6.57 s and 44.17 s.
While there were no clear threshold values of curvature, substrate structure height, and thickness of hBN that led to reproducible localized fluorescence, these findings open up further research opportunities for the use of strain engineering to generate quantum emitters in hBN.
In this particular study, the investigation focused on ex-situ carbon dioxide sequestration primarily through direct aqueous mineral carbonation, incorporating a direct air capture element. This approach was chosen due to the flexibility provided by direct air capture in terms of CO2 supply and the desirable characteristics of direct aqueous carbonation. Various models were developed using Aspen Plus software and compared, leading to the selection of the direct aqueous carbonation system as the optimal choice for CO2 carbonation. Further enhancements were implemented in the system, including recycled streams and heat integration, to reduce overall energy consumption. Optimization steps were also undertaken to determine the appropriate sizing of key equipment that make up the majority of the system's overall costs and to improve system efficiency.
An economic analysis was then performed, revealing that plant scales of 50 ktons/year yielded a positive Net Present Value (NPV), indicating profitability. Conversely, smaller-scale plants of 0.5 ktons/year and 5 ktons/year did not generate positive revenue, even with a high carbon credit price. This was followed by a sensitivity analysis that showcased the relevant parameters that holds significant effects on the economic performance of the system.
Moreover, business model cases were also explored, and it was concluded that utilizing the end products in building materials and road construction could potentially generate additional revenue for the mineralization system beyond storage options.
Overall, this investigation highlights the potential of ex-situ carbon sequestration through direct aqueous mineral carbonation, considering direct air capture, and emphasizes the importance of economic viability and revenue diversification in the successful implementation of mineralization systems to mitigate the effects of global warming.
Prior to this master thesis, a feasibility and usability study has been performed with the second iteration of the hand trainer in collaboration with therapists from Rijndam and healthy participants, showing room for improvement.
In this graduation project, the portable hand trainer is redesigned to develop an improved product design in comparison to the second iteration. Following a human-centered design approach, a modified version of the double diamond method was created to achieve a redesign that enables more functional, ergonomic, and motivating rehabilitation training.
Analysis on strokes, on rehabilitation, on the state-of-the-art, through peer tests, and on the usability study, were performed to create a decision matrix to define a list of potential improvements, distinguishing high-priority, medium-priority and low-priority improvements.
The high-priority improvements have been thoroughly addressed in multiple cycles of ideation-, developing- and validating activities. The results are combined and transformed into a hardware-ready 3D prototype that demonstrates improvement in the pronosupination movement, the donning and doffing of the device and the wrist support. The prototype of the third iteration of the portable hand trainer is evaluated through interviews with experts in the field to reflect on the project goal and propose recommendations on future work.
The medium-priority improvements have been addressed in one cycle of ideation activities of which the results are presented in sketches to advice on further development.
The low-priority improvements have not been addressed in this project.","Upper limb rehabilitation; Stroke; Haptic feedback; Portable; Hand trainer; Human-centered design; virtual game","en","master thesis","","","","","","","","","","","","Integrated Product Design | Medisign","",""
"uuid:8ce12f5f-a3c6-49f7-bf00-2a6dc685d303","http://resolver.tudelft.nl/uuid:8ce12f5f-a3c6-49f7-bf00-2a6dc685d303","Cost-optimal European hydrogen network: Hydrogen infrastructure and storage requirements in a fully renewable scenario","Ortiz Torres, Nathalia (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Technology, Policy and Management)","Pfenninger, Stefan (mentor); Cvetkovic, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The report focuses on the analysis of a cost-optimal hydrogen network in Europe within the context of an integrated energy system. It addresses the need for understanding the required capacity and spatial distribution of hydrogen infrastructure to meet the growing demand for clean energy carriers. Previous studies often overlook the integration of a hydrogen network and lack optimized designs considering a sector-coupled energy system.
To overcome these limitations, this report models hydrogen pipelines using the Calliope energy system modeling software with the objective of determining the necessary capacity and distribution of a hydrogen grid in Europe under a fully renewable scenario. Modeling was conducted with a spatial resolution of 35 nodes and a temporal resolution of 2 hours over a full year, using the 2018 weather data. The software uses a linear optimization method to determine the optimal configuration of the hydrogen network.
This study formulates several allocation scenarios for electrolysis capacity to examine the capacity and spatial distribution of an optimal hydrogen network under different conditions. It is found that an energy system with hydrogen hubs requires the development of extensive new pipeline infrastructure, while a system with a more balanced distribution of electrolysis across Europe requires less pipeline capacity and relies mostly on repurposed infrastructure. The estimated capacity of the network ranges from 135 to 244 TWkm. This is 40% to 70% lower than what is estimated in the European Hydrogen Backbone (EHB) vision.
In the scenario with hydrogen hubs, the study identifies four hydrogen corridors that align with the vision presented in the EHB report, with Britain, Ireland, Denmark, and Portugal as key hydrogen producers. Furthermore, the analysis highlights the significant role of salt caverns as the predominant storage technology for hydrogen, despite uncertainties surrounding their capacity estimates. The optimal storage capacity in salt caverns ranges from 42 to 178 TWh when accounting for cost and weather uncertainty.
The analysis initially considered a self-sufficient energy system, but the sensitivity to international imports was also considered. To analyze this aspect, hydrogen imports from four North African countries were included. The findings revealed that international imports play a relevant role in shaping the optimal configuration of the hydrogen network. Imports increase the required investment in infrastructure but also reduce hydrogen storage capacity and its associated uncertainty. Changes in the network configuration result in a 6% reduction in total system costs due to decreased renewable energy capacity and reliance on external hydrogen supply.
Overall, this study emphasizes the need for accurate electrolysis allocation estimation, alignment with international import planning, and efficient utilization of storage technologies in the development of hydrogen infrastructures. The findings contribute to informed decision-making and the creation of sustainable hydrogen networks that integrate effectively with renewable energy systems.","Hydrogen network; Salt cavern storage; Calliope; cost-optimization","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:8536767a-eef4-45ac-8160-264de5af5b55","http://resolver.tudelft.nl/uuid:8536767a-eef4-45ac-8160-264de5af5b55","Impact of building renovation on the decarbonized European energy system","Kobos, Łukasz (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pfenninger, Stefan (mentor); Sanvito, F.D. (mentor); Hooman, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Currently, there are optimization models that are able of modeling building renovation, but their scope is limited only to the heating system. There are also models that consider interactions between different energy subsystems, but they lack the ability to simulate building renovation. Having those two things combined would be beneficial as the impact of renovation on the power system might be significant.
The goal of this thesis is to assess the impact of building renovation on the energy system. It was done with the use of Euro-calliope model, which is capable of minimizing the cost of the whole energy system. In the current state, Euro-calliope model does not offer the chance to renovate the building stock. Therefore, the aim of this work is to introduce the building renovation option subject to the software objective function and reshape, when necessary, the heating sector.
The main outcome is that the heating sector significantly affects the distribution of power generation sources as most of heat is supplied via heat pumps. The penetration of renovation increases the fraction of energy generated by photovoltaics in the energy mix. However, when it comes to absolute values, in all scenarios wind farms are dominating.
The cost-optimal renovation always results in a higher renovation level than the currently present renovation levels. However, those levels are usually lower than the currently imposed local renovation standards. Moreover, the renovation has a positive impact on decreasing the variability of the system costs for scenarios with low renewable supply.
It is important to understand how this non-exchangeable calcium behaves and whether there are ways of making it exchangeable.
In this study, the hard-to-exchange (HTE) calcium in the casein micelles of bovine skimmed milk was studied. In order to investigate this, a protocol for the preparation of artificial casein micelles was established. The hydrodynamic radius and the hydration of the casein micelles were measured to see if the artificial casein micelles could be compared to the casein micelles from bovine skimmed milk. Subsequently, 45Ca was used to track the exchange of calcium in the three different fractions of milk and artificial casein micelles: casein micelles, serum proteins, and soluble phase. (Ultra)centrifugation was used to separate the fractions.
Characterisation of bovine skimmed milk and artificial casein micelles was carried out first. The hydrodynamic radius of the casein micelles in both types of milk was determined and it was concluded that the hydrodynamic radius of both types of milk was comparable to each other. Furthermore, the hydration of casein micelles was investigated. A difference was measured between the hydration of both casein micelles. This can be explained by a small deviation in casein and fat content between the two types of milk.
In order to draw conclusions about the suitability of using artificial casein micelles to model casein micelles naturally present in milk, the protocol needs to be adapted by adjusting the casein content and removing the fat from both types of casein micelles. It is also necessary to investigate the mineral content of both types of milk.
It was found that almost half (48.8 ± 0.6%) of the 45Ca added to bovine skimmed milk was located in the supernatant, while the remaining 54.2 ± 0.6% was distributed within the casein micelles. When this distribution is compared to that of artificial casein micelles, it can be seen that the %45Ca in the artificial casein micelles is much lower than in the bovine skimmed milk casein micelles. This is because of the fact that artificial casein micelles contain a lower content of casein aggregates cross-linked with colloidal calcium phosphate (CCP) in comparison with bovine skimmed casein micelles.
When 45Ca was added after the preparation of artificial casein micelles, the HTE calcium was found to be 54.5 ± 2.6%. However, when the 45Ca was added during the preparation of artificial casein micelles and measured after 0 hours, the HTE calcium was 63.1 ± 2.0% and after 24 hours it was determined to be 59.6 ± 3.4%. These values were not in agreement with the values from Zhang et al. [1].
Overall, this project contributed to a better understanding of the hard-to-exchange calcium in milk using artificial casein micelles and 45Ca. It shows that further research needs to be carried out to determine whether artificial milk can be used as a model for bovine skimmed milk and to determine the HTE calcium in artificial casein micelles.","","en","master thesis","","","","","","","","","","","","Applied Physics","",""
"uuid:f8f43a22-480d-42f8-a03f-71da79f8dc9d","http://resolver.tudelft.nl/uuid:f8f43a22-480d-42f8-a03f-71da79f8dc9d","Improving User Engagement to Reduce Dropout Rates in Long Web Surveys: Exploring the Effectiveness of Achievement Primes Amongst Intrinsically and Extrinsically Motivated Respondents","van Tussenbroek, Thomas (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Web Information Systems)","Allen, G.M. (graduation committee); Gadiraju, Ujwal (mentor); Broz, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","Web surveys have increasingly been used to collect data from respondents over the years. They offer several advantages compared to other methods of obtaining data. Researchers benefit from a broad demographic representation to make generalized conclusions, and satisfaction surveys allow employees to explain shortcomings or improvements anonymously. Both examples demand comprehensive information, thereby requiring a lengthy survey. However, dropout increases with the length of a survey, which is a big problem on web surveys as it decreases the statistical significance of the results. Proposed solutions, such as reducing the number of questions or rewarding respondents with an incentive, may not always be feasible due to the preciseness of information required or limited financial capabilities.
Achievement primes have been shown to reduce dropout on short surveys targeting extrinsically motivated respondents without additional costs or the need to reduce survey length. As repeated exposure to primes reinforces the stimuli, long surveys may also benefit from achievement primes. In this study, respondents are exposed to a questionnaire of more than 15 minutes on health whilst working behind a computer containing either no prime, passive achievement primes, or active achievement primes. Besides extrinsically motivated respondents, recruited via the crowdworking platform Prolific, intrinsically motivated respondents are also targeted in this study, recruited via snowball sampling.
Through a 2 times 3 factorial design, we discovered no statistical difference in dropout, perceived workload, and user engagement across the three questionnaire variants when evaluating intrinsically (N=88) and extrinsically motivated respondents (N=140) individually. By comparing intrinsically with extrinsically motivated respondents, we discovered extrinsically motivated respondents were more engaged and dropped out less.","crowdwork; achievement priming; dropout; motivation","en","master thesis","","","","","","https://osf.io/pxfba/?view_only=77cda642e7a84a9cba63b1714c326fdb Data and code produced for the project","","","","","","Computer Science","",""
"uuid:fbb20ca4-45d0-43dd-b922-5e1810d2bb54","http://resolver.tudelft.nl/uuid:fbb20ca4-45d0-43dd-b922-5e1810d2bb54","A Health-Aware Control Strategy for Enhanced Performance and Extended Hybrid Powertrain Lifecycle: A benchmark of different cost functions","Postma, Marijn (TU Delft Mechanical, Maritime and Materials Engineering)","Coraddu, A. (mentor); van Biert, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","The urgent need for marine transportation to reduce CO2 emissions has led to considering alternative energy and storage sources. The resulting increase in the complexity of powerplant architectures led to the development of advanced control strategies to achieve energy savings. At the same time, the health status of components has been often overlooked, leading to shortened powerplant lifecycles.
To address this issue, this paper proposes a novel health-aware control strategy for hybrid and full electric powerplants featuring fuel cells and batteries that simultaneously extend service life and reduce energy consumption. Specifically, the thesis identifies the most detrimental behaviour for powerplant components and propose a bespoke cost function to mitigate those.
To assess the effectiveness of the proposed control strategy, a comparative analysis will be conducted against a non-health-aware control strategie and a health aware control strategy from literature, to demonstrate the advantages of the proposed approach in terms of energy performance and service life extension, contributing to the development of sustainable marine transportation.","Health-aware; Fuel cells; Batteries; Hybrid and full electric powertrains; lifetime extension","en","master thesis","","","","","","","","","","","","Marine Technology | Marine Engineering","",""
"uuid:e8fc163d-c6a5-478f-bfca-ebfe4b64ed2c","http://resolver.tudelft.nl/uuid:e8fc163d-c6a5-478f-bfca-ebfe4b64ed2c","Evaluating Augmented Reality Interfaces for Pedestrians by conducting AR-based experiments in the Real World","Aleva, Thomas (TU Delft Mechanical, Maritime and Materials Engineering)","Tabone, W. (mentor); de Winter, J.C.F. (mentor); Dodou, D. (mentor); Oviedo-Trespalacios, O. (graduation committee); Delft University of Technology (degree granting institution)","2023","Objective: The aim of this study was to investigate the effect of autonomous-vehicle-to-pedestrian (AV2P) communication through augmented reality (AR) interfaces on the road crossing behaviour of pedestrians, and research whether subjective results from a previous Cave Automatic Virtual Environment (CAVE) study replicated in a real world AR experiment.
Background: Previous studies investigating the effects of AV2P communication have mostly been conducted through virtual reality (VR) providing researchers with safe experimentation methods and high experimental control, but also resulting in a common limitation: the lack of ecological validity and realism, thereby affecting participants’ behaviour and causing distractions. This study therefore introduces AR experiments that have been conducted in a real world environment to increase ecological validity.
Methods: An AR experiment was conducted in which 28 participants were situated in the real world with the objective to cross the road. The virtual vehicle, that was projected through a Varjo XR-3 head mounted display, approached from the right at a speed of 30 km/h while 4 interfaces (2x world-locked, head-locked, and vehicle-locked) appeared to communicate the vehicle’s intention towards the participants, in addition to a no-interface baseline. Participants were tasked with indicating when they were willing to cross through the push of a remote button from which their Willingness to cross and Decision certainty could be derived. Subjective data was collected after the trials and after the experiment through interviews and a questionnaire respectively.
Results: Results suggest a positive effect of the AV2P interfaces on the Willingness to cross and Decision certainty, although statistically not significant. In other words, Willingness to cross increases when the vehicle indicates that it will yield, and decreases when the vehicle communicates that it will not yield. Decision certainty also increases when an interface is present compared to the no-interface baseline. Moreover, participants indicated using the interfaces as a tool to validate their own decisions. Compared to the CAVE study, subjective intuitiveness ratings replicate in terms of observing higher intuitiveness of the interfaces than the no-interface baseline. However, the intuitiveness ratings were higher in the CAVE study than the real world AR experiment. Furthermore, the order of the top 3 most preferred interfaces ranking is in the opposite order. Both differences suggest that the increased ecological validity of the real world AR experiment introduces new insights into participants’ perception of interfaces. The Van der Laan acceptance scale shows that participants believe interfaces to be useful and satisfying overall.
Conclusion: The experiments suggest that AV2P interfaces have a positive effect on the crossing behaviour of pedestrians. Furthermore, participants indicate using the interfaces as a tool to validate their own decision, which increases confidence in their decisions. Although results partially replicate a previous virtual environment study, there are differences that suggest that real world AR experiments provide valuable insights into participants’ perception of interfaces in a more realistic experiment.","augmented reality; External human machine interfaces; traffic; pedestrian; autonomous vehicles; virtual reality","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:5cfe9305-304f-4b9e-8bf0-2ba7cad59e86","http://resolver.tudelft.nl/uuid:5cfe9305-304f-4b9e-8bf0-2ba7cad59e86","Turbulent channel flow with stable stratification beyond the Oberbeck-Boussinesq assumptions: A DNS study","Kotturshettar, Sanath (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Process and Energy)","Pecnik, Rene (mentor); Costa, Pedro (mentor); Peeters, J.W.R. (graduation committee); Weymouth, Gabriel D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Stratified turbulent flows abound in environmental and industrial settings. Examples are atmospheric boundary layer flows, the transport of nutrients and organisms and the mixing of heat and salinity in the oceans, fluid flow in heat exchangers, and the transport of reactants and products in chemical reactions. These examples and many others consider stratified wall-bounded turbulence, in which the creation of turbulence by mechanical processes contends with its dissipation due to buoyancy effects. These flows are said to be stably stratified as these are inherently stable flows and are averse to mixing. The buoyancy effects alter the structure of the flow, and consequently the dynamics of mass, heat, and momentum transport. As density fluctuations become more severe, the Oberbeck-Boussinesq approximation becomes inaccurate and the resulting dynamics are not correctly predicted. In the current work, we developed and validated a numerical solver for direct numerical simulations (DNS) of turbulent flows featuring strong property variations. More precisely, we solve the Navier-Stokes equations in the limit of vanishing Mach number (so-called low-Mach number limit), with the fluid density given by the ideal gas law, and the dynamic viscosity and thermal conductivity also expressed as functions of temperature.
Our numerical solver is used to study stably-stratified turbulent channel flow under non-Oberbeck-Boussinesq conditions. The simulations are carried out at friction Reynolds number of 180, Prandtl number of 0.71, and friction Richardson number of the O(10). These non-dimensional numbers are the governing parameters and are defined based on the prescribed pressure drop and properties of the fluid at the reference temperature. Stratification is achieved by imposing constant temperature boundary conditions, with a high upper-to-lower wall temperature ratio (larger than 2), resulting in strong density variations in the flow. We will vary the temperature ratios and adjust gravity to maintain a similar Richardson number between cases, thereby isolating the effects of strong property variations in the flow dynamics. We will analyze the dynamics of heat and momentum transport under strong stratification for these conditions, also in light of DNS data of the same system under the Oberbeck-Boussinesq regime.
We used the agro-hydrological model AquaCrop informed by 4.5 years of local weather data, soil data and crop data to model the effectiveness of adaptations in maintaining food security for three neighbourhoods in Kumasi. Local farmer management practices that were determined by a survey of 150 Kumasi farmers made a distinction in management practices between adaptations and crops. This model gave yields and irrigation water uses for five crop groups that are commonly cited as crucial for food security. Combined with population growth predictions and land use and land cover analysis this allowed us to make a statement about how well adaptations can meet current and future demand of crops and how much space is needed to meet demand. This model was supplemented with a survey of vendors and farmers to investigate barriers against- and preferences for adaptations.
Backyard gardening and sack gardening turned out to be the best options to maintain peri-urban agriculture. These adaptations use the least space, for the highest yields. From the survey of farmers and vendors, no strong objections were found against the implementation of these adaptations. Over 80% of surveyed farmers and vendors felt that backyard gardening was a good adaptation to maintain peri-urban agriculture in Kumasi. Backyard gardening and sack gardening are optimally suited for growing vegetables and legumes, which are the easily perishable crops and thus benefit from a short supply line, which many vendors cite as solutions for spoilage.
It is possible to ensure self-sufficiency for these crops with 5-9% of total land in the Feyiase neighbourhood, 11-20% in Ejisu and with 14-22% in the Kwadaso neighbourhood. It is therefore recommended to target at least a majority self sufficiency, by reserving a >50% fraction of this land for backyards and sack gardening spaces. At the same time, any available marginal lands should be allocated for the growth of cereals and tubers, to allow for the production of these subsistence crops, until the production of the remaining demand is fully met by rural import. With these adaptations and recommendations, it is possible for peri-urban agriculture in Kumasi to maintain its important role throughout the coming decades.
In order to determine if there are any deviations from these conclusions, future research should focus on including empirical crop data tailored to AquaCrop or use a model that is better suited to represent the chaotic nature of (peri-) urban agriculture. While the model results do not differ significantly from the crop yields as found in literature, a model informed by local crop data can be an even better representation of the situation in Kumasi. Furthermore, a study into the long-term effects of adaptation on nutrition can reinforce our conclusions on food security.
Finally, there is an opportunity to develop more high-tech agricultural methods like greenhouses and aquaponics. There is also an opportunity to incorporate wastewater reuse schemes, following examples from other countries, such a urine reuse, or low-tech treatment with sludge harvest. For this, it is recommended to set up educational programs and pilots.","Urban Agriculture; Agro-hydrological modelling; Kumasi; Peri-urban; AquaCrop model; wastewater reuse; Food security; sub-Saharan Africa","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:9d8e52e9-e6d5-4fa0-ac4f-9b3a43418dcb","http://resolver.tudelft.nl/uuid:9d8e52e9-e6d5-4fa0-ac4f-9b3a43418dcb","A LSTM-based Generative Adversarial Network for End-use Water Modelling","Xie, yukun (TU Delft Civil Engineering & Geosciences)","Blokker, E.J.M. (mentor); Taormina, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Research pertaining to end-use water analysis plays a pivotal role in enabling local communities to enhance their management of pipelines, water resources, and associated policies. Nowadays, various end-use models have been developed based on diverse databases and measurements. Nonetheless, a predominant drawback prevalent in most of these models is their limited spatial scope and sluggish computational speed. This thesis endeavors to address these challenges through the proposition of a generative adversarial network (GAN) based stochastic end-use demand model. The SIMDEUM model, a stochastic end-use model, was first published in 2010. Since its inception, it has garnered substantial recognition and validation from numerous researchers. Within this thesis, the GAN model utilizes SIMDEUM as the training set and undergoes validation utilizing a comprehensive measurement dataset, encompassing over 1000 households from the Netherlands and the United States. Remarkably, the GAN model attains an error rate of 12% for end uses, coupled with an R2 value exceeding 0.8 for the overall model. In contrast to SIMDEUM, the GAN model significantly enhances computational speed by more than 500%. Furthermore, the GAN model can be tailored to specific requirements and seamlessly processes raw data.It is concluded that the GAN-based stochastic water use model presented in this thesis adeptly simulates end-use water demand.","End-use water demand; Generative adversarial network (GAN); Long short-term memory (LSTM)","en","master thesis","","","","","","","","","","","","Civil Engineering | Environmental Engineering","",""
"uuid:6fc66011-2865-420d-b312-b456d891270b","http://resolver.tudelft.nl/uuid:6fc66011-2865-420d-b312-b456d891270b","Low-thrust Trajectory Optimization to Earth’s Mini-Moons: A Case Study of 2006 RH120","Fernández Martín, Enrique (TU Delft Aerospace Engineering)","Noomen, R. (mentor); Scheeres, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Temporarily Captured Orbiters (TCOs) - also known as Earth’s mini-moons – are meter-size asteroid fragments temporarily trapped in the Earth-Moon system. TCOs are challenging to identify due to their small size and high speed. While only two TCOs have been confirmed so far, studies suggest a constant presence of at least one TCO at any given moment. This research aims to analyze transfer possibilities to these objects using a spacecraft powered by low-thrust electric propulsion departing from hibernating orbits near Sun-Earth L1. The study focuses on the TCO 2006 RH120, but it intends to develop tools that can be used to analyze other TCOs as they are discovered by advanced survey systems under development. A fast and robust optimization algorithm is developed, which successfully analyzes various departure orbits and identifies low delta-v transfers in the order of 200 m/s.","Low-thrust propulsion; Trajectory Optimization; Temporarily Captured Orbiters; Earth Mini-Moons","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:edd8f9a8-3a51-4aae-bdfc-0609eafa714d","http://resolver.tudelft.nl/uuid:edd8f9a8-3a51-4aae-bdfc-0609eafa714d","Interactive Model Explanations for Greater Intelligibility","Aishwarya, Nilay (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Web Information Systems)","Gadiraju, Ujwal (mentor); Murukannaiah, P.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","As AI is progressively incorporated into several spheres of society. This rapid growth has also brought a lot of challenges such as discriminating or skewed results and a lack of accountability. To address these challenges, there is a growing interest in Human-AI teams where AI-assisted decision-making includes humans in the loop. This approach has been widely explored to address the issue regarding transparency, reliability, and trustworthiness.
However, the essential premise of Human-AI teams in critical applications is that humans must comprehend the reasoning behind an AI system’s decisions. Because of the opaqueness of the AI systems, it has been proved very challenging for humans. The field of explainable AI, is promoted as the link that permits human comprehension of AI systems. A wide range of machine learning explainability techniques have been created. However, standalone explanation techniques have been found to have limited success in ensuring a coherent understanding of AI systems. The primary cause is the insufficient interactivity, absence of actionable human feedback, limitation to specific information, and lack of personalization. Other approaches, such as XAI Dashboards that provide users with multiple standalone explanations have been found to cause information overload. Recent studies suggest that an overload of information can lead to suboptimal AI reliance and understanding. Additional studies also show that XAI dashboards because of their limited interactive nature, the information interchange is mostly unidirectional. Further studies pointed out that XAI dashboard may fail due to unidirectional information exchange, which hinders active user exploration. This may result in an incoherent understanding of the AI system.
Delivering explanations through conversations (conversational XAI) can be a potential solution to address the research gap. Recent studies have shown that the interactive exchange of information may promote a better understanding and uncertainty awareness of AI systems. Additionally, the ability to selectively answer user-specific queries may help users create a better mental model of the AI system and hence improve appropriate trust and reliance. Finally, the personalized conversation may also help in higher perceived trust and address user information need about AI systems.
In this research work, we performed an empirical study (𝑁 = 245) to evaluate the impact of conversational XAI on the understanding, trust and reliance of the AI system. The interface for conversational XAI is built with a rule-based approach. To understand how the impact varies compared to widely adopted alternatives — XAI Dashboard, we compared the understanding, trust, and reliance of AI systems with a between-subjects setup. Additional effects of user-specific personalization of conversational XAI were also studied.
Overall, we found that participants with explainer interfaces showed improved trust and reliance compared to the control condition (i.e., no XAI). However, such increased reliance are not necessarily appropriate reliance. The experimental results observed a clear over-reliance on the AI system for participants with XAI. Additionally, no significant difference was observed in user understanding, trust and reliance between XAI dashboard and conversational XAI interface. Our results and findings may provide useful guidelines to future work about conversational XAI interface and XAI-assisted decision-making.
To this end, a model of the combined electricity and hydrogen system of the Netherlands is constructed in Linny-R, an executable graphical representation language for Mixed Integer Linear Programming (MILP). A vast array of output data from forecasting scenarios is gathered and consolidated to establish the spectrum of input variables. By systematically assessing the uncertainty, based on these ranges of input variables, and the impact, based on a sensitivity analysis, an experiment design is composed to encompass the most complete reflection of all uncertainties within computation limits. Numerous experiments are then conducted to generate outcomes, determine prudent capacity, identify influential uncertainties, and evaluate the impact of large-scale hydrogen storage on electrolysis potential.
The research results demonstrate that the potential for electrolysis increases from 8-12 GW in 2030 to 8-44 GW in 2040, indicating the cruciality of flexibility for the Dutch energy system in the coming years. The most prudent capacities for 2030 and 2040 are identified as 12 GW and 38 GW, respectively, with 9 GW being identified as the no-regret capacity to be installed by 2030. Therefore, the research recommends stimulating additional investments beyond the initial targets of 3-4 GW for 2030 to foster the Dutch hydrogen economy. Moreover, there is substantial risk of overinvestment associated with the prudent capacities, especially as a result of the growing uncertainty in 2040. Deploying additional flexible system capacity to prevent electrolysis from acting as a loss of load mitigation measure can lower the prudent capacity and reduce the risk of overinvestment. Furthermore, policy measures should focus on stimulating influential uncertainties such as hydrogen demand, peak residual load and hydrogen storage. Every additional 100GWh of underground hydrogen storage capacity enables 500MW of electrolysis potential. Moreover, the last few gigawatts of the prudent capacities will primarily serve as peak production plants with limited operational hours and margins starting at 11.00 EURO/kg required to recoup the investments.
Further research opportunities include incorporating geographical and grid aspects into the model, exploring profitable conditions for investments in the last few GW of electrolysis capacity, investigating the role of large-scale hydrogen storage, and studying the potential of electrolysis beyond national borders in the North Sea interconnected electricity generation hub.","Electrolysis; Hydrogen production; Energy system modelling; Uncertainty exploration","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:4c4583b4-5322-49a9-9b03-ca1556348bdd","http://resolver.tudelft.nl/uuid:4c4583b4-5322-49a9-9b03-ca1556348bdd","Highly Efficient Dual-Side Wireless Power Transfer:: Implementation of Synchronization and Wireless Communication","Dialani, Hitesh (TU Delft Electrical Engineering, Mathematics and Computer Science)","Dong, J. (mentor); Zhu, G. (mentor); Bauer, P. (graduation committee); Ghaffarian Niasar, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis presents a practical topology for achieving highly efficient dual-side wireless power transfer (WPT). Traditional WPT systems with a diode rectifier on the secondary side lack flexibility in load matching, requiring the integration of an additional dc/dc converter at the back end. However, this approach leads to increased power losses and costs. In contrast, this thesis proposes the use of an active rectifier comprising MOSFETs, replacing the diode rectifier.
By employing a dual active bridge topology with dual-side control, optimal load tracking is achieved by tuning one side and communicating the desired duty cycle or phase angle to the other side. To address practical challenges, two key aspects are considered.
Firstly, synchronization is established between the generated current on the
secondary side and the new active rectifier, enabling efficient load tracking and the potential for zero voltage switching (ZVS). This is accomplished using a printed circuit board (PCB) equipped with zero current crossing detection (ZCCD), validated with an 85kHz test signal. The PCB triggers the PWM output of the secondary side microcontroller with a latency of less than < 50ns, utilizing the trip-zone digital compare sub-block integrated into the TMS320F28379D.
Secondly, seamless wireless communication between the primary and secondary sides is essential. While the secondary side can measure
the current and voltage across the load to adjust its duty cycle for optimal conditions, the primary side lacks this information. Therefore, the secondary side transmits the new duty cycle to the primary side to
ensure consistent power flow. The nRF24L01+ wifi module is utilized as a dual-purpose transmitter and receiver for achieving wireless communication. Validation of this wireless communication is performed
by remotely controlling an external LED, connected to the receiver side, from a distance of approximately 5m.accurately to the transmitted values. Additionally, a mathematical modeling approach is used to optimize power delivery and mitigate high-frequency noise by incorporating two parallel MLCC capacitors on a custom PCB near the nRF24L01+ module.","Wireless Power Transfer; Power Electronics; analog circuit design; Wireless Communication; EV vehicle","en","master thesis","","","","","","","","","","","","Electrical Engineering | Electrical Power Engineering","",""
"uuid:1eaddfa5-25c3-4eef-b771-962b8536d218","http://resolver.tudelft.nl/uuid:1eaddfa5-25c3-4eef-b771-962b8536d218","Optimal Feedforward Control for Offshore Wind Turbines During Grid Faults","Spijkerman, Danny (TU Delft Mechanical, Maritime and Materials Engineering)","Mulders, S.P. (mentor); Navalkar, S.T. (mentor); Solberg, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Due to the increased share of (offshore) wind turbines, more stringent power requirements have been established. Importantly, the low-voltage ride-through requirement states that a wind turbine must remain connected to the electrical grid after a short intermittent grid fault. In the industry and academia many solutions have been proposed, but these are limited by requirements of detailed system knowledge, lack of optimality guarantees, or no testing on high-fidelity models. Therefore, two Iterative Learning Control (ILC) algorithms are presented aimed to solve these issues. The ILC algorithms apply model-free learning based on iterations. Shown is that these ILC algorithms can yield improved performance on a low- and high-fidelity models, with fast convergence of the 2-norm of the output error. The major contributions of this work lie in the application of ILC on grid fault control for wind turbines and in the extension of the norm-optimal ILC to include input constraints using optimisation methods.","Iterative learning con; Wind turbin; Offshore wind turbine; feedforward control; Optimal control; Grid faults; low-voltage ride-through","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:10e39ac8-09d1-44df-b702-400c642a6d43","http://resolver.tudelft.nl/uuid:10e39ac8-09d1-44df-b702-400c642a6d43","+ecdochica: The spolia of in between","Varoutsos, Panayiotis (TU Delft Architecture and the Built Environment)","Schoonderbeek, M.G.H. (mentor); Jennen, P.H.M. (graduation committee); Milani, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","René Char's aphorism 'They installed us heirs without a will' as a cause for reflection locates the inability of the man of the 21st century to perceive what the past of the place has left behind. In general, it demonstrates the failure of our instant culture to understand the meaning of urban heritage and the dismissal of a consciousness of the past. This consciousness and its identification within the space of ruins is a prevalent theme in architecture ever since renaissance, and today it’s becoming even more pressing especially in the light of cultural provision along the New Silk Road. In the case of Istanbul, and more specifically the port of Haydarpaşa, this space is under scrutiny since the system of values that governs it is shifting drastically. Among ancient ruins and neglected industrial heritage, the city fluctuates through a process of change, in a constant state of in-between, struggling to tolerate the unresolved borders of different social, cultural, and historical layers, all of which are interwoven within the same urban narrative. How can we use architecture as a medium to bridge the gaps between the different layers of cultural and historical importance that contrast and intersect within the urban fabric? How to enable a ruin space pivotal to Istanbul’s modern and ancient history in order to become part of the landscape of a new coastal development that has been closed off to the city for decades? The design proposal aims to the prospective revisiting of the ruin space as a field of interpretation, revision and reconstruction that is reflective instead of restorative towards the potential future. It suggests a place existing so above as below the current site that reflects the contradictory forces of its very creation, the underground archeology of antiquity and the overground industrial heritage. The design phase includes the activation of the programmatic potentials informed by the intermediate (in-between) spaces identified within the site and reflects the meeting of different eras that happens on the ground level, the ‘in between’ space of the investigation.","Archeology; Excavation; Mining","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Borders and Territories","",""
"uuid:ab198d4a-b6c7-4ad2-9c0b-08d98ee53a7d","http://resolver.tudelft.nl/uuid:ab198d4a-b6c7-4ad2-9c0b-08d98ee53a7d","Multi Target XGBoost Cash Flow Prediction: An Efficient Machine Learning Algorithm For Future Liability Projections","van Schagen, Sebastiaan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Meester, L.E. (mentor); Visser, M. (mentor); Papapantoleon, A. (graduation committee); Bierkens, G.N.J.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Insurers are required to have buffers to be able to meet financial obligations that result from their portfolios, which are determined using a cash flow model. The input of such a cash flow model consists among of things, of two mortality tables and the portfolio of an insurer. Mortality rates are simulated using the Lee-Carter model. These simulated rates are in turn used to simulate the cash flow corresponding to a portfolio. This results in one possibility of incoming and outgoing money over a period of time. Lots of simulations are required to get a reliable estimate for the future cash flow which is (depending on the number of simulations) computationally heavy and therefore time consuming. The calculation time is decreased by applying an extreme gradient boosting (XGBoost) machine learning method in which cash flows are considered target variables and the mortality tables are considered features of the model. The trained XGBoost model can predict the cash flows based on the mortality tables. The standard XGBoost model is extended to a multi-target regression model which is able to predict multiple target variables at once. This XGBoost model reduces the computation time and ensures that 99.5% of the predictions deviates within either 1% or 0.5% of the observed values. XGBoost gives a good method of determining a reliable estimate of the future cash flow.","Cash flow simulation; Lee-Carter model; Extreme Gradient Boosting; Multi-Target Regression; Solvency II; Machine Learning (ML)","en","master thesis","","","","","","","","","","","","Applied Mathematics | Financial Engineering","",""
"uuid:043b21c0-3902-46a0-b55e-b5c0016fe53e","http://resolver.tudelft.nl/uuid:043b21c0-3902-46a0-b55e-b5c0016fe53e","Overall design optimization of offshore wind farms","Kamath, Videep (TU Delft Aerospace Engineering)","Watson, S.J. (mentor); Feng, Ju (graduation committee); Delft University of Technology (degree granting institution)","2023","The objective of this thesis is to build an optimization algorithm with the aim of optimizing layouts for two objective functions - Annual Energy Production (AEP) and Levelized Cost of Energy (LCoE), for large offshore wind farms. The algorithm considers the four main factors that are taken into account when creating a preliminary system design for an offshore wind farm. They are - the geographical location of the turbines, the hub height of the turbines, the type of the turbine, and the total number of turbines in the design space.
The annual energy production (AEP) of the wind farm is calculated using PyWake which uses the simple NOJDeficit wake model combined with the required superposition and blockage models to resolve wind turbine wakes. This AEP is then fed into TOPFARM, an economic solver developed at DTU which uses scaling factors to derive the total cost of the wind farm. Constant factors such as the discount rate, distance from shore, foundation type, and drivetrain type are also considered when deriving the total cost of the wind farm.
The results of this process are used to determine whether a system design is better than another. Several constraints are applied when changing each optimization variable to keep each iteration as realistic as possible. The boundary is assumed to be a square. Both algorithms arrive at similar results, with random search providing a much better solution with approximately a 40\% reduction in LCoE.","","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:c7e5b728-7f9e-4a81-a308-fe54f7157851","http://resolver.tudelft.nl/uuid:c7e5b728-7f9e-4a81-a308-fe54f7157851","Pushing with a quadrupedal robot: A proof of concept regarding stable pushing by a quadrupedal robot","Bracelly, Fernão (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Delft Center for Systems and Control)","Sijs, J. (mentor); Della Santina, C. (mentor); De Schutter, B.H.K. (mentor); McAllister, R.D. (mentor); Delft University of Technology (degree granting institution)","2023","Quadrupedal robots possess the ability to move freely in the world and perform a variety of actions that would be unsafe or impractical for humans to perform. In the SNOW project, a quadrupedal robot is tasked with aiding firefighters in rescue missions during house fires by locating humans and assessing their health. Pushing away obstacles that cannot be circumvented otherwise is one of the many capabilities a quadrupedal robot should possess to be of most use in such missions. We develop a proof of concept by solving two problems sequentially: which stance to take on prior to the push and how to perform the push.
The process of stance selection starts with generating a certain amount of stances. Stances are generated starting from a preselected stance appropriate to the goal location and deviating from the 12 joint angles with a normal distribution. All generated stances are ran through a number of filters, which rely on solving for the forward and inverse kinematics of the robot. These filters check if the initial position is sensible and balanced and if the projected final position is close to the goal and balanced. The inverse kinematics are solved using Adaptive-Network-Based Fuzzy Inference Systems (ANFIS), which results in accurate estimations within a time frame that can be used in real-time applications. The final stance is selected by comparing the total displacement of all joint angles per stance, where the lowest total displacement is considered optimal.
The push is controlled by a nonlinear model predictive controller. We strive for a stable push, where the contact between the pusher and the object sticks, by keeping the movement of the end-effector within the motion cone. The motion cone denotes all twists the object can have without slipping at the contact with the pusher and is constructed using the limit surface to model the interaction between the object and the support surface and the generalized friction cone to model the interaction between the pusher and the object. We find that the motion cone predicts stick and slip with an accuracy slightly higher than 80%. Our controller steers accurately to all goals that lie within the motion cone and moves the object with a twist on the edge of the motion cone if the goal location lies outside of the motion cone. The robot remains balanced throughout the pushing motion in the vast majority of cases, but is more at risk of tipping over when pushing heavier objects.","Pushing; Control; Quadruped; Quasi-static; Motion cones; Stance selection; ANFIS","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:445220b1-e4c4-4113-a5e8-d3689464fb23","http://resolver.tudelft.nl/uuid:445220b1-e4c4-4113-a5e8-d3689464fb23","Comparative Social Life Cycle Assessment between Battery Electric Vehicles and Internal Combustion Engine Vehicles","Molhuizen, Max (TU Delft Technology, Policy and Management)","Blanco Rocha, C.F. (mentor); Tsalidis, G.A. (mentor); Delft University of Technology (degree granting institution)","2023","Battery electric vehicles (BEV) as opposed to internal combustion engine vehicles (ICEV) are seen as a viable solution for reducing transportation related environmental impacts. There are however advantages and disadvantages to both alternatives. Environmental life cycle assessments (LCA) are used to quantify the environmental lifecycle impact of these vehicles. The social impact for people in the value chain of these alternatives has not yet been tested. For this purpose, a social lifecycle assessment (S-LCA) can be conducted. This paper compares BEVs and ICEVs in a S-LCA according to the UNEP/SETAC guidelines, using the Product Social Impact Life Cycle Assessment database (PSILCA). It was found that overall, the ICEV seems to have lower levels of social risk related to the life cycle than the BEV has. These differences are smaller or opposite when the lifetime of both vehicles is assumed to be longer. The raw material extraction seems to be a hotspot for social risks, especially the extraction of cobalt in the Democratic Republic of Congo has high risk levels. The paper includes the assessment of a future end of life scenario where BEV batteries are recycled. Recycling could reduce the amount of social risk related to the BEV. The utility of the PSILCA for this case and the general cost sensitivity of this method was discussed. It was concluded that while the PSILCA is useful to provide insights in social risks related to a products lifecycle and uncovering hotspots, it is not very suitable to compare product systems where a high number of assumptions are made. The results of this study should therefore not be used for generalisations.","Social Life Cycle Assessment (S-LCA); PSILCA; Battery Electric Vehicle (BEV); Internal Combustion Engine Vehicle (ICEV); social risk; industrial ecology","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:06bb3172-4852-4a0f-bc21-35287c62e202","http://resolver.tudelft.nl/uuid:06bb3172-4852-4a0f-bc21-35287c62e202","Data-based modal space control for active damping","Fan, Shiyu (TU Delft Mechanical, Maritime and Materials Engineering)","Hassan HosseinNia, S. (mentor); Kaczmarek, M.B. (graduation committee); Goosen, J.F.L. (graduation committee); Khosravi, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research presents a novel data-based modal control method for actively dampening the flexible mode in a multi-input multi-output (MIMO) system. Traditional passive damping methods add significant mass to the system, making recent advances in sensor and actuator technology, such as lightweight piezoelectric materials, a more appealing solution. The key contribution of this research is a novel modal decoupling method for active damping that uses the MIMO frequency response function to circumvent the need for a parametric model. This method facilitates the design of a single-input, single-output (SISO) controller that actively dampens a flexible mode using all available sensors and actuators. This approach significantly reduces the complexity of the controller design and tuning effort compared to the conventional decentralized control architecture. Experimental validation is carried out on a cantilever beam, which shows near-perfect isolation of the mode of interest. The study's findings may offer critical insights for future mechatronics systems, enabling the creation of more efficient and powerful machines.","Active Vibration Control; Independent Model Space Control; Active Damping","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:7e2448fd-44f1-4711-8901-e3c88a236927","http://resolver.tudelft.nl/uuid:7e2448fd-44f1-4711-8901-e3c88a236927","Observations of the quasi-steady aerodynamic model of the Atalanta project for additional velocity conditions","KOTTISWARAN, JAYESH BALAJI (TU Delft Mechanical, Maritime and Materials Engineering)","Goosen, J.F.L. (mentor); Buchner, A.J.L.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research work presents the observations of the updated quasi-steady aerodynamic model of the Atalanta project, a flapping wing Micro Air Vehicle (MAV) project, for additional velocity conditions. The main focus of this research work is to update the existing quasi-steady model of Q.Wang developed for hovering conditions since its performance under additional velocity conditions remains unexplored. This work thus examines the influence of the additional velocities on the computed aerodynamic loads such as lift, drag, and also the influence on the passive pitching motion.
To incorporate the additional velocity into the quasi-steady model, the resultant translational velocity is computed by the vector addition of the kinematic velocity of the wing and the additional velocity. This is done by transforming the additional velocity in the inertial frame of reference to the co-rotating frame of reference, followed by the proper vector addition of the translational velocity components to compute the resultant translational velocity to be used in the aerodynamic load calculations.
Observations reveal that the generated aerodynamic lift and drag, and the passive pitching motion vary depending on the orientation and the magnitude of the additional velocity with respect to the kinematic motion of the wing, and that the significance of influence of the additional velocity magnitude depends on the flapping frequency and the elastic hinge stiffness. The results observed are analyzed to understand the influence of various additional velocity conditions on the computed aerodynamic loads and the passive pitching motion.
The updated model provides valuable insights into the behaviour of lift, drag, and passive pitching motion under various additional velocity conditions which can be used as a basis for approaching the solution of forward flight. These observations contribute to a better understanding of the aerodynamic quasi-steady model of the Atalanta project and pave the way for future research and experimental validation of the model.","Aerodynamic quasi-steady model; Frame of Reference; Passive Pitching Motion","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Precision and Microsystems Engineering","",""
"uuid:4c58d965-4d15-4582-b3ae-fe92cb5d4c52","http://resolver.tudelft.nl/uuid:4c58d965-4d15-4582-b3ae-fe92cb5d4c52","Clustered Multi-Target Search in Unknown Large Environments Using Modified Bee Swarm Optimization","Ariana, Ramin (TU Delft Mechanical, Maritime and Materials Engineering)","Hellendoorn, J. (mentor); Delft University of Technology (degree granting institution)","2023","Swarm robotics (SR) is an emerging field of research that utilizes a swarm of robots that work together as a team to solve complex problems. One such challenge in SR is the exploration of unknown environments to find multiple targets. This problem finds applications in various domains, such as archaeology, underground exploration, signal source localization, and more. In line with this, the objective of this thesis is to develop a scalable SR algorithm for efficiently finding clustered targets in large unknown environments. Similar to how artefacts in archaeology are often found clustered around old settlements in large areas.
Inspired by Bee Swarm Optimization (BSO), the proposed algorithm leverages the strengths of BSO in balancing exploration and exploitation. However, modifications are made to adapt the proposed algorithm to incorporate limited communication range scenarios, common in large-scale environments. Also, the exploration-exploitation properties of BSO are redesigned. The proposed algorithm is named Modified Bee Swarm Optimization (MBSO). Here, robots assume different roles (scout, onlooker, experienced forager), similar to BSO, to optimize search and exploitation tasks. To address the issue of limited communication range, the robots establish an ad hoc network, truncating target information throughout the swarm. Additionally, an Artificial Potential Field (APF) is introduced to guide robots towards targets, and away from readily travelled clusters. To further aid the balancing of exploration and exploitation, a swarming architecture is introduced. This architecture is called the Architecture Multi-robot systems heterogeneous robots with Emergent Behaviour (AMEB) and aids with the decision-making of individual robots. The AMEB architecture is used to determine whether scouts should become onlookers, and the speed at which experienced foragers change back to scouts while considering real-robot physical limitations and individual performance levels. This architecture facilitates the continued advancement of the algorithm by allowing for the integration of additional sensory inputs, which in turn influences individual decision-making and, consequently, the emergence of the swarm. Lastly, cluster recognition is added to the algorithm, resulting in robots not transferring to readily travelled areas.
The characteristics of MBSO are evaluated. The target finding performance is benchmarked against a generic random walk method that stems from Lévy walking. Furthermore, this study investigates the effects of scaling the algorithm with an increasing number of robots and varying specific control parameters of MBSO on various aspects such as performance, redundancy, scalability, stability, and robustness. The results of this research have implications beyond archaeology, as the algorithm can be applied to various multi-target search problems in large unknown environments, such as minefield detection. By adjusting the proposed input variables, the algorithm can be optimized for these different scenarios. The developed SR algorithm shows promise in efficiently finding and truncating target information, leveraging the short communication range of the individual robots.
Overall, this thesis presents a novel approach to autonomous multi-target searching, in cases where targets are spread out in clusters, using scalable robotic swarming algorithms. In a field of 0.36 ha with 34 targets spread out over 5 clusters, robots that transfer with a speed of 6.4 km h−1 and optimal parameters for MBSO, scaling from 10 to 15 robots leads to 7.74 % more targets being found. Scaling from 15 to 20 robots resulted in 13.22 % more found targets...","swarm robotics; exploration; exploitation; Multi-target detection; Multi-cluster finding; bee swarm optimization; local-communication; decentralized algorithm; decentralized control; ad hoc networks; artificial potential field; Webots; Architecture multi-robot systems heterogeneous robots with emergent behaviour; Archaelogy; Swarm intelligence","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:da9c15ee-4998-4792-8cde-519da2cbb59d","http://resolver.tudelft.nl/uuid:da9c15ee-4998-4792-8cde-519da2cbb59d","Parking Space Allocation Strategy Optimization during Planned Special Events","Wang, Yunyun (TU Delft Civil Engineering & Geosciences)","Rinaldi, M. (mentor); Correia, Gonçalo (graduation committee); Knoop, V.L. (graduation committee); Arslan, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","This project aims to relieve traffic pressure and enhance the parking experience for attendees during planned special events (PSEs). The objective is to develop an optimal strategy for efficiently allocating parking spaces during PSEs in parking lots.
PSEs, such as football games or large concerts, typically result in concentrated vehicle arrivals within a limited time period, leading to increased traffic flow, potential disruptions, elevated emissions, and safety concerns in nearby areas. By optimizing parking space allocation strategies in the parking lot, this project seeks to improve overall traffic management and relieve these challenges.
To achieve this, a linear programming (LP) algorithm and a simulation-based genetic algorithm (GA) are employed to search for the optimal solution. While the LP model offers computational efficiency, it has limitations in incorporating different route conditions. To address this, an agent-based simulation is constructed to depict the interaction and movement of vehicles within the parking lot. The simulation-based GA utilizes objective values derived from the simulation, providing a more comprehensive basis for finding the optimal solution. The allocation process considers factors such as parking lot layout, vehicle entry time step, and specific parking rules including road directions within the parking lot.
Results demonstrate that the optimal strategy obtained from the simulation-based GA outperforms comparison groups. The simulation-based GA showcases its ability to converge on the optimal solution within a large solution area. The optimal strategy saving time for all vehicles, particularly during periods of high demand. Effective parking is achieved by allocating parking spaces according to the arrival order and positioning vehicles on the left or right based on their arrival order and parking space location.
By employing these methods, this project offers a valuable contribution to the field of parking space allocation in the parking lot during PSEs, enhancing the overall parking experience for event attendees.","Optimization; Agent-Based Modeling & Simulation; Parking Space Allocation; Genetic Algorithm - GA","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:a583c497-b0a4-40b6-835b-ef2080b684d3","http://resolver.tudelft.nl/uuid:a583c497-b0a4-40b6-835b-ef2080b684d3","Light trapping in the polymer front sheet of Solarge light-weight photovoltaic modules","Shanka Vasuki, Sathya (TU Delft Electrical Engineering, Mathematics and Computer Science)","Santbergen, R. (mentor); Šimurka, Lukáš (mentor); Gordon, I.M.F. (graduation committee); Dong, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis aims to enhance the performance of solar cells, specifically those used by Solarge, through comprehensive research on optical characterization and texture fabrication.
In the first part, the focus is on understanding and optimizing the optical properties of Solarge's polymer front sheet and encapsulant. Through meticulous measurements, both computationally and experimentally, the thickness and optical constants of each layer are determined. This information is used to develop an accurate optical model, validated against real-world measurements, to simulate the performance of Solarge's solar cell stack. Additionally, electrical performance is thoroughly evaluated using a combination of computational and experimental techniques.
The second part of the research delves into the fabrication of textures on Solarge's front sheet to minimize optical losses. Two fabrication methods, release papers and Teflon sheets, are examined, and a diverse range of texture morphologies undergo rigorous experimental testing. These textures are carefully evaluated based on their optical and electrical characteristics. By analyzing the findings from the initial texture analysis, a set of requirements is established to guide further investigations and determine the most effective texture for Solarge's solar cell stack. A computational study is conducted to accurately simulate and analyze different texture geometries, including scaled-up bio-inspired textures, random pyramids, and corner cubes, using the advanced capabilities of GenPro4 software. Based on the results obtained, two texture geometries are recommended to Solarge for further optimization. The implementation of the first recommended texture demonstrates a significant increase of 2.65% in power output compared to the current Solarge cell stack.
A holistic perspective is provided through a simple financial analysis, evaluating the potential profitability and economic feasibility of implementing the recommended improvements outlined in this thesis. The research findings offer practical insights for Solarge, enabling them to enhance module performance, reduce optical losses, and potentially generate substantial revenue.
In conclusion, this thesis presents a comprehensive investigation into the optical characterization and texture fabrication for Solarge's solar modules. The research outcomes contribute to an improved understanding of the optical properties of the cell stack components and the impact of surface texturing on performance. By combining scientific analysis, experimental testing, and computational simulations, this research offers valuable recommendations to enhance the overall efficiency and profitability of Solarge's solar cell stack.
The results demonstrate that reversibility in rSOE mitigates risks associated with SOE, acting as a hedge against high power prices, low hydrogen prices, power profile inconsistency, and price volatility. Moreover, reversibility significantly enhances absolute techno-economic feasibility under specific conditions. The conditions require either a minimum ratio between the average power price and switch-price, choosing natural gas as a secondary feedstock, or meeting balancing market requirements.
This research reveals the feasibility-improvement potential of reversibility in SOE. The corresponding conditions are essential to identify the most suitable applications of the technology.
The solution proposed in this thesis is to utilise the Upper Stabiliser Frame (USF), a Heerema Marine Contractors concept, which helps to eliminate unwanted motions of the wind turbine while in the air. The frame gets mounted at a height on the tower above the combined centre of gravity of the whole wind turbine. Since this frame is only a concept, its exact effect on the installation has not yet been studied in detail, and the actual design of the frame has not yet been fully developed. Therefore, the aim of this thesis was to analyse the loads experienced by the whole system (floating vessel and wind turbine) during such an installation and to investigate how the USF frame could be designed to constrain the relative rotation between the tower and the USF.
To reach the objective of the thesis, various analyses were conducted in LiftDyn, an in-house Heerema software, and OrcaFlex, where the whole system, or parts of the system, were investigated. A modal analysis and frequency domain analysis were done in LiftDyn while time domain analyses of different environmental loads were performed in OrcaFlex. The response of the system was examined, and based on the results, the maximum yaw moment acting on the tower under limiting environmental conditions was determined.
The results of the investigation showed that the WTG motions are limiting for safe operation and lead to very low acceptable environmental conditions compared to other installation methods. Based on these conditions, the maximum tower yaw-moment recorded was 2100 kNm. This moment was transformed into a tangential force acting on the tower, which the frame had to counteract. Two possible designs of the USF, both utilising friction, were created. The first design consisted of friction pads spaced around the circumference of the tower, while the second used a band brake to deliver the necessary friction. A multi-criteria analysis with weighted factors was conducted to evaluate which design performed better. Based on this analysis, the band brake design showed better performance, making it the most suitable design for the USF.
The novel concept of the single lift installation strategy with the USF is still not ready to be used yet for real projects and will require further development to become competitive with currently used strategies. This thesis has formed the basis for further research in the field by identifying key problems that must be resolved and suggesting innovative solutions for the USF design. This installation strategy has the potential to revolutionise wind turbine installation by decreasing installation time, increasing operational efficiency, and in general, streamlining the whole process.","Semi-submersible vessel; Single lift installation; Crane operation; Floating vessel; Offshore wind; Offshore wind turbine; Offshore wind turbine installation; OrcaFlex","en","master thesis","","","","","","","","","","","","European Wind Energy Masters (EWEM)","",""
"uuid:33f0517c-1b04-4bc1-87f7-495ccb9a2dd5","http://resolver.tudelft.nl/uuid:33f0517c-1b04-4bc1-87f7-495ccb9a2dd5","THE JUNKSPACE MONUMENT, An ode to monsters and parking lots: An empowering network of urban interventions in Rotterdam","Fabre, Elodie (TU Delft Architecture and the Built Environment)","Alkan, A.S. (mentor); Vrachliotis, Georg (mentor); Hehenkamp, E.W.M. (mentor); Delft University of Technology (degree granting institution)","2023","Facing the neo-liberalisation of the city, ""the furtive monument"" is a path across the city, combining programs of the body, the mind and the politics, in order to reach a state of empowerment. Based on a situationist drift, architectural interventions transform the way the city of Rotterdam is experienced, not based on profit but based on programmatic activations. If the city is a generic cadaver, the project has the ambition to revive its soul from its carcass, extracting its qualities, disrupting the systems in place and re-assembling its components. The city, seen as programmatic intensities, states that architecture doesn’t have to be built.
The objective of this thesis is to determine how to instrument an offshore nautical radar in order to monitor vibrations in operating conditions. To achieve such a feat, short term vibration measurements (for non-opearating conditions) are performed on a 5.7-meter-long radar antenna that is supported by truss tower (or mast) with a height of 20 meters, located in Rijkswaterstaat’s test site in Stellendam. The aim of this approach is initially to extract the modal properties of the two structures, examine their interaction, and gather relevant information that can facilitate the determination of what a future sensor network, for the long term monitoring of the antenna, could look like.
For the realization of such measurements two main system identification techniques are used along with a small sensor suite of accelerometers. Experimental Modal Analysis (EMA) is performed on the radar antenna, by approximating a laboratory setting with minimal environmental interference. The system’s dynamic properties are extracted and analyzed critically in order to identify suitable sensor specifications and fitting sensor positioning among others. On the other hand, an Operational Modal Analysis (OMA) is executed on the truss tower in an attempt to see how its structural behaviour may affect the radar antenna’s response and any future monitoring plan.
The results obtained from the aforementioned modal analyses, are employed to propose a long term sensor network for the radar antenna, along with monitoring techniques that can be used to achieve the goal of damage detection. What becomes also evident from the current approach, is the need of a better equipped sensor suite and a cross-validating Finite Element model, in order to achieve more robust results.","","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:0a2ad674-33c6-4b96-80bd-fca8b0e98b78","http://resolver.tudelft.nl/uuid:0a2ad674-33c6-4b96-80bd-fca8b0e98b78","Design of a Hybrid Tunable Magnet Actuator with increased dynamic capabilities","Swank, Tim (TU Delft Mechanical, Maritime and Materials Engineering)","Hassan HosseinNia, S. (mentor); Delft University of Technology (degree granting institution)","2023","To increase the accuracy of high-precision motion systems, the heat dissipation in electromagnetic actuators must be reduced to limit thermal expansion. Variable Reluctance Actuators have a high-frequency bandwidth but suffer from heating when providing high forces for sustained periods of time. Tunable Magnet Actuators can provide a large force endlessly without the need for constant energy input. The efficient frequency bandwidth of the Tunable Magnet Actuator is a limiting factor, Therefore, a combination of the two techniques is proposed to deliver efficient, high-frequency actuation at a wide range of forces. A design is proposed that integrates a drive coil and tunable magnet into a single magnetic circuit to maximize the motor constants. The new Hybrid Tunable Magnet Actuator allows for tracking of high-frequency signals and has reduced heat dissipation for signals that are offset from zero.","Electromagnetic Actuator; Magnetic Circuits; tunable magnet; variable reluctance actuator; Heat Dissipation","en","master thesis","","","","","","The main contribution is written as a scientific paper in Chapter 3, it can be read independently but does refer to the appendices of the thesis report for further reading.","","2025-07-18","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:ac2ca0ad-c800-4c4a-9d8b-9773ef601a20","http://resolver.tudelft.nl/uuid:ac2ca0ad-c800-4c4a-9d8b-9773ef601a20","Modelling the dynamic behaviour of a PEM fuel cell system","de Mol, Esmée (TU Delft Mechanical, Maritime and Materials Engineering)","van Biert, L. (mentor); Visser, K. (graduation committee); Goselink, N.G.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","The research objective of this thesis is to simulate the dynamic behaviour of a proton exchange membrane (PEM) fuel cell system. The model will encompass the fuel cell stack and some simplified balance of plant components. It will focus on simulating the reactions of the cell and the system with regards to changes in the requested load.","Fuel cell; Modelling and simulation; Simulink; PEMFC","en","master thesis","","","","","","","","","","","","Marine Technology","",""
"uuid:2d638dcb-2b17-43a6-bb0c-3efff758e524","http://resolver.tudelft.nl/uuid:2d638dcb-2b17-43a6-bb0c-3efff758e524","An Assessment of FeOF as Cathode Material for Fluoride-Ion Batteries: Expanding the Horizons of Sustainable Energy Storage","Breed, Paul (TU Delft Mechanical, Maritime and Materials Engineering)","Braga Groszewicz, P. (mentor); Gonzalez Garcia, Y. (mentor); Delft University of Technology (degree granting institution)","2023","This study aims to assess the suitability of iron oxyfluoride (FeOF) as cathode material for fluoride-ion batteries based on the electrochemical performance and fluorination capability of ferrous oxide (FeO), as well as the defluorination of FeOF. Due to the pressing demand for electrochemical storage, alternatives to the widespread lithium-ion battery must be sought. One alternative can be the fluoride-ion battery (FIB). By trying to combine the stability of intercalation-based electrode materials and the high energy density of conversion-based materials, oxyfluorides might be the answer, especially if based on an abundant transition metal such as iron. In this report, the suitability of iron oxyfluoride as cathode material was evaluated. This was done by synthesising the electrode composites, evaluating their performance in a custom-made electrochemical cell and investigating the phase transitions of the researched materials. It was found that the ferrous oxide could not be fluorinated in an electrochemical environment and only reached a capacity of 0.75 mAh/g, which is equal to 0.2% of the theoretical capacity. It was also found that the iron oxyfluoride could not be electrochemically defluorinated. Therefore it is concluded that iron oxyfluoride is not suitable as cathode material for fluoride ion batteries.","fluoride-ion battery; iron oxyfluoride; ferrous oxide; electrode material; electrochemical cell","en","master thesis","","","","","","","","2024-07-01","","","","Materials Science and Engineering","",""
"uuid:aae440ca-a787-4f61-8730-67a1d3cdd508","http://resolver.tudelft.nl/uuid:aae440ca-a787-4f61-8730-67a1d3cdd508","Impact of replacing TCP by QUIC in Tor on website fingerprinting resistance","Trap, Cyril (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Cyber Security; TU Delft Distributed Systems)","Roos, S. (mentor); Smaragdakis, G. (graduation committee); Decouchant, Jérémie (graduation committee); Delft University of Technology (degree granting institution)","2023","Privacy is a human right, yet, people’s behavior on the web is constantly tracked. Tor, an anonymity network, is an effective defence against tracking. However, Tor’s multiplexing of logically independent data streams into a single TCP connection causes issues. Tor with QUIC has been implemented as an alternative with better performance but it has not been studied whether and by how much QUIC increases the vulnerability to timing-based attacks.
The most threatening attacks are website fingerprinting attacks, which can track a Tor user by only controlling the guard node, first of the relays that forward traffic in Tor. In this work, Tor with QUIC is evaluated against website fingerprinting attacks with various levels of defences active. Without defences, Tor is vulnerable to website fingerprinting for both TCP and QUIC but the attacks are more effective on QUIC. On the positive side, defences against website fingerprinting remain effective for QUIC in that they decrease the effectiveness of the attack by a
similar fraction as for TCP.","Tor; QUIC; anonymity; privacy; fingerprinting; machine learning; network traffic analysis; anonymous communication","en","master thesis","","","","","","","","","","","","Computer Science | Cyber Security","",""
"uuid:5998f27d-e313-44b3-a0ed-29b8b06e0351","http://resolver.tudelft.nl/uuid:5998f27d-e313-44b3-a0ed-29b8b06e0351","Automated car interior layout design based on user activities","Cai, Yujing (TU Delft Industrial Design Engineering)","Song, Y. (mentor); Vledder, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","The evolution of the automated driving industry liberates users from driving tasks, thus creating more time for Non-Driving-Related activities (NDRAs), thereby transforming the car from a mere mode of transport to a mobile activity platform. This shift presents two main challenges: predicting the type of activities passengers will engage in within the automated cars and adapting the car’s interior design to accommodate these activities. This project tackles these challenges with a focus on comfort, ergonomics, and user activity, promising valuable insights for the interior design of future automated vehicles.
A rigorous review of literature spanning 2014 to 2023 was conducted, with a focus on NDRAs in automated vehicles. The review retrieved 2315 papers from various databases, from which 47 articles encapsulating 66 cases and 50 types of activities were selected based on strict eligibility criteria. These activities were then categorized into 13 clusters, with the top five being Entertainment and online activities, Work and productivity, Interpersonal communication and interaction, Sleep and relaxation, and Observation and monitoring.
An exploratory experiment was conducted within a simulated automated vehicle environment to study the ergonomic and spatial needs of five significant NDRAs. These activities were the most representative of each of the five main clusters: talking to passengers, looking out the window, working on a computer, sleeping, and using an iPad for entertainment. This investigation filled a crucial research gap, providing valuable insights for designing more ergonomic and comfortable interiors for future automated vehicles.
The project further leveraged 3D modeling and Augmented Reality (AR) technologies to analysis the spatial requirements of users engaging in the identified NDRAs within a Range Rover Evoque. The research indicates that the current interior design of the Range Rover Evoque can accommodate average-sized (P50) users performing 5 major Non-Driving-Related activities at small or medium comfort joint angles, yet struggles to support larger comfortable joint angles, particularly for activities such as sleeping, entertainment, or work. The results suggest a future design could include slimmer seats and dashboards and potentially transition from a four-seater layout to a two or three-seater layout to provide more space for users for activities.
After evaluation of initial concepts for future automated vehicle interior design, I have combined their strengths and minimized their shortcomings to develop a final iteration. This design focuses on flexible space allocation by incorporating a slim dashboard and thinner seats and can shift between a standard four-seat configuration to a 2 or 3-seater layout, and include independent seats, and an adjustable table to cater to various user needs, setting the stage for the future of comfortable Non-Driving-Related activities within vehicles.
In conclusion, this project integrates theoretical and practical approaches, focusing on user activities and comfort in automated vehicles. The study leaves out considerations of commercial viability, manufacturing, and socio-cultural aspects. Future improvements should include these factors and align design with commercial and manufacturing realities.","Non-Driving-Related activities; Comfort; Automated Vehicles; Interior layout design","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:bc938148-1571-4288-b518-c86e605de2a5","http://resolver.tudelft.nl/uuid:bc938148-1571-4288-b518-c86e605de2a5","Life Cycle Assessment of steel off-gas fermentation in the context of alternative PET sourcing routes for textiles","Lehnerdt, Luise (TU Delft Technology, Policy and Management; Institute of environmental sciences (CML), Leiden University)","Steubing, Bernhard (mentor); Ibarra Gonzalez, P. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","The global production of textiles carries a significant share in global greenhouse gas emissions, with a demand projected to grow. Especially for scope 3 emissions, materials play a significant role, with polyethylene terephthalate (PET) as a dominating fibre material with unique properties. Virgin PET based on fossil oil as the conventional option is non-renewable, not aligning with a sustainable future supply route. Alternative drop-in feedstock options for PET are biobased or recycled. Biobased PET has the potential for an emission reduction, but that is not automatically the case. Also there is a trade-off with a worse performance in other impact categories because of the agricultural production. With recycled PET, there are mechanical and chemical recycling options. Mechanical recycling for fibres is feasible and established as open-loop recycling from bottles. For mechanical recycling from textiles, a blend with virgin PET becomes necessary to maintain the required quality. Chemical recycling is more promising for closed-loop recycling from textile waste, but infrastructure and design barriers are current limitations for its expansion. Because these PET sourcing routes come with different trade-offs and barriers, it is reasonable to explore other routes as well, such as basic oxygen furnace (BOF) gas fermentation and compare them with the alternatives.
In this study, Life Cycle Assessment (LCA) was conducted to determine the climate change impact of BOF gas fermentation-based PET compared to mechanically recycled and fossil-based PET. Then, the results were also compared to literature values for biobased and chemically recycled PET, embedding the results in the context of alternative sourcing routes for PET in the textile industry. In the focus technology of this study, feedstock gases rich in carbon are fermented into ethanol by microorganisms. Through several intermediates, monoethylene glycol (MEG) is made as one of the two main components of PET. Together with pure terephthalic acid (PTA), it is polymerised into PET. Several carbon-rich feedstock gases such as syngas from biomass or wastes, but also reformed natural gas have been explored in previous research and development. However, the commercially available option is to use off-gas from the steel industry from the BOF. Therefore, the examined feedstock in this LCA is BOF gas with a composition of 85% CO and 15% CO2.
With a climate change impact of 4.95 CO2eq / kg PET, fermentation-based PET had the highest impact within the LCA model results of this study, followed by virgin PET (2.93 kg CO2 eq per kg PET) and then mechanically recycled PET (1.05 kg CO2 eq per kg PET). Biobased PET literature values ranged between comparable impacts to mechanically recycled PET up to values higher than all other investigated sourcing alternatives. Chemically recycled PET had an impact between mechanically recycled PET and virgin PET. In terms of environmental contributions, for all alternatives the major share of greenhouse gas emissions was from fossil CO2 (around 80%). Technosphere contribution hotspots were the carbon dioxide released during the fermentation and high voltage electricity production, mainly for the conditioning of the feedstock gas (compression and cooling). Also the provision of the virgin PTA component had a considerable contribution (1.11 CO2eq / kg PET).
Under the current global electricity mix, the gas fermentation technology was concluded to not be an advisable alternative to reduce greenhouse gas emissions. A combination of a best-case renewable electricity scenario, a substitution of the missing energy from the BOF gas in the steel process with wind energy instead of natural gas and an orange-peel based PTA sourcing route could reduce the climate change impact down to be comparable to mechanically recycled PET. However, that result is only valid if the emission credit assumption for the avoided emissions in the steel process holds. Otherwise, the gas fermentation technology emits more greenhouse gas emissions than both conventional alternatives, even under the best-case scenario.","industrial ecology; gas fermentation; Steel mills; Textile and clothing industry; PET; sourcing strategy","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:3530019b-c89b-4e8c-9040-765e4f56df79","http://resolver.tudelft.nl/uuid:3530019b-c89b-4e8c-9040-765e4f56df79","From Sewage to Coal: New insights in Char Production: Performance evaluation with a combined Process Simulation and ex-ante Life Cycle Assessment","Roßdeutscher, Heiko (TU Delft Technology, Policy and Management)","Cucurachi, Stefano (mentor); Ibarra Gonzalez, P. (mentor); Donati, Franco (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","The aim of this study was to identify the most sustainable way to produce char from sewage sludge that later can be used as a coal substitute for the steel industry. For this purpose, a literature review was conducted on the latest technological developments for the production of char, which were subsequently simulated in Aspen Plus to obtain process-specific details. The results of the process simulation are then combined with the Life-Cycle-Assessment (LCA) database EcoInvent to assess the environmental impact of the entire value chain for all countries of the European Union using the LCA methodology according to the ISO 14040 framework and the environmental footprint impact family. This family consists of 19 impact categories of which five relevant categories were chosen: (I) climate change, (II) acidification, (III) freshwater ecotoxicity, (IV) freshwater eutrophication and (V) marine eutrophication. In a second analysis the LCA is combined with the integrated assessment model REMIND, which represents the technological evolution in the future. This allows to evaluate the environmental impact in the years 2030 and 2040.
From the literature review, two technology developments that significantly can reduce the overall electricity consumption of the process were identified: an industrial dryer that can dry sewage sludge at 90% efficiency and a heat pump that can convert moist exhaust air into process heat with an efficiency of 400%. Furthermore, the Torwash process was identified, as a pre-processing step for sewage sludge, which increases the efficiency of the filter process and the subsequent drying of the sludge. Based on the results of the literature research, three different technology scenarios were defined. (I) In the baseline scenario, the gases and bio-oils formed during the slow pyrolysis reaction are burned to produce sufficient process heat for the pyrolysis unit and the drying step. (II) In the Torwash scenario, the feedstock is thermally treated before the filtration, which allows a higher solid content in the filter cake and thus reduces the energy consumption in the drying step. (III) The bio-oil scenario considers the condensation of bio-oils and provides the missing process heat with electric heaters.
The process simulation in Aspen Plus was used to determine several process-specific details, including the energy content of the combustion process and the resulting CO2 emissions. Moreover, the overall energy balance of the different scenario was calculated and the need for external heat was determined. The process simulation additionally revealed a factor not described in the literature yet that influences the energy consumption of the pyrolysis reaction. The higher the ash content of the feedstock, the less energy is required for the pyrolysis process.
In the LCA, the simulation results were combined with further background processes and environmental information from the LCA database EcoInvent and compared against a business-as-usual alternative. In this alternative, the sludge is incinerated and coal with a comparable heating value to the produced char is provided. The results show that the baseline scenario performs better than the business-as-usual alternative in all impact categories. The Torwash scenario, due to the high energy requirements of the pretreatment, performs worse than the baseline scenario in all impact categories and in all but freshwater eutrophication also worse than the business-as-usual alternative. For the bio-oil scenario, the type of heat supply and the CO2 intensity of the electricity grid is of great importance. If an inefficient resistant heater is used, the bio-oil scenario performs better than the baseline scenario in only half of the European countries, depending on the specific CO2 intensity of the national electricity mixes. If, instead, a highly efficient heat pump is used, the environmental impact is lower for all EU member states for all impact categories. The difference between the baseline and the bio-oil scenario becomes even larger when the environmental impact is determined for 2030 or 2040. If a conservative integrated assessment model which leads to 2.5°C warming by 2050 is used as the basis for technological change, the emissions of the bio-oil scenario are 3.020 kg CO2eq per ton of char, more than 9% lower than the baseline scenario and almost 42% lower than the business-as-usual alternative. In addition, to the ton of char, 120 l of bio-oil are produced, with an economic value that offsets the additional cost of electricity.
Therefore, the strong recommendation is expressed to produce char of sewage sludge according to the bio-oil scenario, with includes the latest drying and heat-pump technologies as well as the co-production of bio-oil.
166Ho through Szilard-Chalmers Effect in 165Ho-loaded Zeolite A","Spruit, Esther (TU Delft Applied Sciences)","de Kruijff, R.M. (mentor); Djanashvili, K. (mentor); Bannenberg, L.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Holmium-166 is a promising radionuclide for therapeutic and imaging applications due to its advantageous decay characteristics. However, these applications require or would benefit from a higher specific activity of 166Ho than that obtained via a 165Ho(n,γ)166Ho reaction by irradiating a pure 165Ho target. The specific activity is limited, because only a minor fraction of the 165Ho target nuclides is converted into 166Ho during irradiation and these isotopes are inseparable using conventional chemical methods, since they are of the same element. The Szilard-Chalmers effect facilitates this separation by changing the chemical state of the produced radionuclide due to the recoil induced by neutron absorption. Nevertheless, currently applied target materials, mainly ligands, are unsuitable for commercial scale production with sufficient yield and specific activity, because of: radiolysis, isotopic interchange and recombination. It is proposed that these processes can be significantly reduced by exploiting zeolites, which are materials with interconnected cavities, to bind 165Ho target nuclides in the closed cages prior to irradiation. The concept behind is, that upon recoil the 166Ho nuclides relocate to the open cages, from which they are extracted after irradiation, while the 165Ho target nuclides remain locked in the closed cages. This results in an increased specific activity. Therefore, the aim of this thesis is to examine the increase in the specific activity of 166Ho produced via a (n,γ) reaction by applying the Szilard-Chalmers effect using zeolite A.
A procedure to achieve the optimal loading of holmium into the open cages (18.3 wt% Ho) and closed cages (93.3% of total holmium) of zeolite A was investigated, as well as the efficiency of its extraction from the open cages (between 39 and 55%). The obtained specific activity and yield were analysed upon irradiation of these zeolite A samples. Irradiating zeolite A with 165Ho exclusively in the open cages resulted in only a slight increase of the specific activity (maximal 3.3 GBq/g), but a relatively good yield (maximal 53%). In contrast, irradiation of zeolite A with 165Ho loaded in both the closed and the open cages, resulted in a considerably lower yield (maximal 14.4%), but a substantially increased specific activity (maximal 7.3 GBq/g), which is a factor 5.2 higher than without the Szilard-Chalmers effect.
Nevertheless, further improvement should be considered as a higher specific activity is key to successful radionuclide therapy. This could potentially be achieved by increasing the extraction efficiency by exploring other types of zeolites, in search of more suitable functional characteristics.","Specific activity; Holmium-166; Szilard-Chalmers; zeolites; radionuclide production","en","master thesis","","","","","","","","","","","","Applied Physics","",""
"uuid:84b6a75c-c367-496c-bb84-d5fedf9153a6","http://resolver.tudelft.nl/uuid:84b6a75c-c367-496c-bb84-d5fedf9153a6","Living Labels","Murraij, Evy (TU Delft Industrial Design Engineering)","Soares de Oliveira Martins, J. (graduation committee); Risseeuw, C.C. (mentor); Delft University of Technology (degree granting institution)","2023","Flavobacteria are marine organisms that produce vivid, angle-dependent colour as their cells organise into structures that interact with light. These optical structures, resulting in structural colour, are influenced by environmental factors such as temperature and humidity. In this graduation project, the aim was to integrate living Flavobacteria into a flexible, sustainable material, creating labels that communicate environmental signals through changes in the structural colour of the embedded Flavobacteria. Understanding how to maintain Flavobacteria and their structural colour, outside the laboratory can be the steppingstone to make the entry of these microorganisms into the world of design.
The initial phase of the project focused on understanding the requirements for Flavobacteria to make structural colour outside the controlled laboratory environment. This understanding was crucial to design in a way that accommodates the unique characteristics of these microorganisms. Temperature was selected as the primary environmental stimulus to address, given its significant impact on the size and colour of the Flavobacteria colony. Additionally, temperature changes can be transferred through materials and therefore enable a sealed label without the necessity of openings to let environmental stimuli through.
The second phase involved an iterative experimentation process, which aimed to identify a suitable top material of the label that would facilitate the formation of structural colour by Flavobacteria. Additionally, efforts were directed towards finding an appropriate growth medium for Flavobacteria within the living label and devising an activation method that would initiate their growth exclusively during the product’s use phase. The outcomes of this iterative process were then integrated into a vision for the living label.
The project continues by presenting a future vision for the living label and proposing additional guidelines for designing with Flavobacteria. This vision draws upon literature research as well as the findings and insights gained through this graduation project. Further research is recommended regarding the characterization of the temperature influence on Flavobacteria, improving the surface texture of the medium used in the living label
To conclude, by combining existing knowledge with the project’s outcomes, a first proof of concept for a living label is proposed, paving the way for future exploration and application of these living microorganisms in innovative design contexts.","Flavobacteria; Living Aesthetics; Sensor; Label","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:3a7bb144-d08c-4748-b366-c2cead922c7d","http://resolver.tudelft.nl/uuid:3a7bb144-d08c-4748-b366-c2cead922c7d","A guide to orchestrate Smart Energy Hubs: Exploring the formation of Smart Energy Hub networks to enable effective collaboration","Lubbers, Thomas (TU Delft Industrial Design Engineering)","Celik, S (mentor); Hasankhani, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The global energy transition presents significant challenges for large consumers, particularly business owners on business parks. These challenges often exceed the capabilities of individual businesses, necessitating collective approaches such as Smart Energy Hubs (SEHs) that enable resource, cost, and expertise sharing. However, many SEH collaborations encounter difficulties in initiating or sustaining a network. This research project aims to identify barriers to collaboration and explore the mindset of actors involved in SEHs, seeking to understand their perceptions of risks and motivations to participate.
Through a comprehensive literature review, interviews with current SEH initiatives, and engagement with relevant experts, this research project provides valuable insights into the barriers and opportunities within SEH collaborations. It becomes evident that the formation phase of SEHs is particularly complex, requiring the involvement of diverse actors and roles. Business owners often have a negative mindset, emphasising risks rather than recognising the potential benefits of collaboration. The value proposition of SEHs is not always apparent to them, leading to a lack of enthusiasm for engagement. Furthermore, valuable learnings from existing SEHs are often not effectively shared, limiting the collective knowledge of the community and hindering progress.
To address these challenges, the Smart Energy Hub GPS guide is introduced. It aims to counteract the negative mindset of business owners by visually demonstrating the value of SEHs. It fosters learning through a knowledge-sharing infrastructure. The GPS guide effectively communicates the urgency of collaboration, emphasises the advantages of collective efforts in addressing energy challenges, and provides guidance for taking the initial steps in setting up SEH collaborations. By clarifying expectations and presenting the value proposition of SEHs, the GPS guide strives to enhance the willingness of business owners to actively participate in SEH initiatives.
This research project highlights the fundamental issues encountered during the formation stage of SEHs, hindering effective collaboration. It addresses the need for visual tools and knowledge-sharing networks to shift the mindset of business owners and foster effective and successful SEH collaborations. The proposed strategy for implementing the GPS guide offers a clear pathway to enhance collaboration, bridging the gap between energy challenges and effective collaborative solutions. Ultimately, this research underscores the significance of collaboration in driving the transition to a cleaner and more resilient energy future.
The study utilizes an optimization algorithm based on multiple objectives, including propellant mass consumption, collision probability, and mission disturbance. The decision variables used in the optimization are related to the three-direction maneuvering within both objects in conjunction. The optimization is first carried out to minimize the three objectives listed above. These optimization results are considered preliminary as they do not allow for a proper trade-off for the operators. Hence, a review of the objectives used in the optimization algorithm yields the two new criteria used for the final results: the Collision parameter and the Cost parameter. The latter combines propellant mass consumption and mission disturbance.
The results, displayed as Pareto fronts, demonstrate that these objectives allow for the identification of optimal maneuver solutions. Adding on, a sensitivity analysis highlights the importance of precise maneuver timing and lower ΔV contributions within the solutions. The operator is recommended to re-analyze the CAM if the maneuver timing varies by more than 5 minutes. Through the exploration of various study cases and scenarios, insights are provided into the interaction between different systems in space. In general, the chaser showed higher values of ΔV magnitude than the target but the optimization results showed that both interacted together to reach the collision avoidance solution. The Isp factor proved to not affect the optimization results significantly, and the single maneuvering spacecraft scenarios were successfully solved with the optimization method. This scenario led to higher Cost parameters and higher Collision parameter, the Pc could only be lowered slightly further than 10-10. As this is below the defined threshold, the results were accepted.
In addition, a proposal is drafted for a communication flow and cooperation framework. The Middle Man, acting as a central authority between the two parties, facilitates the cooperation process, ensuring fair and efficient collaboration between operators. The proposed framework for decision-making is called ""rule and resource following shared approach"". While specific rules and procedures are not defined in this thesis, the framework allows for them to be included once agreed upon by operators. This thesis concludes that the proposed combined action and cooperation process offers potential solutions to the challenges posed by space debris and contributes to the safety and sustainability of space activities.","Space debris; Astrodynamics; Active collision avoidance; Satellite collisions; NewSpace; Collision avoidance maneuver","en","master thesis","","","","","","","","2024-07-21","","","","Aerospace Engineering | Space Exploration","",""
"uuid:e24a064d-d744-49ca-ba33-fb0594557477","http://resolver.tudelft.nl/uuid:e24a064d-d744-49ca-ba33-fb0594557477","Designing a systems-oriented strategy to unlock the potential of 3D woven denim","de Jager, Sterre (TU Delft Industrial Design Engineering)","McQuillan, H.L. (mentor); Mulder, I. (mentor); Delft University of Technology (degree granting institution)","2023","Today’s fashion industry is characterised by a continuous cycle of rapid production, early disposal, low-quality materials, and pre- and post-consumer waste, with consequential environmental damage and social injustice. The production of denim involves a resource-intensive and lengthy supply chain, in which a lack of evolution in design over the past 150 years is coupled with significant pre-consumer waste.
This highlights the need to reevaluate the design and manufacturing process. Conventional pattern designs result in a significant portion of newly woven material sent to incineration and landfill. 3D weaving presents an innovative niche design-manufacturing technique, allowing for the creation of multi-layered structures with interwoven ‘seams’. This enables the production of nearly complete garments, while aiming for zero waste and reducing labour-intensive steps at the cut and sew stage.
To unlock the potential of 3D woven denim and demonstrate how such niche techniques can be utilised to achieve systemic change, a Systems-Oriented design approach is adopted. The research project analyses the complex denim supply chain and delves into the meaning of current and 3D woven denim. Field research at a denim mill in Pakistan formed the basis of a general ecosystem map, addressing various layers of the system from the final lens of the Dutch denim market. This includes material flow, fashion brands, consumers, post-disposal stage, government influence, and certifications. Further collaboration with 3D weaving experts, denim mills, designers, and user research supported the multifaceted approach.
The project concludes with a Systems-Oriented strategy to establish a local Dutch eco-label for 3D woven denim, alongside traditional denim: New-Fashioned Denim Dimensions. By involving relevant stakeholders in the denim system, including major brands and suppliers, an initiative structure and implementation roadmap are developed. The roadmap outlines key actions, such as refining zero-waste patterns, developing equipment and creating a training programme, necessary to establish local, vertical 3D weaving supply chain behind the label. The ultimate aim is to create a cascading effect, inspiring denim brands to further explore future transitions towards local and sustainable models in the broader fashion industry, extending beyond the realm of 3D weaving.","Systemic design; Systemic change; Fashion industry; Denim; Sustainability; 3D weaving; Zero waste; Participatory City Making","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:4a118d7a-b714-4210-9ea0-8d77f83bec01","http://resolver.tudelft.nl/uuid:4a118d7a-b714-4210-9ea0-8d77f83bec01","3D Kinematics Estimation with Biomechanics Model","Lin, Zhi-Yi (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Pattern Recognition and Bioinformatics)","van Gemert, J.C. (mentor); Zhang, X. (mentor); Kellnhofer, P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Human 3D kinematics estimation involves measuring joint angles and body segment scales to quantify and analyze the mechanics of human movements. It has applications in areas such as injury prevention, disease identification, and sports science. Conventional marker-based motion capture methods are expensive both in terms of financial investment and the expertise required. On the other hand, due to the scarcity of large-scale annotated datasets, existing markerless motion capture methods suffer from challenges including unreliable 2D keypoint detection, limited anatomic accuracy, and low generalization capability.
In this work, we are the first to propose a pipeline to create synthetic data with accurate kinematics annotations by aligning the body mesh from the SMPL-X model and the biomechanics skeleton from OpenSim. The generated dataset, named ODAH, exhibits diverse variations in body shapes, clothing, lighting, and camera views. For kinematics estimation, we develop a novel biomechanics-aware model that is exclusively trained on ODAH, and directly tested on real-world data. Our extensive experiments demonstrate that the proposed approach outperforms previous state-of-the-art methods when evaluated across multiple datasets, revealing the potential for advancing the resolution of human 3D kinematics estimation.","Computer Vision; 3D Human Pose Estimation; Biomechanical Model; Synthetic Data; OpenSim; Deep Learning","en","master thesis","","","","","","","","2024-06-01","","","","Computer Science","",""
"uuid:25dc12d8-aa36-43fc-9e69-55ead2fd3cb1","http://resolver.tudelft.nl/uuid:25dc12d8-aa36-43fc-9e69-55ead2fd3cb1","(Near) Real-time Data Analysis for Smart Sensor Shorts in Football","Liu, Qingxin (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bossche, A. (mentor); Jansen, K.M.B. (graduation committee); French, P.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Most injuries in football occur in the lower extremities due to high muscle stress. To prevent such injuries, the Dutch Football Association (KNVB) and the Delft University of Technology developed the Smart Sensor Shorts, an inertial sensor-based tracking system measuring the athlete’s lower body kinematics, to improve physical load estimates during training sessions and matches. However, the system currently only has offline data analysis software, which results in poor monitoring capability.
This thesis proposes a near real-time data analysis system for Smart Sensor Shorts, featuring an automatic sensor calibration module, a football-specific activity recognition module, and a user interface, to monitor users' lower limb movement and load during football training. The proposed automatic sensor-to-body calibration algorithm maintains a high calibration accuracy with an 18.92º(±5.74º) calibration error on average and simplifies the calibration process by leveraging detected standing and walking movements to estimate calibration parameters. The proposed gradient-boosting decision trees activity recognition model utilizes hip joint angles and joint angular velocities derived by the system to predict users' football-related activities, achieving an overall accuracy of 93.62%. The designed system processes the data recorded by IMUs in real time with a speed of 21 milliseconds per iteration and displays the calculated results related to the user's physical load on the user interface at a frame rate of 20 Hz.","Inertial Measurement Units; Sensor Calibration; Human Activity Recognition; Gradient Boosting Machines; Real-time System; Physical Load; Football","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:209608e8-9fa7-4e03-aad8-e973ad22cde9","http://resolver.tudelft.nl/uuid:209608e8-9fa7-4e03-aad8-e973ad22cde9","Inferring Robust Plans with a Rail Network Simulator","Gardos Reid, Reuben (TU Delft Electrical Engineering, Mathematics and Computer Science)","de Weerdt, M.M. (mentor); Dumančić, S. (mentor); Hanou, I.K. (mentor); Goverde, R.M.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Over 700 trains in the Netherlands are used daily for passenger transportation. Train operations involve tasks like parking, recombination, cleaning, and maintenance, which take place in shunting yards. The train unit shunting problem (TUSP) is a complex planning problem made more difficult by uncertainties such as delays. Most existing approaches overlook these disturbances and the approaches that consider them incorporate heuristics to enhance the robustness of their solutions to disturbances. This thesis proposes an alternative approach: utilizing probabilistic programming to turn an existing planning algorithm and simulator into a generative model of the TUSP. The model introduces disturbances without the need to modify the planning algorithm or simulator. Through two types of inference, we infer a distribution of robust solutions for the TUSP. Empirical results demonstrate the effectiveness of our approach for inferring robust plans in small-scale scenarios.
In an attempt to answer the question, it has been tried to create a model that predicts the number of competitors in the tender phase of construction projects. To be able to make predictions, data on factors that potentially affect the number of competitors is inserted in a regression analysis. Values are included that represent the variables project type, project value, project duration, client, contract type, market conditions, need for work, and tender method. The regression coefficients determined in this analysis can reveal how individual variables affect the predicted number of competitors. But the usability of these coefficients depends on the performance of the analysis set-up, i.e. the used regression model, chosen variables, and input data.
It turned out that the achieved prediction performances were unsatisfactory. The best-performing model reached a prediction accuracy of 44.9%, just 8.7% better than a constant guess. As a result, it is concluded that the relationship between the client factors and the number of competitors could not be quantified. Additional research on the predictability within the data affirmed that it would be hard to make any predictions. The Entropy and Mutual Information within the data, identifying the predictability, showed that the independent variables in the data could only solve approximately 30% of the 'chaos' within the distribution of the number of competitors.
The unsatisfactory model accuracies are an interesting result, given the fact that, for almost every factor considered influential on the number of competitors, a value has been included in the calculations. The question arises whether even a relation exists between client factors and the number of competitors. On top of that, the identified marginal relations pointed at the market conditions as most influential to the number of competitors. Further indicating that clients have a marginal effect on the competition within tenders. Therefore, it seems that clients are unable to solve the decreasing number of competitors in the construction industry.
In order to show the absence of a relation between the number of contractors and client factors, further research is necessary. It is yet impossible to prove this absence because improvements can still be made to the utilized data and methodology.","TenderNed; Tender; Tendering; Competition; Procurement; Construction sector; Construction industry; Dutch construction industry; Data analysis; Regression analysis; Bidding process; Client-contractor relationship; Tender competition","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:716cefae-bcb7-49a4-8227-bd5ca7c2bfb1","http://resolver.tudelft.nl/uuid:716cefae-bcb7-49a4-8227-bd5ca7c2bfb1","Finding Representative Sampling Subsets on Graphs: Leveraging Submodularity","Li, Tianyi (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Microelectronics)","Leus, G.J.T. (mentor); Delft University of Technology (degree granting institution)","2023","In this work, we deal with the problem of reconstructing a complete bandlimited graph signal from partially sampled noisy measurements. For a known graph structure, some efficient centralized algorithms are proposed to partition the nodes of the graph into disjoint subsets such that sampling the graph signal from any of these subsets leads to a sufficiently accurate reconstruction. Furthermore, we consider the situation when the graph has a massive size, where processing the data centrally is impractical anymore. To overcome this issue, a distributed framework is proposed that allows us to implement the centralized algorithms in a parallelized fashion. Finally, we provide numerical simulation results on synthetic and real-world data to show that our proposals outperform state-of-the-art node partitioning techniques.","graph signal processing; sampling on graphs; submodular optimization","en","master thesis","","","","","","","","2023-11-01","","","","Electrical Engineering","",""
"uuid:324d4b2d-bf58-40a0-b60d-4e2e0b992797","http://resolver.tudelft.nl/uuid:324d4b2d-bf58-40a0-b60d-4e2e0b992797","Multi-Flow Generalization in Data-Driven Turbulence Modeling: An Exploratory Study","Hoefnagel, Kaj (TU Delft Aerospace Engineering; TU Delft Aerodynamics)","Dwight, R.P. (mentor); Hulshoff, S.J. (graduation committee); de Prenter, Frits (graduation committee); Delft University of Technology (degree granting institution)","2023","Computational fluid dynamics (CFD) is an important tool in design involving fluid flow. Scale-resolving CFD methods exist, but they are too computationally expensive for practical design. Instead, the relatively cheap Reynolds-averaged Navier-Stokes (RANS) approach is the industry standard, specifically models based on the Boussinesq hypothesis, which are unable to represent the effect of turbulence anisotropy. Development of RANS models based purely on physical arguments has stagnated; however, data-driven turbulence modeling presents a paradigm shift for improved predictions. Though this technique has produced successful models tailored to specific flows, it has yet to produce a successful general turbulence model, which is the focus of this work.
In this work, models consist of corrections to the classical k-omega SST turbulence model; bijDelta to correct the Reynolds stress anisotropy and R to correct the turbulent kinetic energy. High fidelity data combined with the k-corrective-frozen technique is used to obtain exact correction fields, which are validated. Then, the SpaRTA framework is used to regress symbolic expressions for the corrections. Using a newly developed solver, models are injected into a full RANS solver to assess a-posteriori performance for various test cases. SpaRTA identifies a good R model, but only after a-posteriori optimization of coefficients, this model holds promise for generalization. Meanwhile, SpaRTA is unable to find a good bijDelta model due to its reliance on linear regression. A new framework based on non-linear regression is introduced which identifies a much better bijDelta model, though this model is Reynolds number dependent.
This project included the engineering, design, and development of an enclosed jet-in-hot-coflow setup as well as an analysis of the flow field and combustion characteristics through experiments. Measurements were done using PIV, suction probe gas analyzer, thermocouples, and chemiluminescence imaging. Experiments were done with methane-air mixtures in the central jet and the coflow consisted of hot burnt products of methane-air combustion with the addition of external diluents such as 𝐶𝑂2 and 𝑁2. One of the most interesting results was that 𝑁𝑂𝑥 reburning was observed in the reaction zone produced by the central jet, which was found to be correlated to the 𝐶𝑂 concentration in the combustion chamber. The addition of 𝐶𝑂2 and 𝑁2 as diluents in the coflow resulted in a longer combustion zone and reduced temperatures in the combustion chamber, leading to decreased 𝑁𝑂𝑥 production, and increased reburning. Further, the impact of oxygen concentration, equivalence ratio, and coflow temperature on product species formation was also analysed. The results indicate that combustion zone growth and temperature effects are balanced for lower jet speeds, while for others, the effects of increasing combustion zone size and distribution with increasing equivalence ratio result in reburning becoming more dominant. Improvements are recommended, such as redesigning the central jet cooling assembly, integrating a secondary burner inside the mixing duct, implementing a pre-heating system for temperature control, and using high-speed imaging techniques for capturing flame development and ignition behaviour.","Flameless Combustion; Mild combustion; Low NOx emissions; NOx reburning","en","master thesis","","","","","","","","2025-07-20","","","","Aerospace Engineering","",""
"uuid:43ea2504-7c1d-4247-adaa-dc71398df026","http://resolver.tudelft.nl/uuid:43ea2504-7c1d-4247-adaa-dc71398df026","Multivariate Fault Estimation for a High-Fidelity Model of the AB383 Wire Bonder: A Model Based Approach","de Reij, Segher (TU Delft Mechanical, Maritime and Materials Engineering)","de Albuquerque Gleizer, G. (mentor); Mohajerin Esfahani, P. (graduation committee); Sheikhi, M.A. (graduation committee); Gerritsen, Jasper (mentor); Delft University of Technology (degree granting institution)","2023","This research presents the design and implementation of a fault diagnosis filter for a high-fidelity simulation model of the AB383 wire bonder. Fault diagnosis, which consists of detecting, isolating, and estimating faults, enables more effective maintenance strategies and potentially mitigates costly downtime in high-precision motion and positioning systems. When a system deviates from its expected behavior, it can be an indication of the presence of a fault within the system. Faults can occur as either multiplicative faults, arising from deviations in parameters within the system, or additive faults, resulting from external fault signals that impact the system’s operation. This study uses a model-based methodology that generates residuals that are subsequently analyzed using a regression method aimed at determining the influence of each fault in the residual signal, thereby facilitating fault estimation. A residual signal represents the difference between the actual system behavior and the expected behavior, mainly serving as an indicator of potential faults within the system. A data-driven threshold design is proposed to determine the detectability of faults. The main contributions of this work include the development of a fault modeling framework for the residual generation method and the application of the fault estimation framework to a linear high-fidelity simulation model affected by both multiplicative and additive faults. The simulation results demonstrate satisfactory performance, that is, accurately detecting and estimating faults. Moreover, the proposed method effectively identifies external disturbances and high levels of noise through power spectral density analysis. The findings highlight the potential of this approach, outperforming alternative methods in terms of accuracy when it comes to fault diagnosis for wire bonder machines. The research findings contribute to the active field of fault detection and estimation for complex systems, offering valuable insights for further studies and potential practical applications.","Fault Diagnosis; Fault Estimation; Data-Driven Threshold Design; Model-Based Fault Diagnosis; Multiplicative Faults; Additive Faults; Regression","en","master thesis","","","","","","","","2025-07-20","","","","Mechanical Engineering | Systems and Control","",""
"uuid:45758756-7153-4796-a486-5dfcdedbc3f8","http://resolver.tudelft.nl/uuid:45758756-7153-4796-a486-5dfcdedbc3f8","Facilitating Maximum Power Point Tracking by Inductor Integration onto a Crystalline Solar Cell","Chakravarty, Saurabh (TU Delft Electrical Engineering, Mathematics and Computer Science)","Manganiello, P. (mentor); van Nijen, D.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Photovoltaic (PV) modules have considerable difficulty when exposed to shading since even partial blockages can significantly reduce energy production. To make the PV module shade-resilience, sub-module maximum power point tracking(MPPT) can be done for each string in the module. One way of doing it is by using converters at the sub-module level, which can track the string's maximum power point(MPP) by varying its duty cycle. In conventional converter design, inductors are the bulkiest and costliest component used, which eventually makes the application of sub-module MPPT less cost-effective. However, a literature study found that solar cell generates self-impedance under biasing, which opens up the idea for cell-level integration of power electronics. This thesis aims to validate the possibility of using the self-inductance generated in the solar cell for partially designing the DC/DC converter on the solar cell such that MPPT can be performed at the sub-module level. To accomplish this objective, two distinct strategies have been examined: The utilization of solar cell self-inductance for designing a conventional boost converter on a solar cell surface and the integration of a planar coil onto a solar cell for partially designing a DC/DC boost converter.","Sub-module MPPT; Inductor Integration; Planar coil","en","master thesis","","","","","","","","2025-07-14","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:624cd7a2-b32a-4967-8be5-8356604bbe16","http://resolver.tudelft.nl/uuid:624cd7a2-b32a-4967-8be5-8356604bbe16","Higher-order Temporal Network Prediction","Jung-Muller, Mathieu (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Multimedia Computing)","Wang, H. (mentor); Fokkink, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Temporal networks, like physical contact networks, are networks whose topology changes over time. However, this representation does not account for group interactions, when people gather in groups of more than two people, that can be represented as higher-order events of a temporal network. The prediction of these higher-order events is usually overlooked in traditional temporal network prediction methods, where each higher-order event of a group is regarded as a set of pairwise interactions between every pair of individuals within the group. However, pairwise interactions only allow to partially capture interactions among constituents of a system. Therefore, we want to be able to predict the occurrence of such higher-order interactions one step ahead, based on the higher-order topology observed in the past, and to understand which types of interactions are the most influential for the prediction. We find that the similarity in network topology is relatively high at two time steps with a small time lag between them and that this similarity decreases when the time lag increases. This motivates us to propose a memory-based model that can predict a higherorder temporal network at the next time step based on the network observed in the past. In particular, the occurrence of a group event will be predicted based on the past activity of this target group and of other groups that form a subset or a superset of the target group. Our model is network-based, so it has a relatively low computational cost and allows for a good interpretation of its underlying mechanisms. We propose as a baseline the memory-based method for the traditional pairwise network prediction problem. In this baseline model, the predicted higher-order events at a prediction time step are then deduced from the predicted pairwise network at the same prediction time step. We evaluate the prediction quality of all models in eight real-world physical contact networks and find that our model outperforms the baseline model. We also analyze the contribution of group events of different orders to the prediction quality. We find that the past activity of the target group is the most important factor for the prediction. Moreover, the past activity of groups of a larger size has, in general, a lower impact on the prediction of events of an arbitrary size than groups of a smaller size.","higher-order network; network prediction; temporal network; network memory","en","master thesis","","","","","","","","2024-04-20","","","","Computer Science","",""
"uuid:6fd4d635-9812-4bdc-bccb-2a36b5c8df83","http://resolver.tudelft.nl/uuid:6fd4d635-9812-4bdc-bccb-2a36b5c8df83","Tip & Top in Virtual Reality: The integration of virtual materiality and interactive storytelling in a historical VR pop-up book experience","van Geene, Maarten (TU Delft Industrial Design Engineering; TU Delft Sustainable Design Engineering)","Elkhuizen, W.S. (mentor); Love, J.S. (mentor); Delft University of Technology (degree granting institution)","2023","This project focuses on translating a historical pop-up book into a meaningful virtual experience. The project is in collaboration with the National Library (KB) of the Netherlands, which has a special collection of historical pop-up books. The KB will be moving their collections to an external location, making the books inaccessible. These books are meant to be interacted with; however, this interaction also makes them fragile and rare. Virtual reality offers a solution to this dilemma as it can be used to preserve the original heritage while also making it accessible in an innovative way. The use of VR fits within a wider development of new technologies being implemented in museums and cultural heritage institutions. This project creates a VR experience with a pop-up book called: Tip & Top boven de wolken, created in 1964. The project specifically focuses on two different aspects of the heritage: the materiality and the narrative. It researches how these aspects influence the experience in both physical and virtual pop-up books. It also aims to enhance the aspects using the affordances and interactions possible in VR, with the ultimate goal to integrate the aspects into a coherent experience.
The project starts with a literature review, which selects relevant frameworks for characterizing the materiality and measuring the narrative engagement. It is supported with additional desktop research looking into existing applications of VR/AR for (children’s) books. Two observation studies were conducted focusing on the materiality and the narrative. The first study compares the materiality of physical pop-up books with that of virtual pop-up books. The second study is focused on the narrative engagement and interpretation of the story of the case study book. These insights form the input for the ideation and conceptualization phases. A creative session was hosted to generate ideas for new interactions with the virtual book that integrate materiality and narrative. The interactions and general flow of the virtual book were tested using different storyboards, ranging in fidelity from paper to interactive digital storyboards. A new approach to materiality in VR was created to combine the materiality of the artifact with that of the narrative. The insights of the different concepting rounds were incorporated into a final prototype. The final concept integrated the materiality and the narrative through special interactions called material touchpoints. The final concept was compared to the original book and the interactive storyboards and it met all the design goals.
Unlike the existing method that the train service is designed according to the peak hour demand, the train plan will first be designed based on the base demand. This base service will exist all through the period (e.g. in one week) and provide service for all base demand services. The service adaption will be made and added to the base service for all other periods. According to the different demand patterns, those adaptions can be add-on or subtracted services. Those adaptions can adjust the service to match the changed demand over time.","","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:117895e5-0466-40bf-a3ad-157935923317","http://resolver.tudelft.nl/uuid:117895e5-0466-40bf-a3ad-157935923317","Blockchain-Based Verifiable and Privacy-Preserving Machine Learning Inference","Samardžić, Mariana (TU Delft Electrical Engineering, Mathematics and Computer Science)","Kromes, R.G. (mentor); Liang, K. (mentor); Smaragdakis, G. (mentor); Decouchant, Jérémie (graduation committee); Delft University of Technology (degree granting institution)","2023","The Machine Learning (ML) technology has taken the world by storm since it equipped the machines with previously unimaginable decision-making capabilities. However, building powerful ML models is not an easy task, but the demand for their utilization in different industries and areas of expertise is high. This was recognized by entities that have managed to create ML models and they started offering ML prediction services to clients in exchange for financial compensation. In this work, we explore how a ML predication service platform can be built in which we focus on two things: (1) privacy-preservation which entails keeping the client’s datasets and service provider’s ML models private and (2) inference verifiability ensuring that the ML prediction service providers do not commit fraud. The result are two platforms: ML Prediction Service Platform (MLPSP) which does not protect the secrecy of the client’s datasets but offers model privacy and verifiability of the predictions and Input-Privacy ML Prediction Service Platform (IP-MLPSP) which protects the secrecy of the client’s dataset and model privacy but the verifiability is probabilistic.","Privacy-Enhancing Technology; Cryptography; Blockchain; Machine Learning; Zero Knowledge Proof","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:805fa5f8-19f0-456c-a4f5-d877c46256d7","http://resolver.tudelft.nl/uuid:805fa5f8-19f0-456c-a4f5-d877c46256d7","Integrin profiles of human mesenchymal stromal cells cultured on titanium substrates: Methodology and effects of titanium nanopillars","Bosma, Jooske (TU Delft Mechanical, Maritime and Materials Engineering)","Fratila-Apachitei, E.L. (mentor); Modaresifar, K. (graduation committee); van der Eerden, B.C.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Surface micro- and nanotopographies have shown potential in inducing osteogenic differentiation. Titanium nanopillars can enhance osteogenic differentiation of human mesenchymal stromal cells (hMSCs). While the exact mechanisms by which osteogenic differentiation is induced remain unclear, mechanotransduction is thought to play an important role. This study investigated the effect of titanium nanopillars (bTi) on integrins, involved in the first phase of mechanotransduction, during the adhesion of human mesenchymal stromal cells (hMSCs). Titanium nanopillars were produced by inductively coupled plasma reactive ion etching (ICP RIE). The resultant nanopillars did not hinder cell adhesion but resulted in distinct cell morphologies, namely a predominant polygonal shape on the planar titanium control (fTi) and a stellate shape on bTi. A slower adaptation of cells on bTi relative to fTi was suggested by delayed cell spreading and enhanced filopodia development. RNA was isolated based on an optimised protocol developed in this study. Gene expression analysis revealed that all 10 integrin subunits involved in osteogenic differentiation were expressed by cells on both fTi and bTi during the 48 hours of culture. ITGA1 and ITGA4 were upregulated on bTi relative to fTi after 24 hours of culture. The upregulation of ITGA4 suggested increased migration of cells on bTi. Interestingly, ITGA2, ITGAV, and ITGB3 showed a peak at 24 hours on bTi. Immunocytochemical analysis was performed based on the protocol established in this study. The preliminary immunocytochemical analyses suggested fibrillar adhesions including integrin subunit α5 on fTi and both α2 and α5 on bTi. Further investigation of the mechanotransduction pathway may elucidate any correlation between integrin expression, actin reorganisation, and osteogenic differentiation of hMSCs cultured on such nanopillars and provide valuable insights for finding earlier osteogenic markers to be used for the evaluation of osteogenic nanotopographies.","integrins; mechanotransduction; mesenchymal stromal cells","en","master thesis","","","","","","","","2025-07-31","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:e4d52106-4247-47d0-808f-6492f8f3d157","http://resolver.tudelft.nl/uuid:e4d52106-4247-47d0-808f-6492f8f3d157","Segmenting actions by aligning video frames to learned prototypes","Hoonhout, Douwe (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pintea, S. (mentor); van Gemert, J.C. (mentor); Delft University of Technology (degree granting institution)","2023","Video temporal action localization is the task of identifying and localizing specific actions or activities within a video stream. Instead of only classifying which actions occur in the video stream, we aim to detect when an action begins and ends. In this work, we focus on solving this task without any supervision. Existing unsupervised methods solve this task by exploiting a combination of spatial and temporal information. We propose a new model that uses a MLP (multilayer perceptron to learn to sample prototype frames from a video. We use the distance between prototypes and video frames given by DTW (dynamic time
warping) as a loss function to update the MLP. The sampled prototypes allow us to find the start and end boundaries of actions, when combined with DTW. Additionally, the prototype frames can be used for video summarization. We analyze our model in a controlled synthetic data setup, to show the weaknesses and strengths of our models. Additionally, we use the Breakfast dataset, and Cholec80 surgery dataset to compare our model to the state-of-the-art models in a real scenario.","Action localization; Unsupervised; Dynamic Time Warping","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:f1a41622-b59f-42a6-bc7a-f7371038278f","http://resolver.tudelft.nl/uuid:f1a41622-b59f-42a6-bc7a-f7371038278f","Peering into the Heart of Thunderstorm Clouds: Insights from Cloud Radar and Spectral Polarimetry","Mak, Ho Yi Lydia (TU Delft Civil Engineering & Geosciences)","Unal, C.M.H. (mentor); Schleiss, M.A. (graduation committee); Dias Neto, J. (graduation committee); Glassmeier, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","Lightning is a natural phenomena that can be dangerous to humans. It is however challenging to study thunderstorm clouds using direct observations since it can be dangerous to fly into thunderstorm clouds. In this study, cloud radar with millimeter wavelength is used to study the properties and dynamics of thunderstorm clouds. It is based on a case of thunderstorm on 2021-06-18 from 16:10 to 17:45 UTC near Cabauw. Polarimetric radar variables are used to investigate possible hydrometeors in the clouds and look for vertical alignment of ice crystals that is expected due to electric torque. The technique of Doppler spectra analysis, which has not been used in previous studies about thunderstorms so far, is used to help understand the behaviours of different types of particles within a radar resolution volume. Due to challenges posed by Mie scattering, scattering simulations are carried out to aid the interpretation of spectral polarimetric variables. From the results, there is a high chance that supercooled liquid water and conical graupel are present in thunderstorm clouds. There is also a possibility of ice crystals arranged in chains at the cloud top. Ice crystals become vertically aligned a few seconds before lightning and return to their usual horizontal alignment afterwards. However, this phenomenon has been witnessed in only a few cases, specifically when the lightning strike is in close proximity to the radar's line of sight or when the lightning is exceptionally strong. Doppler analyses show that updrafts are found near the core of the thunderstorm cloud, while downdrafts are observed at the edges. Strong turbulence is also observed as reflected by the large Doppler spectrum width.","cloud radar; spectral polarimetry; thunderstorm; lightning","en","master thesis","","","","","","","","","","","","Applied Earth Sciences","",""
"uuid:a4f5586a-8d9a-4f0e-b9d3-308037d6f29a","http://resolver.tudelft.nl/uuid:a4f5586a-8d9a-4f0e-b9d3-308037d6f29a","Developing a phased approach for strategic distribution center location selection in FMCG Industries","HU, Chen (TU Delft Civil Engineering & Geosciences)","Ludema, M.W. (mentor); Duinkerken, M.B. (graduation committee); Rezaei, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Fast-Moving Consumer Goods (FMCG) companies face the critical challenge of selecting appropriate locations for their distribution centers to maintain operational efficiency and customer satisfaction. This thesis introduces a structured 5 step of phased method to address the distribution center site selection problem, considering various company-specific strategic objectives. The method incorporates determining factors identification, constraints selection, requirements outlining, alternatives generation and alternatives evaluation. A morphological chart is used in the alternative generation phase to create a range of potential locations alternatives. The Multi-Criteria Decision-Making (MCDM) method is applied for the systematic evaluation of the alternatives. The thesis suggests that expanding the set of determining factors, incorporating a broader range of stakeholder inputs and further enriching the alternatives through diversified means could enhance the method’s applicability and accuracy. This method offers FMCG companies a robust decision-making tool for strategic distribution center location selection, catering to individual business objectives and market dynamics.","Distribution Center Site Selection; Multi-Criteria Decision-Making (MCDM); Strategic Decision-Making; FMCG","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:0dca6f64-97ec-427e-afab-8af7d9633286","http://resolver.tudelft.nl/uuid:0dca6f64-97ec-427e-afab-8af7d9633286","The Cost of Risk Aversion: Eliciting risk preferences and re-evaluating flood protection standards in the Netherlands","Prevaes, Matthijs (TU Delft Civil Engineering & Geosciences; TU Delft Mechanical, Maritime and Materials Engineering)","Kok, M. (mentor); Jonkman, Sebastiaan N. (graduation committee); Rongen, G.W.F. (graduation committee); Strijker, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Where water flows, prosperity follows.
Many prosperous areas around the world are situated near large bodies of water such as oceans, seas, and rivers. These bodies of water play a vital role in enhancing the prosperity of societies through various means. They provide convenient access to transportation, food, recreation, and many other benefits. However it is not only prosperity that follows, but also risk. This risk, defined as the product of probabilities and outcomes, is an inherent price that has to be paid to enjoy the social and economic fruits that are provided by these oceans, seas or rivers. As whenever one is close to a body of water, there exists a probability of a devastating outcome: A flood.
In order to effectively minimize and handle risks, the field of Flood Risk Management has naturally evolved. Within this field numerous decisions need to be made, such as determining an acceptable probability of flooding for a particular area or deciding when to evacuate if a flood is imminent. These decisions involve individuals who process information and make judgments under risk. However, it has been observed that the decision-making process is vulnerable to the influence of risk preferences. The St. Petersburg Paradox provides an illustrative example of how risk preferences can affect behavior, as individuals are only willing to pay a limited amount of money for an expected outcome of an infinite sum. By considering risk preferences, researchers were able to explain the observed mismatch between the expected value and the willingness-to-pay. This example raises the question of whether similar discrepancies between willingness to pay and expected outcomes can also arise in flood risk management, and whether the inclusion of these risk preferences can aid in the decision-making process under risk.
This research looks at ways of incorporating risk preferences into the field of Flood Risk Management. A stated preferences method is used to uncover the risk preferences of individuals for flood risk related problems, consisting of a series of flood related choice problems. The subsequent results are fitted to several commonly used risk preference models, which consist of a utility- and probability weighting function. A modified version of Prospect Theory by Tversky and Kahneman (1992) is found to best describe the risk preferences of individuals towards flood risk related problems. This research shows that individuals have similar risk preferences for flood risk related choice problems as for general (behavioural) economic choice problems. The found utility function shows that individuals have a diminishing sensitivity for outcomes that are larger in magnitude, with a greater diminishing effect for positive outcomes than for negative outcomes. Additionally, it became apparent that individuals experience flood-related losses around 1.5 times more intensely than equal gains, aligning with the current understanding of behavioural economics. The identified probability weighting function indicates that individuals overestimate small probabilities, perceiving them as greater than their actual value, while simultaneously underestimating large probabilities. The point where overestimation switches to underestimation occurs around probabilities of 0.3, in line with the results found in behavioural economics. Special attention was given to probabilities between one in a hundred thousand and one in a hundred where, instead of assuming a functional form for the probability weighting function, an additional elicitation was performed. This elicitation revealed that individuals perceive probabilities below one in a hundred as largely the same. Suggesting that people are unable to distinguish between very small probabilities, such as one in a thousand and one in ten thousand. This finding carries significant implications for the perceived level of safety in flood risk management, considering that flood safety standards typically fall below one in a hundred.
The found risk preferences are subsequently used to reevaluate the Statistical Value of Life (VOSL), previously found to be around €6.7 mln. by by de Blaeij (2003) and Bockarjova et al. (2009). Based on the found risk preferences and answers to the choice problems a new value of €11.8 mln. is found. Adjusted for inflation this value is around 1.18 to 1.33 higher than the previous found values. This research further looked at the influence of the additional risk premium in the Dutch discount rate for infrastructure projects and its effect on the safety standard in flood protections. Including a positive risk premium, which increases the overall discount rate, leads to a decrease in safety standards. This is the logical result of discounting future benefits in the form of reduced risk, while the incurred costs are borne in the present and are therefore not discounted. This decrease in safety standard is indicative of a risk seeking approach, which contradicts the risk-averse nature of the risk premium in the discount rate. To resolve this contradiction and adopt a risk-averse approach, several options for incorporating the risk-averse premium in the discount rate are proposed.
All the previous insights are used in a case study, which consists of the reevaluation of Dutch safety standards for all dike sections along rivers and coasts. The evaluation of optimal flooding probabilities for the Local Individual Risk (LIR) and the Social Cost-Benefit Analysis (SCBA) is modified to include the found risk preferences, along with the adjusted values for the discount rate and VOSL. The resulting criteria are then used to reassess the optimal classified flooding probabilities for various dike sections in the Netherlands. This adjusted evaluation shows that the ratio between the leading principles in the flood safety standards remains largely the same, but the optimal flood probabilities associated with these principles do change. Generally, these probabilities are reduced by approximately one order of magnitude when the best estimates found in this research are included, leading to a stricter safety standard for flooding. An analysis is conducted to examine the sensitivity of the different changes. This analysis demonstrates that the inclusion of risk preferences has the most significant impact on the optimal flooding probability for both the LIR and SCBA, with the probability weighting function exerting the most influence. When the best estimates for the adjusted evaluation are used, the additional costs for each resident of the Netherlands that are the result of risk aversion amount to €37 per year.
This research is concluded with the remark that the insights found in behavioural economics are useable and of value in the field of flood risk management. People tend to show the same degree of risk aversion when presented with an uncertain choice about flooding as they do when they are presented with an uncertain choice about economics, such as the problem posed in the St. Petersburg Paradox. Taking these risk preferences into account can help to better distribute the scarce resources such as time and money to where they are of most utility to society.","Flood Risk; Risk Preferences; Prospect Theory; Behavioural Economics; Flood Defences; Utility Function; Probability Weighting Function; Flood Safety Standards","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:8ee45f53-2f1a-4300-9e86-b8ba4781c1cc","http://resolver.tudelft.nl/uuid:8ee45f53-2f1a-4300-9e86-b8ba4781c1cc","On the Design of Lens Array Architectures for the TIFUUN Imaging Spectrometer","Mavropoulou, Alexandra (TU Delft Electrical Engineering, Mathematics and Computer Science)","Llombart, Nuria (mentor); Dabironezare, Shahab Oddin (mentor); Remis, R.F. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the framework of this MSc thesis, the analysis and design guidelines for part of the quasi-optical system of a proposed astronomical instrument, called TIFUUN, are presented. The TIFUUN instrument is an imaging spectrometer, planned to be placed in the ASTE telescope to perform ground-based astronomical observations in the mm-submm wavelength regime. Part of the instrument development involves the design of the quasi-optical system coupling the radiation from the telescope’s main dish to the spectrometer array. In this thesis, the focal plane array of antennas, as well as the first component of the quasi-optical chain are analyzed and two different design approaches are presented, as candidate geometries. Each of them satisfies the requirements of different science surveys targeted by TIFUUN. The first examined architecture is comprised of a focal plane array of on-chip feeding elements under a single hyper-hemispherical lens, which is then coupled geometrically to a hyperbolic lens. The second geometry is instead comprised of an array of integrated elliptical lenses, with a single on-chip feeding element per lens, diffractively coupled to a hyperbolic lens. The methodologies to efficiently analyze these kinds of geometries are Geometrical Optics (GO) combined with analysis in reception for the first design approach and Coherent Fourier Optics (CFO) for the second one. During the design process, the performance of both architectures is optimized throughout the field of view, using methodologies to correct for phase aberrations, such as feed displacement inside the lenses and symmetric shaping of dielectric surfaces. The design guidelines provided and insights obtained during this MSc project will be utilized to develop the quasi-optical system of the TIFUUN instrument, within the limited space of its cryostat.","Quasi optical systems; Antenna design; Lens antenna; Geometrical Optics; Physical Optics; Fourier optics; Lens shaping; Leaky Waves; Astronomical Instrumentation","en","master thesis","","","","","","","","","","","","Electrical Engineering","TIFUUN (Terahertz Integral Field Unit with Universal Nanotechnology)",""
"uuid:7aca71e6-0bed-48a3-b30b-ce5527247638","http://resolver.tudelft.nl/uuid:7aca71e6-0bed-48a3-b30b-ce5527247638","Development and Implementation of In-line Characterization Methods for Roll-to-Roll Production of Thin-Film Solar Modules","Mishra, Shantiswaroop (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smets, A.H.M. (mentor); Ranneh, Amr (mentor); Perez Rodriguez, P. (mentor); Vogt, M.R. (graduation committee); Lekic, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This report emphasizes the importance of implementing quality control methods in the production machines at HyET Solar for assessing the quality of deposited materials. The focus is on two key steps: the deposition of the front transparent conductive oxide (TCO) layer and the deposition of silicon layers. Models were developed to characterize the quality of the TCO layer, including layer thickness, carrier concentration, and mobility of the electrons. A Drude model-based approach was chosen for in-line implementation. The sheet resistance of the TCO layer was measured using an improved tool, by enhancing its robustness and accuracy. To assess the quality of the deposited silicon layers, a non-contacting capacitive device was developed and calibrated (to measure the spatial gap between the photovoltaic layer and the device). Results showed that the Drude model fitting provided sensible results for carrier concentration and mobility with the former having a better correlation than the latter, with the standard Hall effect measurements. The results from the optical thickness model showed overestimation of values as compared to the scanning electron microscopy (SEM) results. The electrical sheet resistance values correlated well with Hall effect measurements than the optically obtained sheet resistance values. The calibrated non-contacting capacitive device demonstrated its ability to measure opto-electrical properties of the silicon layers, accurately. This research contributes to enhancing the efficiency and reliability of roll-to-roll production process of thin-film solar modules.","In-situ diagnostics; Thin-film silicon solar cells; Transparent conductive oxide","en","master thesis","","","","","","","","2025-07-11","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:9db66233-9e1b-42e7-85b1-74afe417bd8c","http://resolver.tudelft.nl/uuid:9db66233-9e1b-42e7-85b1-74afe417bd8c","How to design learning tools that entrepreneurs actually use?: Using three analytical approaches to bridge the research-practice gap in entrepreneurship.","Mintjes, Frank (TU Delft Industrial Design Engineering)","van Heur, R.J.H.G. (mentor); Coelen, J. (mentor); Delft University of Technology (degree granting institution)","2023","Entrepreneurship, as a driver of economic growth, involves identifying opportunities and creating ventures. However, the success rate of startups is significantly low, with various sources reporting different failure rates. Common reasons for startup failure include lack of market need, insufficient funds, inadequate team, strong competition and pricing/cost issues. Scholars and practitioners have developed numerous theories and tools to assist entrepreneurs, such as the Value Proposition Canvas, Lean Startup and Customer Development. Despite these efforts, the failure rate of startups remains high, raising doubts about the effectiveness of existing tools.
To improve the success rate of startups, academic research could be used. However within entrepreneurship, academic research becomes detached from practical relevance. This is an issue described in academic literature as the ‘Research-Practice Gap’. To address this gap, a “third body” or “design” is proposed as a mediating factor between research and practice. This design could offer prescriptive principles and tools that are useful for entrepreneurs. Currently, such designs are predominantly created by reflective practitioners rather than scholars. This offers certain problems in practice, such as their lack of rigor, limited generalizability, bias and subjectivity and finally lack of theoretical grounding.
This thesis aims to provide scholars with knowledge how to create learning tools that entrepreneurs actually use. As a result, scholars are able to covert their academic papers into desirable action-oriented learning tools. The first part of this thesis analyses this research-practice gap in three ways. First, it conducts a literature research of relevant literature in the domain of learning within entrepreneurship. Second, it researches the perspective of entrepreneurs on the gap by using a qualitative research study. Finally, the author dives into the role of an entrepreneur by solving a case-study for Stippl. This reveals a first-person perspective into the research-practice gap. The conclusions of these three research methods are combined into 4 important elements to implement when creating a learning tool for entrepreneurs.
The second part of this thesis converts the analysis into a product to use for scholars. This product does not only provide insights of the analysis, but it also supports scholars in using the important elements within their learning tool. In this part, the thesis identifies the necessary requirements for the product and creates three concept ideas. These concept ideas are tested with scholars to create one final product which implements the 4 important elements for scholars to create relevant learning tools.
This thesis aims to provide scholars with the necessary knowledge to create relevant learning tools. The ultimate goal is to improve the success rate of startups by providing entrepreneurs with desirable and relevant knowledge.
This study’s objective is to find out what it is that drives people to have vegetation within their gardens, and what effect this could have on both the UHI effect as well as runoff. The main research question entails: “How, and where, can vegetation in residential gardens in The Hague improve climate on a neighbourhood level?”. To answer this question, ArcGIS and SPSS are used. Initially, drivers behind vegetation within gardens are explored. Then, the current effect of vegetation within gardens is analysed. Finally, the potential of vegetation within gardens is calculated. This leads to several neighbourhoods that could be of great interest to policymakers who want to increase the amount of vegetation.
First, four factors emerged that influence vegetation within residential gardens. Green in the public environment, the average value of the residence, and the average size of the garden have a positive impact on vegetation within gardens. The more green in the public environment, or the higher economic value the residence has, the more vegetation there is within gardens according to this study’s findings. In contrast, the average household size instead sees a negative impact. The more people within a household, the more impervious surfaces within gardens.
Next, the effect of vegetation within the gardens on the UHI effect and runoff was calculated. This has shown that across all neighbourhoods, residential gardens reduce on average 2.7% of the local median UHI effect. The largest reduction is observed in Parkbuurt Oosteinde with 6.7%, and the smallest reduction in Westvliet-Oost, with 0.2%. As for runoff during a rainfall event of 100mm, the amount differs between 0.374mm in Vlietbuurt and 21.634 mm in Lage Veld, with an average of 7.3mm. Runoff stands for the amount of rain that cannot be absorbed by the soil.
Finally, the potential for residential gardens was calculated for a scenario in which all impervious surfaces were replaced with vegetation. As for the reduction of the UHI, increases up to 7.8% are noted. In addition, runoff can be reduced by up to 77% of the current amount. With these calculations, three neighbourhoods emerged as having high potential in both cases. These are van Hoytemastraat e.o., Parkbuurt Oosteinde, and Lage Veld. One thing that these neighbourhoods share is that they all consist of a large part of gardens of their total surface area. Policymakers could address these three to test their ideas, as the effects are likely to be easier to identify here than in other neighbourhoods.","Climate Change; Residential Gardens; Runoff; Vegetation; Urban Heat Island Effect (UHI)","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:005304c1-2b78-49d4-a938-134c78874e20","http://resolver.tudelft.nl/uuid:005304c1-2b78-49d4-a938-134c78874e20","Machine Learning and Causal Inference for the estimation of the effect of tacrolimus on kidney rejections","Borges Carioca Moreno Rodrigues, Inês (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Pattern Recognition and Bioinformatics)","Reinders, M.J.T. (mentor); Krijthe, J.H. (mentor); Delft University of Technology (degree granting institution)","2023","Tacrolimus is an immunosuppressive drug given to kidney transplant patients. A low concentration of this drug can lead to kidney rejection, but to our knowledge no research has been done to causally connect the two. This paper investigates the causal effect of tacrolimus concentration on kidney rejection occurrence using predictive analysis and a marginal structural model. The data utilized in this study was obtained from a randomized clinical trial conducted at the Erasmus Medical Center, Rotterdam. The challenges posed by limited data availability and class imbalance were carefully considered in designing the model structures. To investigate the predictive properties of tacrolimus related variables we compared results of Logistic Regression and XGBoost models on different sets of variables, yielding inconclusive results. To measure the causal effect of tacrolimus concentrations on the rejection probability, a marginal structural model was developed to estimate the causal effect of the percentage of hours spent within the target tacrolimus concentration range on the probability of kidney rejection. While a large amount of uncertainty remains, our estimates tentatively indicate a decrease as the percentage in rejection probability as the percentage of hours on target increased. Future studies are recommended to explore alternative datasets to enhance the confidence of the findings.","Causal Inference; Machine Learning; Healthcare","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:e6793d0d-a86e-4767-96ea-59c71afd92f4","http://resolver.tudelft.nl/uuid:e6793d0d-a86e-4767-96ea-59c71afd92f4","Designing for Kinderfaculteit Pendrecht: A roadmap to implement the stimulation of curiosity and perseverance in the organization’s working method","Reijnders, Marloes (TU Delft Industrial Design Engineering)","Magnier, L.B.M. (mentor); van Engelen, J.M.L. (graduation committee); Brandes, Kim (graduation committee); Delft University of Technology (degree granting institution)","2023","Kinderfaculteit Pendrecht, one of the programs of Stichting de Verre Bergen, offers children in Pendrecht the opportunity to spend their time in a useful way through after-school lessons. The Kinderfaculteit’s vision is to stimulate curiosity and perseverance among the children. As this vision is not yet integrated into their working method, the research team of Stichting de Verre Bergen is researching techniques to stimulate curiosity and perseverance. The aim of this graduation project is to integrate the core concepts of curiosity and perseverance within the Kinderfaculteit, using a design approach.
The project utilizes the Double Diamond structure (Framework for Innovation: Design Council’s Evolved Double Diamond, 2022). Since this graduation project is a social design project, various methods involving stakeholders are utilized, including semi-structured interviews, observations, and co-creation sessions.
In order to integrate curiosity and perseverance into the working method of the Kinderfaculteit, a roadmap is designed as a means to achieve this goal. Additionally, three concepts are designed to make each phase of the roadmap more tangible, while also serving as inspiration and potential starting points for the Kinderfaculteit. The roadmap and concepts are further explained in a booklet, which is delivered to the Kinderfaculteit as a final outcome. The resulting design is validated with one of the project managers of the Kinderfaculteit, yielding encouraging results since she is planning to incorporate the final design during the implementation of the core concepts. To enhance the feasibility of the design, suggestions are made to complement the roadmap and concepts.
This project demonstrates that taking a design approach to stimulate specific behaviours within an organization, like the Kinderfaculteit, provides insights into the various touchpoints that can influence the stimulation of curiosity and perseverance. Learning specific behaviours involve numerous different variables beyond an individual (such as these touchpoints), which can be difficult to determine using conventional, analytical research methods. Given the predominant focus on individual-level research on learning these behaviours, the importance of investigating the stimulation of behaviours like curiosity and perseverance on an organizational and/or group level is emphasized, rather than solely at the individual level.","Design Roadmapping; Co-creation; Social Design; Strategy; Education","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:76e894bd-63d1-4ed4-bec7-2d1bd324c859","http://resolver.tudelft.nl/uuid:76e894bd-63d1-4ed4-bec7-2d1bd324c859","Measuring Future Performance of Fictitiously Merged Motor Vehicle Manufacturers with a Composite Indicator from Economic and Environmental Perspectives","Patist, Jonas (TU Delft Mechanical, Maritime and Materials Engineering)","Beelaerts van Blokland, W.W.A. (mentor); Delft University of Technology (degree granting institution)","2023","This work investigates company performance measurement in case of a merger by applying a composite indicator for measuring company performance from economic and environmental perspectives.
The recent merger between Motor Vehicle Manufacturers (MVMs) Peugeot Société Anonyme (PSA) and Fiat Chrysler Automobiles (FCA) has drawn attention due to its potential positive impact on company performance. The aim of this research is to contribute to the existing knowledge by adding value to the field of company performance measurement in the context of mergers.
To achieve this goal, the current situation regarding the merger between automotive companies PSA and FCA was investigated and the state-of-the-art in composite indicators for measuring company performance and merger performance measurement was presented.
Furthermore, the relevance of adding Market Capitalization as independent variable to the comprehensive set of measures of the composite indicator for company performance of motor vehicle manufacturers (IMVM) was investigated. The IMVM was extended to assess both historic and future company performance for a hypothetical market of motor vehicle manufacturers in the case that two of these motor vehicle manufacturers were fictitiously merged into one company.
A case study focusing on the merger between PSA and FCA is conducted according to the methodology of theory-testing research. The application of the extended model IMVMMC provides valuable insights into merger performance.
Moreover, a method for measuring future merger performance was designed. This method provides a framework to evaluate the potential success of mergers and an index quantified this potential. The results showed the potential of value through growth and the potential of the merger between PSA and FCA.
A generalized framework is developed using hypothetical heat sources (potentials), with parameters of the heat potentials being determined from the values of the temperature field measured at limited discrete points. Infinitely many reconstructed solutions are possible and the arrangement, population, intensity, and size of the hypothetical heat potentials are the issues of interest that influence the reconstructed solution. Two concrete possibilities are presented for simplification (linearization) by limiting the issues associated with these potentials. The optimal values of unknowns are determined using sparse sensor measurements in a linear system of equations, with the help of `training’. The framework-assisted reconstructed fields demonstrate accurate predictions of uniform and smooth temperature distribution, while utilizing only a small number of sensor measurements, and minimal computational effort. This validates the effectiveness of an integral approach.
In more complex situations, like locally uneven fields or sharp convective currents, the framework-assisted reconstructions focus primarily on the dominant phenomena and do not capture specific (or, local) characteristics. This highlights the inherent limitations associated with the simplification of a non-linear problem. Potential improvements regarding the treatment of issues associated with heat potentials are suggested for developing a more versatile framework.
The performance of the source framework developed in this work, based on an integral approach, is compared with the Hidden Fluid Mechanics algorithm, a recently developed physics-informed neural network framework, based on a differential approach. This comparison highlights the strength of the source frameworks and the integral approach to `visualize' simple and smooth domains, in terms of computational expense and accuracy, particularly when dealing with a limited number of measurements. Integrating physics-constrained field functions, developed in this work, into a neural network architecture can present an intriguing avenue for framework optimization. Additionally, gradual enhancements in domain complexity can be explored to expand the applicability of the framework.
In this thesis project, we built a compiler optimizing C++ atomic memory accesses based on LLVM 14.0.0. We modified related LLVM passes to enable these optimizations. Specifically, our compiler is able to optimize Read-After-Read(RAR), Read-After-Write(RAW), and Overwritten Write(OW) patterns containing atomics. To achieve this, we removed checks in LLVM that forbid atomic accesses from being processed. And we added constraints and adapted them into existing algorithms of LLVM passes, to ensure the soundness of our transformations.
We tested our compiler using randomly generated ordered memory accesses. And our compiler is shown to be able to remove redundant atomic memory accesses, which the current LLVM does not. And we evaluated our compiler using several concurrent applications. We have not yet found a significant performance gain after building these applications using our compiler. The reason could be that these concurrent benchmarks do not contain the patterns our compiler optimizes.","LLVM; concurrency; compiler optimization","en","master thesis","","","","","","","","","","","","Computer Engineering","",""
"uuid:911b86d0-b0e6-432a-a0ca-5e1be567e66a","http://resolver.tudelft.nl/uuid:911b86d0-b0e6-432a-a0ca-5e1be567e66a","How it feels: The value and application of physical sensation in XR: Explore Somatosensory experience’s possibility in future XR trends","Li, Xiangyu (TU Delft Industrial Design Engineering)","Song, Y. (mentor); Santhosh, Sandhya (mentor); Delft University of Technology (degree granting institution)","2023","This research advocates for exploring the somatosensory system and its incorporation within XR systems, particularly in spatial recognition. This paradigm shift could introduce novel methodologies in XR design that perceive the body as a recipient of sensory stimuli and as an active participant in sensory perception. This could redefine our understanding of somatosensory in XR and contribute to a more holistic approach to multisensory design. Additionally, this study aims to examine the deployment of XR in the evaluation of aircraft interior design. The successful integration of XR technologies could potentially revolutionize the design process by presenting a more immersive and authentic user testing environment. In light of the present deficiency in precise spatial recognition within XR applications, this research could offer fresh insights into optimizing XR use in design, thereby improving spatial perception accuracy and enhancing ergonomics and user experience in real-world applications, such as aircraft interior design.","Extended reality; Ergonomics; Somatosensory","en","master thesis","","","","","","","","2023-07-12","","","","Integrated Product Design","",""
"uuid:1a93b73a-2dae-4d37-9a6d-55ce2c0a9b1e","http://resolver.tudelft.nl/uuid:1a93b73a-2dae-4d37-9a6d-55ce2c0a9b1e","Cryptpath: Data-oblivious Shortest Path Discovery in Outsourced Settings","Geadău, Andrei (TU Delft Electrical Engineering, Mathematics and Computer Science)","Erkin, Z. (mentor); Dekker, Florine (mentor); Proksch, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Cloud computing and storage solutions have been credited with increasing competitiveness through cost savings, greater flexibility, elasticity and optimal resource allocation. However, the data outsourced to the cloud may contain private information that must be protected from misuse. In such cases, the data can only be outsourced after encryption. Graphs are widely used to model and represent data in various applications, including geographic information systems. Yet performing the shortest path algorithm over such encrypted outsourced graphs represents a challenge. Current approaches rely on trusted third parties, employ weaker security measures, or treat the cloud as a storage medium rather than addressing the algorithmic complexities directly. This makes the adoption of such protocols difficult in practice.
In this work, we develop two privacy-preserving navigation protocols to compute the shortest path over encrypted outsourced graphs, under different security and efficiency guarantees. The first protocol enables one to retrieve the shortest path in an oblivious manner, that is, without the cloud learning any information about the outsourced graph or the returned path. The second protocol assumes that the topology of the outsourced graph is public knowledge, and obtains retrieval times orders of magnitude faster. The evaluation results on a proof-of-concept implementation indicate that the condition number of the node-incidence matrix of the graph being outsourced serves as a determining factor for the number of iterations. Dense graphs exhibit a low condition number. In such cases, the high number of iterations required for convergence, quadratic time complexity with respect to the number of edges in the graph, and the inherently slow homomorphic operations results in impractical retrieval times. Conversely, sparse graphs allow for trivial resolution of shortest paths within a single iteration.","privacy; cloud computing; shortest path","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:fda6b963-ad2f-4e52-9b51-17619c6cd325","http://resolver.tudelft.nl/uuid:fda6b963-ad2f-4e52-9b51-17619c6cd325","Land subsidence related damage to residential real estate and cost-effective adaptation strategies: From sinking to solutions: a methodological approach to assess the cost-effectiveness of adaptation strategies to counteract land subsidence related damage to residential real estate","Jansen, Dirk (TU Delft Civil Engineering & Geosciences)","Kok, M. (mentor); Taylor, Zac (graduation committee); van den Bremer, T.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Land subsidence poses significant damage risks to residential real estate, including pile rot, differential settlements, pluvial flood risk, and dewatering risk amounting tens of thousands of euros. In the Netherlands, the number of affected houses has surpassed one million and is estimated to reach two million, accounting for a quarter of all houses in the country. Without an action perspective homeowners are left to their own devices which this research shows can lead to postponing action until risks materialize. To mitigate these risks, multiple adaptation strategies are available. This thesis focuses on determining the cost-effectiveness of two general pathways for residential real estate constructed with either a wooden pile foundation or a shallow foundation: renovating existing houses or replacing them entirely. The study also investigates whether incorporating additional measures aimed at improving overall benefits such as increasing the amount of living space or transitioning towards a more sustainable house with energy label A, is cost-effective by comparing the costs and benefits associated with the adaptation strategies. When the benefits outperform the costs the strategy is labelled favourable. Through a comprehensive analysis, this research provides valuable insights into economically viable approaches for addressing land subsidence-related risks in residential real estate in relation to the location specific characteristics. It proves additional measures are required to make either renovation or replacement a cost-effective adaption strategy. Two options are shown to be cost-effective. Firstly, renovation including additional investments to
increase square meters of living space and transitioning to an energy performance A-label. Secondly, replacement including densification by building back more square meters of living space, increasing the amount of houses or a combination of the two. Both require large investments resembling around 75% to 150% of the current housing price respectively. Increasing the amount of square meters even further improves the result however this increasingly affects the character of the neighbourhood and its social composition. With these results, this thesis hence provides an action perspective to homeowners and stakeholders including policy makers and financial institutions by means of a solution space to address
land subsidence in an economically favourable way, whilst simultaneously reflecting on the differences in implications for the parties involved.
This proposed concept has so far not been investigated in academic research. Therefore, this thesis aims to create a general understanding of the system and its characteristics. Based on the example of Port Talbot in the UK, it should be examined how the concept can be implemented in a location. Furthermore, a response analysis of the system is done in SIMA for the single blade installation to understand which motions characterise the integration task and which environmental conditions limit the operation. Those operational limits are then implemented in a Python model of the complete integration sequence to conduct an operability analysis which should also give estimates for the required installation time and costs of the system when subject to wind and wave loads. Based on the findings of those studies, the technical and economic feasibility of the concept should be investigated.
The study has found that the wave-induced floater motions are mainly governing the systems motions during the single blade installation, which leads to very strict operational limits for the waves during the mating procedure. The technical feasibility of the proposed system is given if it is not subject to large tides and if a sufficient control mechanism is implemented for the installation. The operability analysis has shown that the system can be economically feasible if smaller projects should be implemented. For large projects, it is likely more feasible to invest into the port infrastructure.
downcycling, meaning that not even 35% is completely recovered. The remaining materials and sometimes the entire module is dumped in a landfill at e1 per module. Recycling these panels can cost between €15 to €30 per panel and post recycling a minimum value of €6.6 and a maximum of €21 can be derived from the recovered materials. However, these materials cannot be directly utilized to manufacture PV panels without further processing. The thesis estimates the quantity of materials in PV systems, such as silver, copper, silicon, glass, and aluminum. This estimation includes the weight of each material within PV modules, as well as the monetary value associated with these materials. In the year 2030, about €86 billion and €58 billion worth of silicon and silver, respectively, are contained in the installed PV panels. If the prevalent EoL processes are followed, these materials will be unaccounted for at the end of their lifetime. All processes must be economically viable and operate within well-established financial boundaries. In this study, the concept of the Levelized Cost of Electricity (LCOE) is utilized as a standardized metric for comparing a new PV module versus a refurbished module and setting up boundary conditions. To emulate the market, two scenarios are considered. On the one hand, the first scenario considers the entire system cost, including the second-hand PV module, Balance of Plant (BoP), and soft costs. In this scenario, a minimum second lifetime of 23 years ensures a positive cash flow for the manufacturers/suppliers. On the other hand, the second scenario considers the placement of a second-hand module into an existing system (eliminating the need for additional BoP and soft costs) and shows that no minimum second life of the panel is needed to ensure a cash inflow for the manufacturers/suppliers. The effect of subsidies and policies on LCOE are also analyzed utilizing discount rates. In general, the higher the discount rate, the higher the resultant LCOE. Finally, a market structure that utilizes the concept of a Product Service System (PSS) and aims to facilitate the utilization of second-life PV modules along with a proposal for the positioning of a Product Service System Provider (PSSP) is presented. Integration of the PSSP into the existing market structure is proposed in a stage-wise manner, utilizing the distribution system operator (DSO) for effective implementation. To achieve this integration, two strategies are recommended, one based on the size and capacity of the installed systems and the other based on geographical boundaries. Additionally, a brief overview of PV subscribe, which is a business model that stimulates the second-life market, is provided.","Second life; Circularity; Product Service System; LCOE; First generation; Recycling; Reuse; Refurbishment","en","master thesis","","","","","","","","2024-07-16","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:0f7a7f85-0f78-4ca1-afd5-de778c9134e0","http://resolver.tudelft.nl/uuid:0f7a7f85-0f78-4ca1-afd5-de778c9134e0","Experimentally observing discharge characteristics of Si-air batteries: Using KOH and BMPyr[NTf2] as electrolytes","Zhu, Michael (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Photovoltaic Materials and Devices)","van Swaaij, R.A.C.M.M. (mentor); Smets, A.H.M. (graduation committee); Kelder, E.M. (mentor); Delft University of Technology (degree granting institution)","2023","An increasing penetration of renewable energy sources in the global energy market necessitates an increasing amount of energy storage. Batteries are an excellent source of short term storage, used in vehicles, home storage and mobile applications. However modern technologies such as Li-ion batteries face resource scarcity and hence introduce geopolitical dependence. To this end Si-air batteries were recently, in 2009, explored in an attempt to devise a battery with high energy density and abundant materials.
The Si-air battery makes use of a silicon anode and an porous carbon cathode, to allow the circulation of air into the battery. Two electrolytes have been looked at in the past, KOH and the Room Temperature Ionic Liquid (RTIL) EMIm(HF)2.3F. The Si-air battery has excellent energy density in theory, with volumetric density being theoretically as high as 1 · 104 Wh/L. However, this theoretical energy density is as of yet far from reached, current research uses electrolytes which have a parasitic corrosion reaction with the silicon anode. This work aims to explore the usage of a new RTIL, BMPyr[NTf2], as the electrolyte in this battery. This RTIL has seen usage in Zn-air batteries, however it is yet untested for Si-air. The objective is to determine the discharge characteristics for a Si-air battery using this RTIL through comparison with KOH, with focus on the conductivity.
To do so first the relationship between conductivity and discharge potential for KOH was determined experimentally. The result found is that the discharge potential using KOH decreases with decreasing conductivity, however, this decrease is much larger than what can be solely attributed to the conductivity. Next the chosen RTIL BMPyr[NTf2], having a conductivity of 2.2 mS/cm at room temperature in a pristine state, was used in the battery discharges, where it was established to have an OCP of 0.7-0.8 V. From measurements no detectable consumption of Si was found after 1 hour of OCP. Further during the discharges it was found that the potential drops rapidly when discharge current is equal or greater to 2.5 μA. In an attempt to decrease the resistance in the battery cell a new design was created where the distance between the two electrodes is
decreased from 2 cm to 0.8 cm, using this second design a maximum OCP of 1.1 V was measured.
Finally by mixing in 1wt% and 3wt% water into the RTIL two mixtures are obtained with conductivity of 2.6 mS/Cm and 3.0 mS/cm at room temperature. Discharging these two mixtures at 20 nA, 100 nA, 500 nA and 2.5 μA it was found that for the 1 wt% the highest potential was found for 20 nA at 0.8 V. Meanwhile for the 3 wt% mixture the 20 nA discharge exhibited significantly lower potential at 0.4 V. For the 100 nA, 500 nA and 2.5 μA increasing conductivity led to increased potential, however similar to the KOH experiment, this difference in potential is larger than what is to be expected from purely conductivity changes. Finally, reproducibility of these experiments is low as a series of discharges with the same materials and current showed different potentials. These results combined lead to the conclusion of this work, thatthe relationship between conductivity and potential for the RTIL BMPyr[NTf2] is inconclusive, there are unknown factors influencing the discharge potential.","Silicon-Air; RTIL; Batteries","en","master thesis","","","","","","","","2023-07-17","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:d49c6a3d-1e76-415f-879f-2395f6de929d","http://resolver.tudelft.nl/uuid:d49c6a3d-1e76-415f-879f-2395f6de929d","Simultaneous optimization of multi-part structure topologies and connection points","Molina Ramirez, Ines (TU Delft Mechanical, Maritime and Materials Engineering)","Noel, L.F.P. (mentor); Langelaar, M. (mentor); Delft University of Technology (degree granting institution)","2023","Given the growing number of environmental and societal concerns we confront today, the idea of sustainability has gained importance. At the same time, new strategies for improving the performance of structures and systems have been developed due to developments in engineering and computational design. This research aims to generate a sustainable design using topology optimization by focusing on design for disassembly. One advantage of design for disassembly is that when a product can be disassembled, the parts can be reused, repaired, recycled, and remanufacture. This facilitates other aspects of product sustainability, such as the product's life cycle and end-of-life. A structure is divided into two parts and attached by a connection point, this connection point is called the connector. Due to sustainability, the connection method needs to be a non-destructive method, which in this case is the bolts. Next to the connector, two voids are required to insert, tighten and remove the bolts. Therefore, in this research, a structure is optimized using topology optimization and simultaneously optimizing the position of cut lines and connectors. The approach taken uses level set functions to model the cut of the structure, as well as the connectors and the voids. Then, they are converted into a density field using a smoothed Heaviside function. A Solid Isotropic Material with Penalization (SIMP) motivated method is used to join all the different density fields into an equation for the interpolated elasticity modulus. The optimization aims to minimize compliance with volume and no-overlap constraints. The non-overlap constraint is applied to the connectors.
The structure and the position of the cut line and the connectors are optimized using the Method of Moving Asymptotes (MMA) method. A gradient based sensitivity analysis is used in the MMA. Afterwards, the influence of the cut line, the connector and the voids are observed individually. After optimizing the parts individually, the full optimization was performed, where the structure, the cut lines and the connectors with the voids were optimized. Furthermore, a parameter study was done to observe their influence on the final layout. The optimizer's behaviour was observed by looking at the optimization results and the parameter study. For example, how the optimizer tends to stack some connectors together to create a member of the structure or the influence of the voids.
With the approach presented, the main idea of optimizing a structure using topology optimization and simultaneously dividing it and optimizing the connector's position is obtained. However, the optimization has some limitations, as some assumptions and design considerations are not accurate, further research is needed to get accurate results.
The research described in this thesis has aimed to develop and test a method to give insight to decision-makers into the composition of robust and efficient energy systems, given weather uncertainty. To achieve this, the SPORES methodology has been used and extended to identify energy system configurations that are both robust and efficient. For this, a decision option space for decision-makers has been created that has been diversified based on renewable energy generation and storage technologies. To test the developed method, the North Sea region has been used as a case, as this is the region thought by policy to have great potential to house renewable generation sources in Europe.
The method developed in this research systematically covers the decision option space over three weather scenarios (worst, typical and best). From these decision spaces, configurations that meet demand with installed capacities that exist across the whole weather options space have been selected as robust. Clustering was used to identify types of energy system configurations, having commonalities in the installed generation capacities. Energy efficiency has been identified as key for measuring energy system performance. This research, therefore, takes curtailment and energy system yield into account to quantify efficiency. Using a Pareto analysis, both robust and efficiency-wise high-performing energy system configurations were identified as most promising for decision-makers.
The no-regret decisions, visualized by the SPORE-core, are minimum capacities required across the whole decision space. Results showed that robust energy systems are typically comprised of balanced configurations, meaning that solar PV and wind power both have the largest capacity of energy generation sources. The balanced configurations also contain high transmission capacities and typically no storage capacities indicating energy is distributed rather than stored. The robust and efficient configurations need additional capacity investments on top of the no-regret decisions. Especially solar PV needs a large increase in capacity when robustness and efficiency are required. Combined heat and power from biofuels and electrolysis capacity are also key to robust and efficient configurations. Additional results showed that the majority of robust and efficient configurations utilised more offshore than onshore wind capacity.
The findings of this research are based on a case of the North Sea energy system with a high level of aggregation and are thus of limited use for precise designs of the North Sea energy system. The method created in this study can be adapted to contain more detail and offers space for researchers to include their own performance indicators. However, this research already used significant computational efforts, so adding more resolution and detail will mean the computational process can be restricting. Future research should focus on using the developed method to select promising and robust energy system configurations with higher levels of detail and conduct further weather scenario analyses on the selected configuration.","","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:46c267d3-3383-459b-8aba-0d24d6306ee7","http://resolver.tudelft.nl/uuid:46c267d3-3383-459b-8aba-0d24d6306ee7","Privacy Analysis of Decentralized Federated Learning","Yu, Wenrui (TU Delft Electrical Engineering, Mathematics and Computer Science)","Heusdens, R. (mentor); Liang, K. (graduation committee); Li, Qiongxiu (graduation committee); Delft University of Technology (degree granting institution)","2023","Privacy concerns in federated learning have attracted considerable attention recently. In centralized networks, it has been observed that even without directly exchanging raw training data, the exchange of other so-called intermediate parameters such as weights/gradients can still potentially reveal private information. However, there has been relatively less research conducted on privacy concerns in decentralized networks.
In this report, we analyze privacy leakage in optimization-based decentralized federated learning, which adopts generally distributed optimization schemes such as ADMM or PDMM in federated learning. By combining local updates with global aggregations, it was proved that optimization-based approaches are more advantageous compared to the traditional average consensus-based approaches, especially in scenarios where the data at the nodes are not independent and identically distributed (non-IID).
We further extend the privacy bound in distributed optimization to the decentralized learning framework. Different from the fact in the centralized learning framework the leaked information is the local gradients of each individual participant at all rounds, we find that in decentralized cases the leaked information is the difference of the local gradients within a certain time interval. Motivated by the gradient inversion in centralized networks, we then design a homogeneous attack to iteratively optimize dummy data whose gradient differences are close to the true revealed gradient differences. Though the gradient difference information still brings privacy concerns, we show that it is more challenging for adversaries to reconstruct private data using the difference of gradients than using the gradients themselves in the centralized case.
To deal with the privacy attack, we propose several potential defense strategies such as early stopping, inexact update and quantization etc. The main advantage of these approaches is that they introduce error/noise/distortion into decentralized federated learning for protecting private information from being revealed to others without affecting the training accuracy. In addition, we also show that the larger the batchsize is, the more difficult for the adversary to reconstruct the private information.","Federated learning; Privacy; Distributed optimization","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:9ce72349-236f-4cbb-b5d9-24369ee6035e","http://resolver.tudelft.nl/uuid:9ce72349-236f-4cbb-b5d9-24369ee6035e","Modelling Flow-based Market Coupling in the CORE region: A Data-driven and Interpretable Approach","Riskutia, Balázs (TU Delft Technology, Policy and Management)","Bruninx, K. (mentor); Timmer, Roderick (mentor); Correljé, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Integrating renewables in the electricity system in a cost-efficient way requires massive transmission system investments and the efficient use of available transmission capacity. Markets are pivotal in the latter, especially in coordinating flows between countries. In the European Union, flow-based market coupling (FBMC) arose as the preferred market-based cross-border capacity allocation method, which has recently been extended to the CORE region, involving 12 countries of the EU. While the expansion of the flow-based methodology brings the EU closer to a single internal electricity market, its complexity and scale hinders analytical efforts of market participants, system operators and regulators. They conduct analysis to obtain insights into price formation, to enhance coordination as well as a more efficient use of assets. The limited and fragmented data available on flow-based domain strategies of TSOs and cost structures of participants obstructs the analysis.
This thesis attempts to bridge the gap between stylized academic models on FBMC and real-world market outcomes, to be able to reason about operational day-ahead markets via these simplified models. To this end, a multi-step modelling process is carried out to forecast day-ahead zonal market prices and cross-border flows. Inverse optimisation is utilised to recover cost functions on a bidding zone and technology level. This is followed by a spatial reconstruction of the static grid, which is subsequently used to infer the flow-based domain based on historical observations. The model-based approach has the added benefit of being interpretable, and can be adjusted for structural and regulatory market changes.
Inverse optimisation has proven to be able to recover aggregated technology cost functions with which real-world market outcomes can be forecasted in a tractable way. The model developed in this work is shown to outperform a commercially available, machine-learning-based algorithm in forecasting day-ahead prices. The limitations of reconstructing flow-based domains using publicly available flowbased market data are identified. Analysis concludes that while the ability to recover cross-border flows is sensitive to the shape and size of the inferred domains, the performance of price forecasts is robust against the quality of domain inference. The delivered work is argued to yield valuable insights to both market participants optimising their assets, and regulators structurally assessing the effects of flow-based domain configurations on welfare outcomes of real-world day-ahead markets.","Day-Ahead Market; Flow-based Market Coupling; Inverse Optimization","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:3c68343d-96af-4e09-93ef-494fe9f7c496","http://resolver.tudelft.nl/uuid:3c68343d-96af-4e09-93ef-494fe9f7c496","Making the Production of Green Hydrogen by Electrolyser Economically Viable","Bijl, Jorn (TU Delft Mechanical, Maritime and Materials Engineering)","Polinder, H. (mentor); Kopka, T. (mentor); Wildschut, Michiel (mentor); Delft University of Technology (degree granting institution)","2023","Green hydrogen plays an important role in the energy transition. It can function as a storage medium, as well as a replacement for fossil fuels in transport or high-temperature heat processes. However, the economic feasibility of electrolysers has proved to be a problem. Even though a lot of research has been done to the electrolysis technology, very few research has been done to the implementation of an electrolyser.
For this research, a physical model of an electrolyser has been developed, as well as an Energy Management System (EMS). For this system, trading strategies for electricity markets have been developed. By trading on the imbalance and day ahead market, the contribution margin (hydrogen revenue minus electricity costs) has been significantly increased by over 27%. Seasonal hydrogen storage in salt caverns has proven to be a promising solution for producing more hydrogen and increasing revenue, depending on the storage costs that are applied. A Battery Energy Storage System (BESS) has been added to the system for its competence in dynamic behaviour on the electricity markets. For the addition of a BESS to an electrolyser, no conclusive proof of the benefits for the economic viability of green hydrogen has been found.","Electrolyser; Energy Management System; Viability; Linear optimization; Green Hydrogen; BESS; Hydrogen storage; Electricity Markets","en","master thesis","","","","","","","","2025-07-01","","","","Mechanical Engineering","",""
"uuid:4a1e8f49-3b15-4464-ae86-b7ce9e19530c","http://resolver.tudelft.nl/uuid:4a1e8f49-3b15-4464-ae86-b7ce9e19530c","The Agile Windmill: Unleashing Project Success in Dutch Asset Management","Arya, Shitiz (TU Delft Technology, Policy and Management)","de Vries, M.J. (mentor); Pachos-Fokialis, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","The use of Agile methodologies has been steadily growing in popularity in recent years, with many organisations across various industries adopting it to manage their projects. This shift in project management practices has replaced traditional project management styles, and Agile methodologies like Scrum, SAFe, and Kanban are widely used. However, there has been a lack of research into the impact of Agile on project success in the financial industry, specifically in the Netherlands.
This research aims to fill this gap by examining the perceived impact of adopting agile methodologies in technology-driven projects within asset management firms in the Netherlands through a qualitative study using thematic analysis through semi-structured interviews and document analysis. The study aimed to gain insights into the reasons, challenges, benefits, success criteria, and key factors influencing project success in the context of agile implementation. Through interviews with experienced agile team members, valuable insights were obtained. It was found that agile methodologies are not the sole cause of project success, as success is influenced by a combination of factors. Agile methodologies empower teams to adapt and respond to changing circumstances, providing a solid foundation for handling challenges and embracing positive change. The research highlights the importance of having the right people with the right mindset and behaviour in key positions within the organisation. Teamwork and acceptance of other contributing factors are at the core of agile methodologies. Agile provides a framework for effective collaboration and flexibility, enabling organisations to leverage its benefits and improve project outcomes. The findings emphasise the need for alignment between the organisation's culture and the principles of agile methodologies. It is crucial to create an environment that fosters teamwork, encourages open communication, and supports continuous improvement.
The research findings reveal that asset management firms adopt Agile methodologies in technology-driven projects for various reasons, such as increased flexibility, faster delivery cycles, improved collaboration, and better adaptation to changing requirements. However, challenges related to resistance to change, stakeholder alignment, and the need for continuous learning and skill development were identified. Despite these challenges, the perceived benefits of Agile were evident, highlighting its ability to enhance project outcomes. The criteria used to measure project success in technology-driven projects within asset management firms encompass a combination of quantitative and qualitative factors. While meeting project timelines and budgets was important consideration, other success indicators included customer satisfaction, quality of deliverables, stakeholder engagement, and adherence to project goals. This multidimensional approach to measuring success reflects the complex nature of technology-driven projects in the asset management sector. The research identifies several key factors that influence project success in technology-driven projects within asset management firms. These factors include effective teamwork, strong leadership, clear communication, stakeholder involvement, and the alignment of project goals with organisational objectives. The findings emphasise the critical role of these factors in overcoming challenges and ensuring successful project outcomes in the asset management industry. The experiences and perspectives of agile team members shed light on their perception of best practices for using agile methodologies in technology-driven projects. They emphasise the importance of continuous improvement, transparency, and adaptability in Agile adoption. A supportive organisational culture, ongoing training and development, and effective collaboration between team members and stakeholders are identified as crucial elements for the successful implementation of agile practices. These insights from agile team members provide valuable guidance for optimising the use of agile methodologies in technology-driven projects within asset management firms.
The research concludes that agile methodologies, when implemented effectively and supported by the right organisational culture and mindset, can significantly enhance project success in technology-driven projects within asset management firms. It is recommended that organisations invest in developing and nurturing the necessary skills, behaviours, and mindset to fully leverage the potential of agile methodologies. The study contributes to the existing body of knowledge by providing insights into the perceived impact of agile methodologies in the specific context of asset management firms. The findings can guide organisations in making informed decisions regarding the adoption and implementation of agile methodologies to enhance project outcomes.
In this thesis, a lateral metal-oxide-semiconductor field-effect transistor (MOSFET) and an interdigitated back-contacted (IBC) solar cell are integrated into the same crystalline silicon (c-Si) wafer. A combined process flow is developed to manufacture both components with a minimum number of additional steps compared to single-component manufacturing processes. One criterion for this is a high similarity in the design of the device. Therefore, a tunneling oxide passivated contact (TOPCon) solar cell structure is used, involving polycrystalline silicon (poly-Si) at the device’s backside. Similarly, the MOSFET’s gate is made of a highly doped poy-Si film. Ion implantation is used as a common doping process.
Both solar cells and transistors that were manufactured with the combined process flow are first characterized separately. The highest efficiencies obtained for n-type and p-type solar cells are 20.29% and 20.66%, respectively. This is achieved thanks to multiple combined passivation approaches including TOPCon, wet poly-Si etching, a front-side hydrogenated amorphous silicon (a-Si:H) film, and hydrogenated silicon nitride on both sides of the device. Different MOSFET layouts are explored to make the device able to handle relatively large currents. It is found that introducing several drain-source pairs in parallel is more efficient than increasing the channel width to reduce the on-resistance. The on-resistance is further minimized with a gate length reduction and wet chemical poly-Si etching. As expected, the comparison of PMOS and NMOS (MOSFETs built on n-type and p-type wafers, respectively) shows better on-performance for the latter. A minimum on-resistance value of 1 Ω is then obtained. However, a higher leakage current consistently seems to come along with reduced on-resistance; i.e., higher on-performance is coupled with lower off-performance. Finally, experiments are performed combining both components. Under illumination, the MOSFET exhibits lower off-performance due to the photovoltaic effect. However, this effect does not affect the on-performance of the component. The monolithically connected components exhibit I-V characteristics that depend on the applied MOSFET’s gate potential. In the on-mode, the solar cell maintains more than 95% of the conversion efficiency compared to the efficiency measured with the same solar cell without the transistor. However, a non-zero current is obtained in the off-mode, exhibiting low transistor blocking capability. Nevertheless, the large difference in characteristics obtained between the on- and off-modes proves the feasibility of integrating a solar cell and a transistor on the same substrate with a minimum number of additional processing
steps.
1. Help patients with finding a balance in life after their diagnosis.
2. Give patients a clear overview of their medication intake.
3. Monitor the patients’ quality of life sarcoidosis aspects.
4. Provide patients with information about sarcoidosis-related topics.
These formed the base of the designed system in the form of an application:
Sarcoïdosis voor jou. This application has four main pages where the focus on each page is a different need. The self-management tool can be created with the internal company Digitaal Verbonden. Together with the ILD department, the application can be created with some additional research steps. This project can argue why certain functions should be implemented in the general Digitaal Verbonden application for Erasmus MC patients.","","en","master thesis","","","","","","","","","","","","Integrated Product Design | Medisign","",""
"uuid:3c30eb2a-211e-45d9-bb19-e892508519a2","http://resolver.tudelft.nl/uuid:3c30eb2a-211e-45d9-bb19-e892508519a2","Ageing and Lifetime of Medium Voltage ROV Umbilical Cables","shah, shibanni (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ghaffarian Niasar, M. (mentor); Vaessen, P.T.M. (graduation committee); Shekhar, A. (graduation committee); van Veldhuizen, Evert (mentor); Sans, Auguste (mentor); Delft University of Technology (degree granting institution)","2023","Remotely operated vehicles (ROV) are used for the inspection, maintenance and repair of submarine and offshore cables, subsea exploration, and rescue operations. ROVs are powered by umbilical cables, which have to withstand electrical, mechanical, and thermal stresses. This thesis investigates the effect of repetitive bending on the insulation properties of ROV umbilical cables for medium voltage.
Umbilical cables with XLPE, polypropylene, and HDPE insulation were mechanically aged using a cyclic bend-over-sheave setup, after which the dielectric properties were analysed using electrical breakdown tests and several diagnostic tests, such as partial discharge analysis.
The cables with XLPE and HDPE insulation showed delamination of the conductor–insulation interface, which resulted in increased partial discharge activity, a reduced breakdown voltage, and a significantly decreased lifetime. For HDPE, the lifetime power law exponent 𝑛 dropped from 18 to 9 after 23,000 cycles of mechanical ageing. Polypropylene, on the other hand, did not show delamination but an increase in dielectric-bounded cavities with mechanical ageing.","Remotely Operated Vehicle (ROV); Bending fatigue; XLPE; Polypropylene; HDPE; Partial discharge; Electrical breakdown","en","master thesis","","","","","","","","2025-07-14","","","","Electrical Engineering | Electrical Power Engineering","",""
"uuid:86939639-ce1f-4b95-9177-4a3908ed3ad2","http://resolver.tudelft.nl/uuid:86939639-ce1f-4b95-9177-4a3908ed3ad2","How to increase citizens' involvement in public participation using blockchain technologies?","Bratek, Hubert (TU Delft Technology, Policy and Management)","Roosenboom-Kwee, Z. (mentor); Ding, Aaron Yi (graduation committee); Delft University of Technology (degree granting institution)","2023","Public participation plays a crucial role in democratic governance, as it allows citizens to contribute their opinions and influence decision-making. However, traditional methods of public participation often face challenges such as lack of transparency, limited accessibility, and low citizen engagement. This master thesis explores the potential of blockchain technologies to increase citizens' involvement in public participation processes. The thesis employs a mixed-methods approach, combining qualitative and quantitative research methods to provide a comprehensive understanding of the topic. Through multiple interviews and surveys, it analyses the current pain points of the public participation methods and finds the missing pieces of the blockchain technology that still has to be developed. The research presents multiple future research areas for further development, providing starting points and ideas that could be further evaluated.","blockchain; public participation; e-governance","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:30d897ce-f424-48ad-9603-7d8d8d3f6948","http://resolver.tudelft.nl/uuid:30d897ce-f424-48ad-9603-7d8d8d3f6948","Combined Self-Healing Method for Lifetime Extension in Asphalt: A Mechanical and Sustainability Assessment","Recordon, Peter (TU Delft Mechanical, Maritime and Materials Engineering)","Xu, S. (mentor); Filonenko, G.A. (mentor); Schlangen, E. (mentor); Mol, J.M.C. (mentor); Delft University of Technology (degree granting institution)","2023","Over the last 15 years or so, research has revealed the great self-healing prospects possessed by asphaltic mixtures. Researchers have proposed novel methods to harness this capability, aiming to prolong the service life of asphalt pavement, particularly in porous asphalt. To date, the most promising of the healing methods is the combined capsule-induction system. This thesis aims to ascertain whether such a system would show positive results in stone mastic asphalt (SMA). Following that, an optimisation of the composition of self-healing SMA was proposed by assessing the mechanical and healing properties via laboratory testing. Finally, an evaluation of sustainability from an environmental perspective was done using Life Cycle Analysis (LCA) methodology.
Results of the healing assessment revealed that each combined healing system was able to recover between 58-63\% of its original fracture strength after 8 healing cycles, while the reference mix (without healing) was only able to regain 10\% fracture strength before failure after 2 cycles.
Inclusion of the combined healing system slightly reduced the strength, stiffness and water sensitivity of the SMA mixture compared to the reference. However, improved rutting resistance was observed in each self-healing case. Within the self-healing mixtures, increasing capsule content reduced asphalt density, stiffness and strength and resulted in an increase in asphalt void content.
The LCA results show that the self-healing system had environmental benefits in some facets such as a 14\% reduction in fossil fuel resource depletion and a 21\% reduction in land use. However, the present total known environmental costs of other impacts are approximately 15\% lower in the reference system based on a cradle to gate, and use phase analysis. Almost half of this total cost was attributed to maintenance activities. It was concluded that a 32\% increase in maintenance efficiency would ensure environmental viability of a self-healing mixture over a reference mixture within the constraints of the analysis conducted.","","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:456d56ea-2cee-4f4f-81f6-bfc1377eff3a","http://resolver.tudelft.nl/uuid:456d56ea-2cee-4f4f-81f6-bfc1377eff3a","Dynamic Tidal Power in the Voordelta: Assessing the potential of a southwest-oriented DTP dam in the Netherlands for renewable energy and coastal resilience","Weistra, Sietse (TU Delft Civil Engineering & Geosciences; TU Delft Hydraulic Engineering)","Attema, Ype (mentor); Labeur, R.J. (graduation committee); Arriaga, Jaime (graduation committee); Aarninkhof, S.G.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","A possible way of generating a stable and predictable base load of renewable energy, supplementing the unpredictable wind and solar energy, is dynamic tidal power (DTP). DTP is generated with the use of large dam, which is built in the sea, under an angle with the propagating tidal wave. A dam of sufficient length can create a phase difference between the propagating tidal waves on either side of the dam, creating head differences over the dam, which can be used to generate renewable energy using large turbines in the dam.
Previous studies considered a DTP dam built perpendicular to the coast and the direction of the propagating tidal wave. However, a structure of this size extending into the North Sea interferes with other functions of the area such as shipping. Instead of a perpendicular dam, an oblique dam off the Dutch delta coast has been proposed to reduce this interference. This design has the additional benefits that it could increase the coastal safety of the surrounding area and potentially increase the sediment budget of the Voordelta area in front of the coast.
In this study, a first-order assessment of an oblique DTP dam has been carried out. This assessment focuses on three aspects: the expected energy yield and how this compares to the expected energy yield of a perpendicular dam under the same conditions; the impact this dam has on the coastal safety of the surrounding area. To this end, an analysis of the change in hydrodynamic and morphological processes in the Voordelta as a result of the construction of such a dam has been carried out.
The propagation of the tidal wave around an oblique DTP dam in the North Sea has been modelled using the FINEL modeling software, applied as a two-dimensional flow model. The reference layout of the DTP dam has been determined beforehand based on several requirements concerning the location and length. Using a turbine module integrated into FINEL, the discharge through each turbine has been determined, base on which the energy output of the DTP dam has been calculated. A comparison was made of the energy output of the oblique dam and that of a perpendicular dam, as used in previous studies. To do this, the same model has been run with a dam positioned perpendicular to the Dutch coast.
A DTP dam with a length of 62.5 km and a southwest orientation starting at the Maasvlakte 2 was found to have a maximum power output in the order of 8 * 10^2 MW and a yearly generated energy yield in the order of 2 TWh. Both of these values were approximately a factor five lower than the power output of the perpendicular dam with the same length also starting at Maasvlakte 2. This is because, in the case of the perpendicular dam, a phase difference in the tidal wave over the dam was created, creating a large head difference over the dam. In the case of the oblique dam, the phase difference was lower, significantly reducing the water head over the dam. As opposed to the perpendicular dam, the head difference was created as a result of amplification of the tidal wave within the estuary.
Subsequently, a SWAN wave model has been coupled to the FINEL flow model. In this coupled model, a design storm with a return period of 10000 years has been simulated. Of this storm, the wave characteristics and water level in the area were compared between the scenarios with and without oblique dam. A large decrease in both significant wave height and peak period behind the dam was found across nearly the whole Voordelta area, with the largest decrease offshore immediately behind the dam. However, the significant wave height increased on the outside of the dam and near Westkapelle. The same result was found for scenarios where 25 cm and 80 cm mean sea level rise has been applied. This is because the incoming waves from a northwestern direction are blocked by the dam, resulting in locally wind-generated waves behind the dam becoming dominant in the area. On the offshore side of the dam, significant wave heights are increased as a result of reflection of incoming waves. The maximum water level during the storm decreases in the nearshore area.
As the area behind the dam is transformed into an area resembling an estuary, the dominant hydrodynamic and, consequently, the morphodynamic processes are changed. The dam blocks all incoming waves from the west and northwest, which are the dominant wave directions in the area. Because of this, the wave energy within the area decreases significantly under storm conditions. At the same time, the tidal amplitude is expected to be amplified within the area. These changes cause the area to become more tide-dominated. As a result, a decrease in the onshore directed sediment transport into the area is expected. The entrance of the estuary is expected to become more flood-dominant, as a result of which more inflow of sediment into the created estuary is expected. Dominant sediment transport mechanisms with the estuary are also changed, but for a more accurate view of the extent to which this happens, a complete morphological model is necessary, which has not been applied in this study.
In summary, a DTP dam oblique to the Dutch coast can provide a substantial amount of energy, although it is significantly less when compared to a perpendicular dam and to previous studies. A further advantage of this dam is that the area behind is sheltered against storm wave conditions and extreme water levels, thus increasing the coastal safety. It is foreseen that the area attracts more sediment by the creation of the dam, but this needs to be confirmed by a future morphological model assessment.","Dynamic Tidal Power; Finel; SWAN; Renewable energy; Voordelta","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:1e4c276b-4767-449e-a7a3-323329685085","http://resolver.tudelft.nl/uuid:1e4c276b-4767-449e-a7a3-323329685085","Agile implementation in hardware environment","van Driessen, Laurens (TU Delft Technology, Policy and Management)","Pachos-Fokialis, N. (mentor); Kroesen, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Agile development approaches are becoming more popular the last years. With large success in the software industry, the interest in using agile methods has shifted to the development of physical products. Since agile has been originally developed for the software industry, it does not cover aspects of the hardware development environment. However, some companies do want to switch from a traditional approach to a hybrid or even complete agile approach. Reasons for this can vary from the wish to reduce time-to-market, improve communication and collaboration among the teams, or because they do not want to lack behind their competitors even though they did not know if the method will be effective for their own company. The problem is that the implementation and use of the agile methodology in the traditional approach face some issues concerning effectiveness, performance, and mismatch with expectations. The objective of this research is to look into the implementation and use of the agile methodology in the traditional models in the hardware industry. This has led to the research question: How to effectively implement and use the agile methodology in the hardware environment?
A literature review has been conducted on the success factors and barriers of agile implementation in the hardware environment. Furthermore, the benefits and challenges of the use of agile are investigated and an overview is created. Moreover, a qualitative research approach is used to dive into the perceptions and best practices of agile by doing a case study with multi-person interviews at a large hardware development company. The findings of the success factors, barriers, benefits, and challenges are identified and compared with the literature. Remarkably, the success factors and barriers are difficult to see independently of each other, as they are often linked and can reinforce one another.
The way of implementing and using the agile method must match the company and the people. For the implementation, the mindset of the people should be right in place and the pilot can show if the proposed way of working is right. For the use, it is of significant value that besides the people aspect, the tools, and organizational structure are aligned. Also here, the rituals, prototyping, training, and way of specialization should match the company and the teams. The findings of this thesis can be used as a guideline to implement and use the agile methodology in large-scale hardware companies.","Agile; Hardware; Implementation","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:5d1c17e6-5df4-48d2-815f-d71ef66f5325","http://resolver.tudelft.nl/uuid:5d1c17e6-5df4-48d2-815f-d71ef66f5325","UnBoxing the MI Box: A study on how to transfer from monitoring to coaching with the MI Box to increase self-care activities","de Haan, Jolijn (TU Delft Industrial Design Engineering)","Rietjens, J.A.C. (graduation committee); Morales Ornelas, H.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Problem Background:
Cardiovascular disease (CVD) is the most common cause of death in the world (Johnston et al., 2016). One of the main causes for cardiovascular mortality is a myocardial infarction (MI) (Jneid et al., 2013; Mishra & Ramavataram, 2021; Verburg et al., 2019). A myocardial infarction is an event in which the heart muscle is damaged because of a complete or partial absence of oxygen supply (Mishra & Ramavataram, 2021)
The healthcare system is pressured by the enormous number of CVD cases (Kaushik et al., 2020). Digital care has gained recognition in the past decade because of its potential to release some pressure from the healthcare system. With the potential of digital care in mind, Leiden University Medical Center (LUMC) has developed a concept called “The MI Box” which provides patients who have had an MI with self-monitoring devices (The Box, 2021).
During the hospitalisation phase of the MI care trajectory, there is little time to involve patients in their care because healthcare professionals (HCPs) need to work as quickly as possible to avoid as much heart damage as possible. This is causing patients to have a bad discharge experience. The MI Box shows potential to involve patients more after the discharge as the concept shows the monitored values to patients. However, it can be difficult for patients to understand these values and how to use them for self-care activities, especially because of the little involvement during hospitalisation. If LUMC wants to satisfy its aim to change from monitoring to coaching, it is crucial to provide patients with enough support to work with their monitored values and perform self-care activities.
Increasing patient involvement is an essential first step before shared decision making (SDM) can be realised. SDM is a suitable approach for the change towards coaching as it invites patients to make their own care choices. In the MI care trajectory, the implementation of SDM is currently lacking. Therefore, further analysis of SDM is needed. A tool that is valuable for the analysis and increasement of SDM, and which is used in this thesis, is the Metro Mapping tool (Metro Mapping, n.d.).
Besides, because the MI Box causes care to be transferred to a patient’s home environment, it is necessary to consider the home context of a patient as care activities do not happen in a controlled hospital environment. Currently, little is known about the context in which the MI Box is used. Therefore, more knowledge about the context is necessary to consider what support means would be suitable to offer to patients to make them more empowered to perform self-care activities.
Research Question:
How to shift from monitoring to coaching with the MI Box?
Research activities:
-Literature research;
-Interviews: HCPs (n=5), MI patients (n=4), MI Box users (n=2);
-Context mapping;
-Metro Mapping.
Design Goal:
The goal is to increase patients’ confidence to perform self-care activities by increasing patients’ involvement and guidance with the use of the MI Box.
Final Concept:
“UnBoxing the MI Box”","Myocardial Infarction, The MI Box, LUMC Care App, Self-Care, SDM, Metro Mapping","en","master thesis","","","","","","","","","","","","Design for Interaction | Medisign","",""
"uuid:445625af-5b98-4788-9735-88d7015ea082","http://resolver.tudelft.nl/uuid:445625af-5b98-4788-9735-88d7015ea082","From Stress to Strain for Damage Prediction in Atherosclerotic Plaques: A Twelve-Metric Sensitivity Study using Plaque-Specific Fibre Architectures","Rutten, Daniël (TU Delft Mechanical, Maritime and Materials Engineering)","Akyildiz, A.C. (mentor); Delft University of Technology (degree granting institution)","2023","","Atherosclerosis; Biomechanics; Finite Element Method (FEM); Fiber; Plaque Rupture; Image Processing; Sensitivity Study","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:bb964fb7-2d29-4ff0-b9bb-5395d7577f23","http://resolver.tudelft.nl/uuid:bb964fb7-2d29-4ff0-b9bb-5395d7577f23","Heat production in Alkaline Capillary-Fed Water Electrolysis","Manna, Kris (TU Delft Mechanical, Maritime and Materials Engineering)","Haverkort, J.W. (mentor); Hooman, K. (graduation committee); Bazyar, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","This master thesis introduces a recently surfaced method to produce hydrogen and oxygen using alkaline water electrolysis. A hydrophilic porous diaphragm is used that can feed electrolyte laterally to two porous electrodes that are placed against it. Consequently, hydrogen and oxygen are produced directly at the electrodes without any bubble formation. More specifically, this master thesis aims to investigate the thermal behaviour of such a capillary-fed electrolyzer and the limits to which capillary-fed electrolysis can be sustained.
A small scale capillary-fed cell and a larger segmented cell are manufactured during the project. Both cells are used to perform experiments at constant current. Capillary rise in diaphragms with and without compression deviate from the Lucas-Washburn model for capillary rise. Particularly the polyethersulfone material outperforms the diaphragms that were studied. It follows the Lucas-Washburn equation relatively well and exhibits two times deeper penetration under compression. Furthermore, experiments have been performed studying the relation between electrolytic concentration and capillary wicking. Although more highly concentrated KOH solutions for electrolytes increase conductivity over time, it has shown that for the utilised diaphragm a KOH solution surpassing 3 moles per litre shows problematic capillarity. Contact angle experiments with 6M KOH have shown a hydrophobic contact angle of ̄Θ=78.14° compared to complete imbibition, i.e Θ = 0°, for 1,2 and 3M KOH solutions. The origin of these extremely large contact angles on the polyethersulfone substrate is not fully understood.
The study has culminated in performing experiments at constant current and low concentration KOH utilising flushing and dilution techniques to prevent precipitation at the electrodes. The small cell reached an overall heat transfer coefficient of h = 121 W/m2K, showing very large heat production for a relatively small surface area. For the segmented cell, electrolyte supply with a bottom-up feed resulted in h = 19.13 W/m2K in which precipitation occurred. The top-down feed showed that the top segment has the largest areal heat dissipation. The heat transfer coefficient equates to h = 25.73 W/m2K. A final enhancement has been made by introducing Nickel Felt Fiber conducting layers into the cell to improve electronic conductivity. This resulted in an increased range of current densities that could be reached at lower overpotentials compared to absence of these conductive layers. This resulted in the bottom most segment to exhibit the largest areal heat dissipation. The heat transfer coefficient here equates to h = 43.86 W/m2K.
This study takes another important step into the regime of capillary-fed electrolysis and alternative electrolysis methods in general. Introducing an alternative design, assessing this design from experimentation and reporting on subsequent steps that can be taken.
Carnot battery potential in the metropolitan environment is still being explored and specific case- studies are needed to further validate the functional utility of the technology. The aim of this research is to investigate Carnot battery potential for a practical use-case with an existing building through a research-for-design approach. Data is gathered through literature, commercial sources, and interviews with experts informed the design and application of the energy storage system in an urban context in the Netherlands. With the goal of net-positive energy building performance, an analysis based on energy balance, hypothetical onsite renewable energy potential, spatial considerations, and two different scenarios was conducted on an existing building using real energy data.
The implementation of Carnot batteries to existing buildings can manage electricity and heat services, provide a cost-competitive energy storage option, and reduce carbon emissions released into the environment when coupled to renewable energy systems over the lifetime of the technology. The findings indicate massive potential for Carnot batteries to contribute to urban energy storage needs.","Carnot battery; energy storage; renewable energy systems; net-positive energy buildings (PEB); design approach; analysis; Metropolitan area; Urban; Cities; Buildings","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:0376ed7e-b348-42e0-86d8-e9ddf9b9140b","http://resolver.tudelft.nl/uuid:0376ed7e-b348-42e0-86d8-e9ddf9b9140b","Robust optimization in intensity modulated proton therapy treatment planning","van Zon, Manon (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Mechanical, Maritime and Materials Engineering)","Oud, Michelle (mentor); van Gijzen, M.B. (mentor); Lathouwers, D. (mentor); Breedveld, Sebastiaan (mentor); Dubbeldam, J.L.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Intensity modulated proton therapy is an advanced radiotherapy technique that is used to treat cancer patients. In order to successfully treat a patient, sufficient dose to the tumor is required. However, during the fractionated treatment, multiple errors can cause a difference between the planned and actual dose delivery. To ensure adequate dose delivery in potential error scenarios, robust treatment plans are acquired as these are less sensitive to uncertainties inherent to proton therapy. However, robust optimization is challenging.
First, as robust optimization accounts for multiple error scenarios, the time needed to generate optimal treatment plans increases significantly. Therefore, it is investigated in this thesis if the optimization time can be reduced while preserving treatment plan quality. This is investigated through two different methods. In the first method, the number of error scenarios accounted for during optimization is reduced. We found that this can significantly reduce optimization time, while improved target coverage and lower risk on side effects are obtained. However, the near-maximum dose to the tumor was found to be less favourable. The second method investigated is variance optimization. This method significantly reduces optimization time. However, for similar target coverage, the risk of side effects increases.
Another challenge related to robust optimization is the increase in delivered dose to healthy tissues surrounding the tumor, which increases the risk of side effects. Therefore, it is investigated in this thesis if the risk of side effects can be lowered by allowing higher maximum dose to the tumor. It is found that this method indeed reduces the risk of side effects. However, the increased maximum dose to the tumor may not be clinically desired as the increase may lead to higher risks of other side effects: edema and fibrosis. The clinically desired trade-off between near-maximum dose and normal tissue sparing should be established.","Proton therapy; Robust optimization; Treatment Planning; Head and Neck Cancer","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:d60045d3-7851-46f7-99e2-83eebc60f1fd","http://resolver.tudelft.nl/uuid:d60045d3-7851-46f7-99e2-83eebc60f1fd","Assessing the effect of reclaimed asphalt pavement on the fatigue and healing of flexible pavement materials","Pratap Singh, Satvik (TU Delft Civil Engineering & Geosciences)","Liu, X. (mentor); Apostolidis, P. (graduation committee); Erkens, S. (graduation committee); Schlangen, E. (graduation committee); Naus, Robbert (graduation committee); Leegwater, G.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands has one of the densest road networks with over 140,000 km of roadways. Fatigue cracking is an important distress in flexible pavements. This form of distress results from the application of repeated traffic loading which causes failure. Fatigue cracking assessment on various base layer asphalt mixtures including reclaimed asphalt pavement materials and recycling agents has been addressed in this research work. This research work aims to develop a method for quantifying and assessing this fatigue characterization including the self-healing mechanism of asphalt mixtures using Visco Elastic Continuum Damage Theory. The self-healing in asphalt mixtures was assessed by incorporating group-rest healing periods in a self-developed laboratory testing method. The reliable self-healing models for asphalt mixtures with recycled asphalt materials and recycling agents based on temperature, damage state, and rest periods were predicted using the damage characteristics curve (C-S). Bottom-up cracking in base layer asphalt mixtures was modeled and studied using finite element modeling software FlexPAVE based on the Visco-Elastic Continuum Damage theory. A vivid assessment of mixtures for fatigue and healing characterization is discussed in this research work.
This research work is interrelated with an ongoing project, which aims at developing a protocol to determine the shift factors for the fatigue life of asphalt mixtures to correct healing and aging. The project runs under the umbrella of Knowledge-based Pavement Engineering (KPE), a joint program among Rijkswaterstaat, TNO, and TU Delft. Dura Vermeer is contributing partner to this MSc graduation research work as well.
The research phase of the report includes two parts. The research in LUMC mapped out the patient journey, for instance, when, and how the design should intervene. Questionnaires for surgeons provided strong support for the rationale and effectiveness of musical interventions in this design project. Later on, the second part of the research, the one on AI music alignment proved that to some extent, AI generative model could understand the textual input with emotional descriptors, and output desired emotionally effective music that could influence the listeners’ perception. With this in mind, the design could refer to the circumplex model that Hevner and Schubert developed on music emotions to position the descriptors of specific musical emotions in two dimensions and achieve control of musical emotions in spatial terms.
The design concept mainly has two phases, the preference input phase, which allows patients to choose their favorite prompt list for the AI model to generate later on adding the emotional descriptors together as prompts; the music experience phase on the other hand, mainly happens during surgery when inside the OR, patients will be able to freely explore the music emotion variations during surgery with their favorite music styles (prompts). The design goal for the project would be to design a music companion product for orthopedic surgery patients who are undergoing spinal anesthesia. The product interaction aims to improve their emotional experience during the surgery period. The interaction should be distracting, desirable, and immersive for patients.
Once the general direction of the design concept is determined, the iteration phase began. The prompt input part is based on the brainstorming session and the co-creation session to develop an ideal interface for the experience. While for the music experience part, one of the three interaction ways will be chosen through the concept testing and evaluation procedure. The chosen concept will be further developed into the final concept - Music · Wave.
In the future, I imagine that the OR would be an immersive sensing space for the patients as well, so adding visuals into the whole design would be another vision, that maybe will be further designed in later projects. This project, however, would only give a rough glance into what the whole experience could be like in the future, and how the surgery soundscape could be reframed.
The IMS dielectric was characterised using breakdown tests with various voltage waveforms and frequencies to closely approximate their use-case in power electronic converters. Two high-voltage generators were designed and realised to generate high-frequency square-wave and sinusoidal waveforms. In addition, diagnostic tests were performed to identify the effect of electrical ageing on the dielectric properties.
he designed high-voltage test sources allowed for ramp breakdown and lifetime tests up to 100 kHz and 10 kVpk. These tests showed that the dielectric degrades extremely fast under high-frequency voltage stress, most likely due to localised high partial discharge activity and electrical treeing. As a result, no reasonable lifetime can be expected when operating above the discharge inception voltage. For thick dielectrics (>100 µm), surface discharges are the dominant degradation mechanism with an inception voltage of 2.4 kVpk. The maximum nominal voltage should be limited to approximately 1.5 kVpk to ensure the reliable operation of the IMS PCBs.","Printed circuit boards; Reliability; High-voltage testing; Electrical ageing","en","master thesis","","","","","","","","2025-07-14","","","","Electrical Engineering","",""
"uuid:135afaba-8e47-46db-a645-3eaec14e1f3d","http://resolver.tudelft.nl/uuid:135afaba-8e47-46db-a645-3eaec14e1f3d","Leading Edge Rain Erosion and Lifetime Prediction of Advanced Polymer Coatings for Wind Turbine Blades","Wu, Chun-Yen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Teuwen, Julie J.E. (mentor); Pascoe, J.A. (graduation committee); Zappalá, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","The increasing focus on sustainable living and the need to reduce dependency on fossil fuels has led to a growing interest in renewable energy sources. Among these, the wind energy sector has not only experienced significant growth in terms of numbers but also in size. Larger turbines lead to more severe leading-edge erosion and further increase operation and maintenance costs. To mitigate this problem, the new advanced leading-edge protection has become vital in the wind energy sector. This thesis focuses on the evaluation of two polymer coating materials (PA and PD) using a Pulsating Jet Erosion Test (PJET) setup.
The first aim of this thesis is to propose a novel analysis method to address the issue of volume interdependence in the PJET. To achieve this, a concept called ""equivalent velocity"" is introduced. The equivalent velocity represents the velocity at which a spherical droplet should impact a surface to exert the same kinetic energy per impingement as the actual water slug moving at the impact velocity. By utilizing this concept, the velocity-number of impacts plot takes into account the volume interdependence in erosion experiments.
The second aim is to utilize the PJET to analyze the erosion behavior of PA and PD coatings. The investigation focuses on understanding the relationship between impact velocity and the number of impacts until the incubation period and the breakthrough. The incubation period refers to the interval until the damage is visible and the breakthrough is the moment until the filler underneath the coating is exposed. Additionally, the erosion damage progression of the coatings was analyzed, and the lifetime prediction was evaluated using an existing long-term leading-edge rain erosion model.
The experimental results revealed that the ductile material (PD) exhibits a longer resistance to erosion compared to the stiff material (PA), with the mean number of impacts until breakthrough being 2 to 3 times higher for PD. Moreover, the long-term leading-edge rain erosion model highlights the importance of the accurate measurement of material properties, as lifetime prediction is very sensitive to ultimate tensile strength and Poisson’s ratio.
However, it is crucial to validate the equivalent velocity method through experiments and numerical modeling, while also improving the experimental method to allow for continuous observation of the erosion process in a controlled environment with temperature and humidity regulation. Conducting tests in a wider range of velocities is also recommended. Additionally, improvements for the rain erosion model are necessary to accommodate the utilization of the equivalent velocity.","Wind energy; Wind turbine blades; Leading edge protection; Pulsating jet erosion test; Erosion behavior; Surface fatigue; Lifetime prediction","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:1b141631-9f08-44dd-9bc5-a12f5c475b02","http://resolver.tudelft.nl/uuid:1b141631-9f08-44dd-9bc5-a12f5c475b02","Redesigning Condominiums in Addis Ababa: Bridging energy fluctuations","IJzermans, Eva (TU Delft Architecture and the Built Environment; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions)","Moore, Spencer (mentor); Cappon, Hans (graduation committee); Delft University of Technology (degree granting institution); Wageningen University & Research (degree granting institution)","2023","In 2015, the UN coined 17 Sustainable Development Goals (SDGs), with each goal based on three pillars: society, environment, and economy. Rapid growth can result in a country not succeeding in developing all pillars simultaneously. This, in turn, can lead to a stagnation of development. Ethiopia is a clear example of such stagnation, as inject were given to the environmental and economic pillars, but the societal pillar remains underdeveloped. As a result, several societal issues translate to unsatisfied citizens, which subsequently hamper the country’s further development.
This research found a meeting point where, through the feasible concept of LiFePO4 batteries in condominiums, the societal, environmental, and economic pillars can all be developed simultaneously. Maslow’s theory was used to conclude that this battery creates values contributing to the societal pillar as citizens can focus on fulfilling Social needs leading to social cohesion. The Carbon footprint was used to understand environmental impacts that are reduced, expressing environmental value through the reduction of the countries Carbon footprint with 143,7 kgCO2e and, therefore, contributing to the environmental pillar. The relationship captured in the Energy-GDP equilibrium and the use of a Value Proposition and Triple Layered Business Model helped to understand how stable electricity will stimulate the economic pillar, including international fund flows, PPP project approach, and over $300 thousand annual financial savings through risk reduction. A Multi-Criteria Analysis proved that a LiFePO4 battery is most suitable in condominiums compared to a lead-acid and gravity battery. Finally, zooming out assisted to understand that the rate at which condominiums are built, including basic services, is crucial. Without a perspective, unsatisfied citizens can easily cause society to collapse and stop the country from further developing in all sectors all at once. This will also make all the potential values connected to energy storage in condominiums insignificant. This all contributed to answering the main question: ‘To what extent can the implementation of sustainable energy storage create value within the condominiums of Addis Ababa?
The delivered canvasses can directly stimulate social-oriented, environmental-oriented, and economic- oriented businesses to develop these storage units. As the interdisciplinary nature of this research connected these layers, it can spark the opportunity for further in-depth research per sector. This further research can help validate the ripple effect stable electricity has on a developing country.","Energy; Carbon footprint; Battery; Condominium; Maslows Hierarchy; Energy-GDP Equilibrium","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","","8.995639-38.784812"
"uuid:81714eed-3d51-4eec-9916-9fcfc7063e6c","http://resolver.tudelft.nl/uuid:81714eed-3d51-4eec-9916-9fcfc7063e6c","Integrating coral habitat potential and coastal protection services in design of artificial reefs: A case study in Addu City, Maldives","Douwes, Anne (TU Delft Civil Engineering & Geosciences)","Aarninkhof, S.G.J. (mentor); Zijlema, M. (graduation committee); Onderwater, M.C. (graduation committee); van Eekelen, E.M.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research focuses on the implementation of the Building with Nature (BwN) approach in the design of artificial coral reefs. The goal is to explore environmental preferences and design tools for coral development, considering both coral habitat potential and coastal protection services. The research also aims to optimize artificial reef design for a case study in Addu City, Maldives.
A conceptual model is developed based on an extensive literature review, incorporating critical engineering and ecological variables relevant to coral habitat potential and coastal protection services. This conceptual model serves as a practical design tool, fulfilling three primary purposes: identifying variables that require further examination to explore coral habitat potential, identifying variables that require analysis to explore coastal protection services, and identifying design variables that influence physical-chemical and biological variables for potential integral solutions.
The research also utilizes OpenFOAM, a numerical modeling tool commonly used in coastal engineering, to design artificial reefs. OpenFOAM accurately models flow and turbulence regimes, crucial for the ecological and biological functioning of coral reefs. An OpenFOAM numerical model is set up, calibrated, and validated for the case study in Addu City. Three design alternatives with different slopes are evaluated using a multi-criteria analysis (MCA), considering criteria such as coastal protection, coral habitat potential, and costs. The numerical model is applied to assess flow regimes and wave transmission for different design variables.
Based on the MCA results and the evaluation of criteria, an artificial reef with a mild slope of 1:3 is selected as the optimal integral solution for the case study. This design performs well in terms of coastal protection, coral habitat potential, and costs. The research demonstrates that the conceptual model and OpenFOAM numerical model are valuable design tools for assessing and optimizing artificial reef design, adhering to the principles of the BwN approach.
In conclusion, this research contributes to expanding knowledge and developing tools for implementing the BwN approach in artificial reef design. By considering both coral habitat potential and coastal protection services, the design of artificial reefs can be optimized to provide multiple benefits, including environmental and socio-economic values. The conceptual model and numerical modeling tool offer practical assistance in the design process, promoting the integration of nature and engineering for sustainable coastal solutions.","Artificial reefs; Ecological engineering; Coastal engineering; Building with Nature; Integral design; Submerged breakwater; Coral reefs","en","master thesis","","","","","","","","2025-07-13","","","","Civil Engineering | Hydraulic Engineering | Coastal Engineering","",""
"uuid:591e935b-3f0a-4356-aee3-196059df11d2","http://resolver.tudelft.nl/uuid:591e935b-3f0a-4356-aee3-196059df11d2","Risk-Based Sewer Asset Management: A case study assessing the risk of sewer collapse in Nissewaard, the Netherlands","Kraan, Manon (TU Delft Civil Engineering & Geosciences)","Langeveld, J.G. (mentor); Scholten, L. (graduation committee); Vollaers, Vita (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis examines the implementation of risk-based sewer asset management, with a specific focus on addressing the existing shortcomings in current practices. Notably, the neglect of sewer failure risks often leads to suboptimal allocation of resources. The primary aim of this research is to develop a comprehensible methodology for risk-based sewer asset management in the Netherlands. The proposed methodology employs a combined risk matrix approach to assess the level of risk associated with sewer collapse. This assessment takes into account both the probability of failure and the potential consequences of such failures. The thesis primarily focuses on the risk of sewer collapse within the context of the Netherlands. To demonstrate the applicability of the methodology, a case study is conducted in the municipality of Nissewaard. The outcomes of this case study are then compared to the conventional approach employed in Dutch sewer asset management. Through this analysis, the study emphasizes the necessity of establishing a practical roadmap for implementing risk-based sewer asset management. Additionally, recommendations are made for enhancing the objectivity of sewer inspections and developing a sewer deterioration model. The findings reveal that the adoption of risk-based asset management is more cost-effective in mitigating the risk of sewer collapse when compared to the prevailing replacement strategy. The research aims to bridge the gap between academic theory and practical application by providing a straightforward methodology grounded in prior research. In order to advance the field of risk-based approaches, this study calls for further research into the potential obstacles associated with implementing risk-based asset management. Such investigations will contribute to a better understanding of the challenges and facilitate the successful adoption of risk-based sewer asset management practices.","risk-based approach; sewer asset management; Risk Analysis; Sewer Collapse","en","master thesis","","","","","","","","2023-08-13","","","","Civil Engineering","",""
"uuid:b63c0076-c12b-49e4-93bf-4f2ef0a55dc6","http://resolver.tudelft.nl/uuid:b63c0076-c12b-49e4-93bf-4f2ef0a55dc6","Omburo: the link between water access, re-housing and mycelium: From too little to too much","Barnard, Mia (TU Delft Architecture and the Built Environment)","Grossman, Vanessa (mentor); Lee, Rachel (mentor); Soares de Oliveira Martins, J. (graduation committee); van den Ban, R.R. (mentor); Delft University of Technology (degree granting institution)","2023","Namibia has been home to some of the world’s oldest ethnic groups since the dawn of civilization. One of these cultures is the Himba, often referred to as ‘the last true pastoral nomads’ of Africa. They are known for inhabiting the water scarce desert region of Kunene in Namibia for centuries. Now, various factors, including climate change and the absence of governmental support, are forcing the Himba population to decide which aspects of ‘modern’ culture to incorporate into their everyday lives.
After decades of declining rainfall and rising temperatures, drought and omakururukiro yokuti (over-utilized land and vegetation) are the reality. The Himba is therefore forced to rely on their nomadic origins for survival, and to travel southwards, ‘following the water’, to Windhoek which is the capital of Namibia. On arrival, they are confronted with inequality apparent in the African urban built environment and take part in the rapid urbanization of Windhoek. They settle in townships, in shacks, located on the outskirts of the city, where access to water and sanitation is limited. Due to landscape topology, climate change and other factors, the high possibility of flooding poses a new risk. Indeed a life-threatening choice: surviving drought in Kunene or surviving floods in Windhoek.","Township; Namibia; Global South; Antropocene; Climate Change; Incremental Housing; Socio- economic processes; Anthropocene; water access; Architecture; Opportunity Architecture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","","-22.51103, 17.01689"
"uuid:b3b99ece-a564-41a8-a927-f55412aa52da","http://resolver.tudelft.nl/uuid:b3b99ece-a564-41a8-a927-f55412aa52da","Efficacy of Nature-Based versus Man-Made Tsunami Wave Run-up Mitigation Strategies","van Balen, Ivo (TU Delft Civil Engineering & Geosciences)","Wüthrich, Davide (mentor); Antonini, A. (graduation committee); Rossetto, T. (mentor); Delft University of Technology (degree granting institution)","2023","Following significant physical and economic damage associated with large tsunami disasters, this research assessed the efficacy of both nature-based and man-made tsunami mitigation measures. With an expected increase of the population living in tsunami-prone areas, it is critical to develop a better understanding of tsunami engineering.
To achieve this, a series of large-scale tsunami modelling experiments was carried out at HR Wallingford. The experiments utilized the Tsunami Simulator and involved generating a set of 20 trough- and crest-led waves with periods ranging from 20 to 240 seconds in a divided flume with a length of 100 meters.
The effectiveness of nature-based mitigation techniques was assessed through the implementation of land cover roughness onto a sloping bathymetry. The man-made measures included the in-situ construction of a scaled-down offshore breakwater similar to the Kamaishi breakwater. The study involved a comprehensive assessment of multiple factors, including run-up height, delay of inundation, pressures exerted on the breakwater, and a stability analysis of the breakwater.
In addition to determining the effect of roughness on tsunami-like wave run-up, the results were compared to available predictor equations. The empirical relation defined by McGovern et al. (2018) is found to be suitable for the prediction of waves with a period of 50 seconds or less. On the other hand, the predictive equation proposed by Wronna et al. (2021) displayed a similar trend but significantly overestimated the dimensionless run-up parameter.
The results demonstrate that nature-based run-up mitigation strategies are most effective for waves with laboratory periods less than 60 seconds. However, nature-based mitigation measures provide more promising results in terms of inundation delay. The offshore breakwater was found to be the most effective measure against tsunami run-up, significantly reducing the dimensionless run-up parameter.
Man-made mitigation measures are found to be the most effective solution for tsunami mitigation. However, not all coastal communities possess the financial resources to construct an offshore breakwater. In such cases, a combination of nature-based and man-made measures with robust early warning systems and evacuation strategies should be considered. This balanced approach maximizes coastal resilience given financial constraints and geographical circumstances.","Tsunami; Mangroves; Physical modelling; Tsunami Simulator; Offshore Breakwater","en","master thesis","","","","","","","","2025-07-13","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:16a4e9bc-ea8c-48a9-ad6a-1c6e3ae6d1b1","http://resolver.tudelft.nl/uuid:16a4e9bc-ea8c-48a9-ad6a-1c6e3ae6d1b1","Re-use of delaminated composite material from decommissioned wind turbine blades","te Lintelo, Thomas (TU Delft Industrial Design Engineering; TU Delft Circular Product Design)","Balkenende, A.R. (mentor); Carrete, I.A. (mentor); Delft University of Technology (degree granting institution)","2023","More and more wind turbines are erecting in the landscape, stemming from the need for sustainable energy. However, less attention is paid to the secondary effects of these wind turbines, more specifically, the wind turbine blades. Wind turbine blades are made of composite material, a material that provides many advantageous properties for the desired functionality. Nevertheless, the material is difficult to reuse or recycle after the lifespan of the wind turbine blade. This challenge is expected to result in thousands of tons of decommissioned blades entering the market in the coming years which, due to the absence of good alternatives, are most likely to be disposed of in an unsustainable manner.
This project focuses on how composite material from decommissioned wind turbine blades can be reused in order to retain the value embedded in the material. It explores how delamination can potentially add to design freedom of the material for it to be used in a scalable way and match the large influx of the material. Focus is put on the curved elements of the blade: the inboard and outboard sections. Aside from producing scientific knowledge on the topic, the aim is to exhibit the findings through means of a demonstrator of a potential reuse application.
In chapter one, the thesis starts with research into background information, identifying the knowledge gap, setting the scope and conceiving the mission and research questions for the project. Then, in chapter two, a better understanding of a wind turbine blade is formed based on a reference blade. After this the geometry of the blade parts in focus, together with the material composition is researched. Chapter three dives into the possibilities of retrieving elements out of wind turbine blades and looks at the characteristics of these elements.
In chapter four the delamination of the sandwich structured wind turbine material is explored. It is investigated how this can be done and what potentially influences this process. Then, in chapter five the material characteristics of the retrieved and delaminated elements are analysed. This showed that the material can be elastically deformed, opening up the floor for a broader range of reuse applications.
The most suitable reuse application is then methodically determined in chapter 6. Based on, amongst other things, the material qualities and the vision, the choice fell on a bus shelter. A concept of this is then proposed and concretised in the form of a demonstrator. It exhibits the possibilities of the material and embodies the approach for facilitating reuse of decommissioned wind turbine blades on large scale as presented in the project.
In the end, the report concludes with an evaluation of the design and the process in the form of a discussion, a summary of the findings and multiple recommendations to improve future sustainability with respect to wind turbine blade material
To achieve this, vehicular communication networks (VCN) can play a crucial role. It is anticipated that the connectivity and communication of vehicles with their environment contribute to these goals by enabling safety functionalities or improving the traffic flow. Hereby, it is crucial to understand that this communication requires an infrastructure that assists the vehicles and ensures data transmission and operation. However, due to the European size and heterogeneity of the member states, realizing a vehicular communication network on a large scale requires increased coordination and collaboration efforts. Currently, no vehicular communication network has been introduced working EU-wide. Hence, this resembles a potential for future road improvement. To realize this, decision-makers must understand the complex properties and system interrelationships of such a large-scale infrastructure project so that a common VCN can be designed to ensure interoperability and robust functionalities across the EU. This work contributes to the aforementioned challenge by addressing the following research question:
What are fundamental socio-technical factors to consider in a future European vehicular communication network design?
A mixed approach that combines Peffer´s design science research framework and system engineering methodology is used to synthesize the contributions. By this, a vehicular communication network mission, stakeholder, and system analysis are presented in this work. Further novel and scientific sound requirements and stakeholder insights are synthesized by systematically reviewing 57 articles and interviewing 15 experts from the institutional, scientific, and industry domains. In this context, a stakeholder classification for vehicular communication networks, a 4-layer stakeholder complexity model, and a system requirement structure from a system perspective are proposed to contribute to the VCN understanding and future design attempts. Further, the reflection of the socio-technical interrelations between the technical and social VCN subsystems are subjects of this work.
The conclusion is that the reflection on socio-technical system properties plays a critical role in vehicular communication network design. Further, a future vehicular communication network consists of a magnitude of stakeholders with high interest and power; thus, designers must understand the characteristic of their co-evolutionary cooperative development. Hence, a multidisciplinary understanding and approach are critical for designers. Furthermore, the geographical segregation of designing and decision-making in a future vehicular communication network is identified, and certain goals/issues should be addressed in the respective layer. Another major conclusion is that the VCN discussion is determined more by social and socio-technical conditions, such as stakeholder cooperation/coordination and interoperability, than by technical feasibility.
These findings result in three main research contributions, which are summarized as follows:
- A 4-layer stakeholder complexity model contributing to the understanding of VCN development is contributed.
- An approach of integrating the socio-technical system perspective on the complex, large-scale infrastructure VCN project is contributed. This focuses on the processes and requirements between social and technical subsystems, addressing the integration of heterogeneous stakeholder interests.
- A comparison is made between scientific focus, stakeholder needs and objectives, and expert insights, highlighting the mismatch and alignment of requirements. This contributes valuable insights for adjustment and further research in the VCN community.
Further methodological contributions can be concluded:
- The design science research approach is aligned with systems engineering iso standards and methodology.
- The design science research framework is used as an approach to address the complexity of VCN systems. This novel perspective helps VCN stakeholders design solutions for their field problems.
The results have implications for designing stakeholders in a VCN. Based on the analysis, it is recommended that policymakers identify and extend common objectives with the industry to establish public-private business cases. Further, vehicle manufacturers should participate and embrace the transition to a vehicular communication network by cooperating strongly with stakeholders. In addition, implementing the relevant technologies to communicate with the heterogeneous infrastructure is suggested to shape future infrastructure connectivity development. Lastly, the lack of socio-technical reflection in scientific literature is identified. Hence, scholars should elaborate on the interactions and interrelationships between the social and technical subsystems in future work.","Internet of Vehicles; Vehicular communication networks; Design Science; Socio-Technical Perspective; Systems Engineering","en","master thesis","","","","","","","","2023-07-13","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:80b85c9d-bf47-456f-9b0b-d5531b5d3bc8","http://resolver.tudelft.nl/uuid:80b85c9d-bf47-456f-9b0b-d5531b5d3bc8","Machine Learning Driven PV-Climate Classification","Triana de las Heras, Javier (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Photovoltaic Materials and Devices)","Vogt, M.R. (mentor); van Swaaij, R.A.C.M.M. (graduation committee); Vergara Barrios, P.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Technological advances, cost reduction, depletion of fossil fuels, environmental concerns, and growing energy demand are expanding photovoltaic solar energy (PV) in more latitudes and locations. A simple and effective procedure to assess the PV potential of a particular region is to analyse its climatic conditions. In general, climatic studies use the K¨oppen-Geiger (KG) climate classification as a reference. However, KG is solely based on temperature and precipitation, resulting in an unsatisfactory scheme for analyses in the PV field, since the most important variable, solar irradiation, is not considered. Thus, in 2019 Ascencio-V´asquez et al. developed a new worldwide classification based on temperature, precipitation, and solar irradiation: the K¨oppen-Geiger-Photovoltaic (KGPV) climate classification. Even though KGPV is a good improvement, it just consists of a simplified version of the KG groups subdivided into four levels of irradiation: low, medium, high, and very high. Hence, the climate parameters are not considered in a combined manner in the sorting process.
In this project, a new worldwide climate classification directly applicable to PV has been developed. Machine Learning proved to be a convenient tool to achieve this objective. First, supervised learning served to identify and assess the climate variables more correlated to the specific energy yield. More specifically, a Linear Regression model was implemented. Subsequently, these variables were used to create the classification by applying k-means, a clustering algorithm. The classification was optimised following a comprehensive qualitative analysis, resulting in a scheme based on seven climate variables and 20 clusters. By contrast, KGPV considers five variables. Even though it contemplates 24 groups at first, half of them are neglected based on a land-surface ratio and population density criterion, resulting in a classification based on 12 clusters. Hence, the methodology proposed in this work enables identifying new relevant regions. Moreover, “Machine Learning driven PV-climate classification” presents a satisfactory correlation with the specific energy yield, except for very low values, where the correlation is minor.
Lastly, the relationship between climate and degradation rate was explored. The complexity and non-linear behaviour of degradation demand an alternative approach. Random Forests was proposed, but it showed poor performance. It is necessary to be able to predict non-linearities and, at the same time, keep a logical mathematical relation between the supervised and clustering algorithms. In this regard, Multivariate Adaptive Regression Spline (MARS) might be a promising option.","Photovoltaic; Climate; Machine Learning","en","master thesis","","","","","","","","2024-07-13","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:10f5fa68-f934-414a-9067-988f51f098cb","http://resolver.tudelft.nl/uuid:10f5fa68-f934-414a-9067-988f51f098cb","Safe & Intelligent Control: Fault-tolerant Flight Control with Distributional and Hybrid Reinforcement Learning using DSAC and IDHP","Vieira dos Santos, Lucas (TU Delft Aerospace Engineering)","van Kampen, E. (mentor); Delft University of Technology (degree granting institution)","2023","The critical challenge for employing autonomous control systems in aircraft is ensuring robustness and safety. This study introduces an intelligent and fault-tolerant controller that merges two Reinforcement Learning (RL) algorithms in a hybrid approach: the Distributional Soft Actor-Critic (DSAC) and the Incremental Dual Heuristic Programming (IDHP). The integration combines the strengths of DSAC in learning a robust control strategy and IDHP in allowing real-time control adaption. Compared to earlier controllers, such as a hybrid using the Soft Actor-Critic (SAC) algorithm and strictly offline DSAC and SAC, our hybrid demonstrates enhanced robustness against changing flight conditions and in the face of sensor noise and bias. During fault tolerance tests, it maintains superior control even when the effectiveness of the aircraft’s ailerons and elevators is compromised. By demonstrating the potential of RL-based controllers to provide robustness and fault tolerance, this research advances the feasibility of safe and autonomous flight control operations.
The project started with an initial exploration of the context of Royal Delft Museum and their customer journey. Secondly, a theoretical framework about museum visitors and their reasons for visiting a museum was developed. Additional research has been conducted to discover more about the societal impact museums have and what challenges they want to overcome in the future.
Data has been gathered about the visitors that have been visiting Royal Delft Museum in order to determine which domestic target group proved the most promising to attract, and thus, which people to interview. Interviews were conducted using the contextmapping method and the insights were clustered. Ideas were iteratively developed and two creative sessions were held; one with the museum, the other one with a group of IDE students.
This eventually lead to a strategic roadmap and the final concept Transform. Transform is an open, creative space which can best be described as a combination of an artist-in-residency and a space for future museum development. The main purpose of Transform is to provide a platform which showcases more diverse perspectives and cultural expressions on a variety of topics linked to ceramics.
Transform is a space which allows for collaborations between artists and the museum. Through Transform, the museum is able to facilitate a space which is beneficial for the museum as well as artists and visitors. It helps the museum to better understand their visitors and to cater to their needs and beliefs. It gives artists a platform and interactions with visitors.
In the validation phase, the designed meaning of Transform and its desirability were tested through interviews with participants. These were among the same participants who also took part in the contextmapping interviews.
The meaning proved to be communicated well; The participants thought Transform was a valuable addition to the museum because it provided a new perspective on the museum experience due to its innovative character. It was found that experimenting with and promoting the events in Transform were crucial for it to be a succes.
In the discussion and conclusion the results are reviewed based on the impact Transform could have on attracting visitors. Things to do differently next time are addressed. Finally, recommendations are formulated for future development of Transform by Royal Delft Museum.","Museum Visitor Research; Strategic Design; Royal Delft Museum","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:2256d59e-ae54-45fc-a169-8dd1e49f2b2f","http://resolver.tudelft.nl/uuid:2256d59e-ae54-45fc-a169-8dd1e49f2b2f","Development of a surgical tool to facilitate mesenteric defect closure: Using an alternative method to close mesenteric windows during minimally invasive gastric bypass surgery","van den Wildenberg, Louise (TU Delft Industrial Design Engineering)","Goossens, R.H.M. (mentor); van Breemen, E.J.J. (graduation committee); Acherman, Yair (graduation committee); Delft University of Technology (degree granting institution)","2023","This project focuses on the development of a novel surgical tool designed to facilitate internal tissue closure in minimally invasive surgery, specifically targeting mesenteric defect closures during Gastric Bypass procedures.
The tool incorporates innovative staples and barbed sutures, distinct from conventional methods and existing market tools. The surgical tool features optimized staples made from biocompatible NiTinol, with dimensions of 1.5 x 5.5 x 0.5 millimetres, facilitating tissue penetration while minimizing tissue squishing. The barbed sutures, composed of PBT with dual-cut barbs in alternating tri-radial rows, provide a secure closure mechanism. The applicator, with a diameter of 9 mm and usable length of 35 cm, enables single-handed operation with right- and lefthanded use. The cartridge consists of 80 staples and 30 centimetres of barbed suture, enough to close an entire defect. The tool’s design and functionality aim to enhance the efficiency of internal tissue closure procedures, reducing time, physical exertion, and cognitive load for surgeons.
The project follows a systematic and iterative design approach, best represented by the triple diamond method. A first phase involved extensive literature and market research, exploration and observations in the operation room. In a second phase, various methods such as brainwriting and CAD design are employed to generate ideas and models. Then in a final phase, through comprehensive testing and evaluation, a first version of the device called StapleStitcher, has been established, serving as a solid foundation for future iterations.
The evaluation of the proposed surgical tool has yielded overall positive feedback from bariatric surgeons. This was conducted through a questionnaire. They recognised its innovativeness and some explicitly expressed interest in further development.
Additionally, a risk analysis was conducted to identify potential hazards associated with the use of the surgical tool. The analysis addressed factors such as mechanical failure and user-related issues. Mitigation strategies derived from the analysis and feedback from surgeons informed recommendations for further research, collaboration, and development to optimize the surgical tool for clinical implementation.
In conclusion, the development of the novel surgical tool presents an opportunity to simplify and enhance internal tissue closure procedures in minimally invasive surgery. By incorporating innovative staples and barbed sutures, the tool offers potential improvements in surgeon comfort and efficiency. However, further research, collaboration, and refinement are necessary to fully harness the StapleStitcher’s potential and ensure successful integration into clinical practice.","Minimally Invasive Surgery; Laparoscopic surgery; mesenteric defect; Surgical Instrument; Gastrointestinal; hernia repair","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:854ecbd3-a0e3-4d62-b9bd-96cac6d96520","http://resolver.tudelft.nl/uuid:854ecbd3-a0e3-4d62-b9bd-96cac6d96520","Co-designing vibrations without vision and hearing: Using cross-modal perception to support communication with people with deafblindness","van Rooyen, Xavière (TU Delft Industrial Design Engineering)","Pont, S.C. (mentor); Huisman, G. (graduation committee); Plaisier, M.A. (mentor); Delft University of Technology (degree granting institution)","2023","Human-computer interactions should be as inclusive as possible, nowadays. Designers play a vital role in making products, services, and systems usable by a diverse range of users. Mobile applications use vibrations (tactons) to convey information about, for example, messages coming in. The quality of vibrotactile communication can be greatly enhanced by involving in the desing proces the experts when it comes to feeling: people with deafblindness.
By involving the haptic experts, mobile applications using vibrotactile communication can become more inclusive for all users.
This thesis addresses the challenge of usability versus flexibility when co-designing with haptic experts. The goal is to establish an equal level of control among all co-designers, including the haptic experts. To achieve this, Shape2Vibe has been developed by using a research-through-design approach. The design process drew inspiration from cross-modal perception. Moreover, clay modelling experiments were conducted for creating embodiments of four dinstinct basic vibration effects from Adafruit’s haptic motor library.
Shape2Vibe has proved effective in facilitating communication during the co-design process, enabling participants to express their ideas through the positioning of blocks. Equal control is ensured for all co-designers because communication for all participants is brought to the same level. The four shapes representing basic vibration effects adequately support co-design sessions for everyday design cases, such as fire alarms.
However, designing vibrations to convey emotions or association-based scenarios requires additional communication and a more layered approach due to the context dependent nature of emotions. Therefore, it is recommended to further research wether more association based shapes facilitate more abstract design cases.
Overall, this thesis contributes to the advancement of inclusive designs by assisting other designers in co-designing vibrations with haptic experts. By having involved haptic experts, the research has succeeded in creating an inclusive and usable tool and method useful for applications in the field of vibrotactile communication.","Co-design; Deafblindness; Vibrotactile communication; Cross-modal perception","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:ab1be6d8-9ec4-4514-ac55-0cfc987d034e","http://resolver.tudelft.nl/uuid:ab1be6d8-9ec4-4514-ac55-0cfc987d034e","A Discontinuity-Enriched Finite Element Method for Dynamic Multiple Crack Growth in Brittle Materials","Zhang, Jujian (TU Delft Civil Engineering & Geosciences)","van der Meer, F.P. (mentor); Aragon, A.M. (graduation committee); Sluys, Lambertus J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis introduces the Discontinuity-Enriched Finite Element Method (DE-FEM) for the modeling of dynamic multiple crack growth problems including branching and merging, as an alternative to eXtended/Generalized Finite Element Method (X/GFEM). DE-FEM differs from X/GFEM by placing the enriched degrees of freedom (DOFs) directly along the discontinuities, and the enrichment functions vanish at standard mesh nodes. This approach allows DE-FEM to preserve the physical meaning of standard mesh nodes and avoid the issues associated with blending elements. Moreover, by adding enrichment directly along the discontinuities, DE-FEM is more straightforward in computer implementation. These properties of DE-FEM facilitate its modeling of complex crack configurations such as the dynamic crack propagation problems with multiple cracks. Here we propose a scheme for dynamic multiple crack propagation using DE-FEM, which covers crack initiation, propagation, and interaction. Numerical examples are provided to validate the effectiveness of DE-FEM in capturing crack patterns that are similar to those observed in other studies. Meanwhile, the reasons for the numerical instabilities observed during crack propagation and methods to mitigate them are also discussed.","Discontinuity-Enriched Finite Element Method; Linear Elastic Fracture Mechanics; Dynamic crack propagation; Stress intensity factors; Crack branching; Crack coalescence; Time integration","en","master thesis","","","","","","","","2025-01-13","","","","Civil Engineering","",""
"uuid:584c8ed0-f0d7-4fb5-b9fb-3071f33fc9e7","http://resolver.tudelft.nl/uuid:584c8ed0-f0d7-4fb5-b9fb-3071f33fc9e7","The Business Ecosystem of the Quantum Computing Market: Cooperation and Competition","Rot, Pepijn (TU Delft Technology, Policy and Management)","Roosenboom-Kwee, Z. (mentor); Hartmann, L. (mentor); Delft University of Technology (degree granting institution)","2023","The current second quantum revolution heralds the biggest technological advancements of the twenty-first century. At the forefront of this is the development of quantum computers, which promise to bring transformations to almost all sectors, from banking to drug research. With rising investments in developing full-scale, fault-tolerant quantum computers, the quantum computing provider market is starting to saturate with incumbents. In order to succeed, firms operating in this market need to start acting competitively. However, despite vast research on the potential applications, technological development and responsible innovation, little is known about strategic factors that drive the market.
To address this issue, this work identifies, describes and contextualises the use of alliances in firms’ business strategy in the quantum computing business ecosystem. Through literature review, publications of various types of collaborations on firms's websites, and interviews with four experts in the industry, the work describes the quantum computing business ecosystem, links the cooperations to literature on strategies, and provides contextual implementations of various alliances.
First, a value proposition is constructed, containing five components: Hardware providers, cloud service providers, quantum software providers, managed services providers and end-users, each with its own set of challenges and strategies attached to it. Furthermore, two technology strategies pursued by firms can be identified: an open innovation approach and a full-stack approach. The use of various types of collaborations are described, including licensing, standards agreements, business networks, joint marketing, selling and offering agreements, consortia, strategic partnerships, mergers and acquisitions, and joint ventures.
For SMEs, alliances through bidding consortia, formed for tenders and subsidies, are the main use of cooperation. Strategies herein are the acquisition of capital, reduction of R\&D costs, early product show-off, and staying in the loop for technological decision making on standards. Other partnerships are aimed at figuring out relationships with other firms in the industry, and fostering standardisation through the release of open-source software. The former is characteristic of the nascent ecosystem's formation, as the value proposition is still unclear and the industry's architecture is not set. The use of open-source software has also played a key role in the development of the classical computer, and firms are seen to adhere to a similar approach. Furthermore, we contextualise the strategic differences between the full-stack and open innovation approaches that are seen within the ecosystem. Where firms in the former are challenged by technical inflexibility, low sales volume and vast investment costs, firms in the latter need to balance an appropriability-adoption dilemma, create sufficient alignment with their value proposition and use extensive cooperation to ensure compatibility and modularity. However, through this, they get to enjoy increased economies of scale and agility.
All in all, the strategies can be summarised in two main categories: procurement of resources and embedding into the ecosystem. The work in this thesis encompasses a multitude of related fields including competitive strategy, ecosystems and alliances, and discusses the quantum computing industry from the standpoint of the technology providers and developers, which should aid managers in evaluating their strategic position in the ecosystem and in drafting cooperation strategies. From an academic perspective, this work provides the first managerial study of the quantum computing market from the standpoint of the technology providers. In addition to strategies, it identifies a lack of alignment of end-users as a key inhibitor to the constitution of the value proposition. Moreover, the findings of this thesis support the hypothesis that pre-adaptation-phase strategies are aimed at constituting Ortt and Kamp's technological innovation system (TIS) framework's building blocks, an important step to understanding ecosystem formation dynamics.
Lastly, we identify several key areas for future studies. This includes validating and strengthening the conclusions of this work through additional expert interviews, which should also help in exposing nuances in strategy between firm sizes, position in the value chain and geolocation, as well as similarities and differences with the development of the classical computer. Furthermore, future studies are suggested to investigate the differences in efficiency and effectiveness of governmental funding in the quantum industry, characterise end-users based on their expected moment of engagement with the quantum computing market, the role of alliances in quantum computing platform formation, and the link between innovation-phase strategies and the TIS framework's building blocks.","Strategic Management; Quantum Computing; Ecosystems; Alliances; Coopetition","en","master thesis","","","","","","","","2023-07-13","","","","Management of Technology (MoT)","",""
"uuid:a5def1a8-4d14-4ef2-9048-14646bca9df3","http://resolver.tudelft.nl/uuid:a5def1a8-4d14-4ef2-9048-14646bca9df3","Modelling of semi-floating XXL monopiles: Modelling the behavior of an upright semi-floating XXL monopile using the concept of trapped air","van Egmond, Gerard (TU Delft Mechanical, Maritime and Materials Engineering)","Wellens, P.R. (mentor); Keetels, G.H. (graduation committee); Fidalgo Domingos, D.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Over the past few decades, there has been a significant increase in global energy consumption. To address the risks associated with climate change, there is an increasing urgency to transition towards renewable energy sources. With this growing demand, the interest in offshore wind energy has increased significantly, leading to the construction of larger bottom-founded offshore wind farms. In order to fulfill this rising demand, The International Renewable Energy Agency has estimated that a total of 2000 GW of installed offshore wind power is required to achieve net-zero emissions by 2050. However, the current installed capacity stands at approximately 35 GW, indicating a substantial gap that needs to be addressed. The offshore industry faces a major challenge in meeting this demand and making a substantial contribution to the supply of renewable energy sources.
As the size of wind turbines continues to increase, their monopile foundations also grow in weight and dimensions. Conventionally, monopiles are installed by upending them on the vessel, lifting them into the air and lowering them onto the seabed. However, this installation procedure is not feasible for extra-large (XXL) monopiles. This is because these XXL monopiles exceed the crane capacity of the, relatively new, installation vessels. To prevent the vessels from becoming outdated, an alternative approach to upending has been devised. This method involves utilizing the buoyancy of the monopile itself to compensate for the insufficient crane capacity on board of the vessel. This innovative upending technique is referred to as the trapped air method. This research explores this method as the influence of imposed buoyancy on the system's behavior in such operations has not been addressed in earlier research.
The main objective of this thesis is to examine the dynamic behavior and determine the natural frequencies of a monopile experiencing an upward facing buoyancy force. Moreover, it is desired to quantify the workable limits of the concept. First, a literature study is conducted, followed by a study that investigates the mechanics of the system. The monopile suspended from a crane, can be simplified using double pendulum models. Through the analytical approach, the influence of each force, mass and inertia component in the complex system can be examined individually. This assessment results in the equations of motion that serve as the foundation for the numerical model.
To gain initial insights into the behavior of the monopile, exploratory tests are conducted in a purpose-built experimental setup. It is observed that as the buoyant force increased, the monopile searches for an equilibrium to stabilize the system. Hydrodynamic effects induce a noticeable shift of the center of gravity of the monopile towards the waterline. Additionally, when a current is applied to the partially submerged monopile, motions in the sway direction are observed and suggests the presence of vortex induced motions.
Building upon the exploratory tests, decay tests are conducted at TU Delft to investigate the behavior and loads experienced at the cranetip. The results revealed that the presence of buoyancy significantly reduces the side-lead load to approximately X\%-Y\% of the maximum allowable load in the horizontal direction, which nearly half of the load observed without induced buoyancy. Additionally, the vertical loads reached approximately X\%-Y\% of the maximum allowed vertical loads. The buoyancy in the system effectively reduces both the vertical and horizontal loads in the cranetip. Furthermore, the presence of buoyancy leads to a significant decrease in the natural frequency of the system.
The numerical model is based on the equations of motion derived through the analytical approach. Initially, validation of the model is performed by using the scaled model dimensions. The frequency alignment indicates that the numerical model accurately models the natural frequencies on model scale. The model is then used to simulate a full-scale scenario. It can be concluded that numerical approximations of the frequencies closely align with those derived through the analytical approach and those observed during the model experiments. The close agreement among three different approaches provides strong validation of the accuracy and reliability of the numerical model in predicting the natural frequencies in full-scale scenarios.","XXL Monopile; Buoyancy; Floating; Upending; Model tests; Numerical model; Trapped air","en","master thesis","","","","","","","","2025-07-13","","","","Offshore and Dredging Engineering","",""
"uuid:230fd20f-bc11-4556-bc3b-efef5349d842","http://resolver.tudelft.nl/uuid:230fd20f-bc11-4556-bc3b-efef5349d842","Enhancing Baggage Handling Operations at Hub Airports through Sequential Forecasting and Resource Allocation: A Gradient Boosting Approach with MILP Optimisation","de Keijzer, Mitchell (TU Delft Aerospace Engineering)","Bombelli, A. (mentor); van Gaalen, Mark (graduation committee); Delft University of Technology (degree granting institution)","2023","Baggage handling operations in airports have become substantially more challenging due to the surge in air transportation demand witnessed in the last three decades combined with an even higher pressure on the operations following the COVID-19 pandemic. The intricate nature of checked baggage requirements, impacting resource allocation and personnel scheduling, necessitates an integrated approach for problem-solving. This research paper aims to enhance baggage handling operations by predicting the baggage factor (BF) for individual outbound flights. The BF represents the ratio of checked baggage items to the number of passengers aboard an aircraft. The objective of this study is to create a forecast model that predicts baggage factors for individual outbound flights over a time span of 7, 30, and 60 days, and subsequently construct a baseline model that leverages the forecasting outputs to optimise baggage handling processes and allocate resources effectively. A novel approach is proposed that employs historical flight data within gradient boosting models to forecast the baggage factor for future flights. Additionally, a case study is conducted by developing a Mixed Integer Linear Programming (MILP) model to minimise space utilisation within a baggage handling facility during the busiest period of the day, employing as few Make-Up Areas (MUAs) as possible. The results indicate that LightGBM, a gradient boosting technique, outperforms other gradient boosting techniques in terms of performance and computation time, achieving an accuracy score for the BF prediction ranging between 78-83% for the three forecast periods. Leveraging these predictions, the MILP model demonstrates that only 3 to 5 MUAs are required in an ideal situation in the baggage handling facility during the busiest period on various days.","Gradient Boosting; MILP; Baggage Factor; Baggage Handling; Airport Operations; Forecasting; Optimisation; Schiphol Airport","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:bb317563-0f67-46d0-a50e-443a97a76ae9","http://resolver.tudelft.nl/uuid:bb317563-0f67-46d0-a50e-443a97a76ae9","Building Consumer Trust in the Digitalizing Insurance Sector: Exploring the structure, utilization, and impact of trust indicators as crucial elements in the customer journey.","de Vries, Hylke (TU Delft Industrial Design Engineering)","Calabretta, G. (graduation committee); Schneegass, C. (mentor); Delft University of Technology (degree granting institution)","2023","Deciding if an online entity is trustworthy can be challenging. How do you decide if you can go into business with an unknown company, offering an enticing product?
This thesis project aimed to enhance consumer trust in Insify, a starting business insurance company operating in a competitive market. The objective was to identify trust indicators and evaluate their impact on conversion rates, ultimately seeking ways to improve consumer trust.
Insify is an InsurTech company aiming to simplify and digitize insurance processes. They offer disability, property & casualty, and health insurance directly to customers, targeting self-employed entrepreneurs and small to medium-sized enterprises. However, they face competition from established insurers and challenges associated with digitalization in a crowded market.
In the e-commerce realm, building consumer trust is challenging but crucial. Early UX designers incorporated trust-building elements into online spaces. However, during the past decade, deceptive design practices emerged, complicating the trust-building process and increasing consumer skepticism towards the online provision of personal information.
The project follows the Double Diamond design method, involving literature research, expert interviews, customer surveys, and A/B testing to redefine trust indicators and enhance the customer journey. In the final section of the design phase a strategic roadmap is presented, highlighting future opportunities for Insify.
The research findings revealed that customers value personal contact and support. The customer survey indicated the significance of insurance premiums and coverage for business insurance customers. Trust-building was identified as a multifaceted process that extends beyond competence, integrity, and benevolence, indicating a need for a connected set of trust indicators throughout the customer journey. However, the research project faced limitations, such as difficulties in translating trust indicators into design components and defining precise methods to measure consumer trust.
Based on the findings, recommendations were made for Insify to showcase customer testimonials and use cases to demonstrate positive experiences, conduct A/B tests with more significant variations, and focus on addressing the desire for personal contact among customers. In a broader sense, the financial industry should recognize the impact of information asymmetry and prioritize conversations over fully automated application processes and questionnaires. Furthermore, the industry should prepare for disruptive transitions, such as the integration of AI.
While the specific design components tested did not significantly impact conversion rates, the research process contributed to a deeper understanding of trust indicators and their role in consumer trust. The recommendations provided can guide Insify and the financial industry in enhancing consumer trust and improving the customer journey. Further research is encouraged to address the identified limitations and explore trust-building topics in more depth. Building trusting relationships with consumers should remain a primary goal, emphasizing the trust-building potential of each touchpoint in the customer journey.
scale, but numerous barriers still stand in the way of widespread implementation. Various barriers are related to information sharing.
This thesis aimed to improve the innovation implementation process by examining information sharing between municipalities and companies. Grass concrete pavements, or green parking, was chosen as a case study, a relatively new permeable pavement system using open pavers, substrate, and a mix of grass seeds to allow precipitation to infiltrate while adding green to urban areas. Three main flows of information were considered: between companies and municipalities, between different departments within the same municipality, and between municipalities and other levels of government. Interviews were conducted with interviewees in various roles from both companies and
municipalities involved in the process of innovation implementation.
A network of various factors was formed, with three key issues:
• A lack of consensus on what a successful project should be.
• Uncertainty about responsibility leading to a situation where the implementation of green parking
is dependent on the motivation of individuals.
• Pilot projects are conducted by municipalities without clear goals, therefore, producing no results that can be analyzed or used for upscaling.
The second part of the thesis involved further analysis of the three key issues. Multiple recommendations for municipalities and companies were formulated. Recommendations for conducting successful pilot projects are also provided and are applicable to both green parking as well as other innovations. For municipalities, the recommendations are to make responsibilities clear, perform a life cycle cost analysis, set clear and concrete goals in their climate adaptation strategy, share successful experiences, and create a step-by-step guide for innovations to become standardized. These recommendations are mainly aimed at green parking but will also benefit the implementation of other innovations.
For companies, the recommendations are to create realistic expectations, convince the water board of the water storage capacity of the system, and conduct further research into optimizing maintenance plans. These recommendations are mainly aimed at green parking and Sustainable Urban Drainage Systems (SUDS). For pilot projects, the recommendations are to reach a consensus on what defines a success beforehand, set realistic goals and start small, include a follow-up plan, find partner municipalities to collaborate with, and find a balance between intensive and superficial monitoring. The results from this thesis consisted of concrete recommendations for both municipalities and companies to improve the innovation implementation process. Furthermore, the results can be a starting point for more profound research into information sharing and how it relates to innovation implementation. This can include testing the recommendations at a municipality to optimize the process, like setting up a pilot program or expanding the climate adaptation strategy.
Constraining the data-driven models with known physics can not only help us understand the reasoning behind their predictions, but also ensuring the model is consistent with the real-world behavior of the system. The work presented in this Master's thesis aims to demonstrate the advantages of such first-principles neural networks over purely data-driven models in thermal behavior modeling of small satellites. Baseline performance of data-driven Long Short-Term Memory (LSTM) networks is established using FUNCube-1 telemetry data, quantifying the temperature prediction accuracy of the models under ideal conditions. The limitations of these models, especially with sparse data, are then investigated, to highlight the need for more robust models.
First-principles models, based on a physics-informed curve-fit and simplified thermal network models, are then developed to constrain the data-driven model predictions. The first-principles models are shown to be more robust to sparse data, with the predictions on data not seen during training being more consistent with the real-world thermal behavior of the satellite. Methods to relate the first-principles model parameters to the physical properties of the satellite are also proposed and explored, to help extract the evolution of the thermal behavior of the satellite over time.","Small satellite; Thermal modelling; Machine learning; Neural network; Physics Informed Neural Networks","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:9639cc22-cf3a-40ac-b627-7280dbb3f504","http://resolver.tudelft.nl/uuid:9639cc22-cf3a-40ac-b627-7280dbb3f504","Design of an Ammonia Bunker Installation for Sea-going Vessels: A Best Worst Method Approach","Hofste, Pim (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Transport Engineering and Logistics)","van Veldhuizen, B.N. (mentor); Duinkerken, M.B. (graduation committee); Schott, D.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","The use of petroleum-based fuels in the shipping sector creates harmful emissions that need to be reduced to reach climate goals. Ammonia is being considered as a possible fuel to reduce emissions, but there exist several challenges that prevent its implementation. Research into these several of these challenges is being done, but there is little research into the bunkering process of ammonia. Therefore, the purpose of this research is to identify an installation to bunker ammonia that is suitable for ports that handle sea-going vessels. In order to do this, requirements, functions and alternatives are proposed for this system. Subsequently, these alternatives are evaluated through an ordinal analysis and a decision is made on a configuration through the Best Worst Method (BWM). To do this, Stakeholders from the port of Rotterdam are surveyed and used as input for the BWM. A viable configuration is found that adheres to all requirements. Finally, it is concluded that the configuration is applicable to multiple ports due to the general prioritization of safety, robustness of the result and similar results between stakeholders with different secondary priorities. Furthermore, the effectiveness of mitigation strategies implies sufficiently small risk contours, to allow ports that are in close proximity to vulnerable objects to be able to build an ammonia bunker installation as well.","Bunkering; Ammoni; Best Worst Method; Sustainability; Shipping","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:604fe240-eb16-400f-be00-34cc6ab77eaf","http://resolver.tudelft.nl/uuid:604fe240-eb16-400f-be00-34cc6ab77eaf","Investigating the effect of aortic valve inflow profile in ascending thoracic aortic aneurysm","Veer, Jan (TU Delft Mechanical, Maritime and Materials Engineering)","Dr Pirola, S.P. (mentor); Pourquie, M.J.B.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Ascending thoracic aortic aneurysm is a dangerous condition which is hard to locate in patients. CFD can be used to assess someone’s risk of suffering from such an aneurysm and also to assess any possible relation of an aneurysm with relevant parameters, like the shape of the aorta or how blood flows into the aorta.
Existing research on ascending aortic CFD flow generally focuses on assessing relatively high wall shear stress, seen as the cause for aortic wall damage and aneurysms, in patients and not much on any possible relationships between aortic shape and inflow with ascending aortic aneurysm. Work that does exist often uses 4D flow MRI, shown to produce results regarding peak wall shear stresses with less accuracy compared to CFD.
This thesis aims to supply that information using an aortic geometry from a large dataset of synthetically generated aortas together with synthetically generated inlet velocity profiles, showing a possibility to work with-out the need for patient measurements. The main work in this thesis focuses on finding any possible relationship between aortic inflow angle and relatively high wall shear stress, such that the results may better explain how aortic inflow can influence the appearance of aneurysms.
For this, a workflow has been established that allows working with large aortic geometry and inflow profile datasets with relative ease. This workflow process uses a mix of OpenFoam and Ansys Fluent usage.
Results have shown that the flow jet angle in the core of the flow has a significant negative correlation with peak WSS within the ascending aorta for the chosen aortic geometry. This is in contrast with other work showing positive correlations with WSS. This may suggest aortic geometry dependence together with the need to look at other flow variables, like jet impingement angle, to explain how aortic inflow can influence high WSS within the ascending aorta.
Recommendations for future work include: The same study but with a focus on aortas of healthy young people to see if aortas at risk can be found, as existing work mainly focuses on old people with ascending thoracic aortic aneurysm, a similar study with a focus on the inclusion of various aortic geometries to find how aortic geometry may influence the effect inflow variables can have on high WSS, the creation of a tool to easily calculate the impingement angle from aortic flow data and research into if aortic jet flow disruption could reduce peak WSS in the ascending aorta for use in aortic reconstruction.","CFD; Ascending aorta; Flow jet angle; wall shear stress","en","master thesis","","","","","","","","","","","","Biomedical Engineering","",""
"uuid:7d522b72-6d56-48f6-9b2d-50c312ca9a75","http://resolver.tudelft.nl/uuid:7d522b72-6d56-48f6-9b2d-50c312ca9a75","Extracellular Polymeric Substances from Aerobic Granular Sludge: Implications for Agricultural Use in Foliar Fertilization","Velasquez Posada, Sofia (TU Delft Civil Engineering & Geosciences)","Wilfert, P.K. (mentor); Lin, Y. (graduation committee); de Kreuk, M.K. (graduation committee); van Lier, J.B. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study explores the potential use of extracellular polymeric substances (EPS), commercially known as Kaumera Nereda Gum®, extracted from aerobic granular sludge (AGS), for foliar fertilization in agriculture. Koppert, a company specializing in sustainable agricultural products, is interested in using Kaumera Nereda Gum® for its biostimulating effects, water-absorbing capacity, biofilm formation, and adhesive properties. They are currently spraying leaves with a solution mixed with Kaumera Nereda Gum®, water, and other fertilizers.
Nonetheless, the utility of using Kaumera Nereda Gum® for foliar fertilization can be affected by several factors, such as seawater intrusion in AGS projects like Faro-Olhão in Portugal and the type of acid utilized for the precipitation of the polymer. In certain places like Utrecht, hydrochloric acid is used, whereas in Faro, sulfuric acid is employed. The latter acid is more favorable when considering agricultural applications.
Thus, the chemical composition of Kaumera samples from Faro and Utrecht was compared, and their suitability for foliar fertilization assessed. Parameters such as total solids, volatile solids, carbohydrate content, and protein content were quantified, and FTIR-ATR analysis was conducted to gain a better understanding of each polymer. Conductivity and pH levels were also measured and compared to expert recommendations against the ideal values for foliar fertilization. Additionally, nutrient levels were quantified and compared to regulatory guidelines and nutritional recommendations. An adhesion protocol was also designed to compare the adhesive properties between Kaumera Utrecht, Kaumera Faro, and Kaumera Zutphen (established benchmark).
Results indicated that Kaumera Faro has 0.114 ± 0.005 grams of carbohydrate per gram VS, whereas Kaumera Utrecht has 0.168 ± 0.002 grams of carbohydrate per gram VS (47% more). On the other hand, Kaumera Utrecht has 0.323 ± 0.009 grams of protein per gram VS, while Kaumera Faro has 0.456 ± 0.004 grams of protein per gram VS (41% more). High carbohydrate content could be positively correlated with strong adhesion properties, whereas a high protein content might demonstrate enhanced biostimulating effects. In addition, the pH and salinity adjustments required for applying the Kaumera solution to leaves are determined by its mixing ratios. When preparing the solution, it is essential to consider the low pH of Kaumera Nereda Gum® and evaluate whether washing the polymer to reduce salinity is necessary. Heavy metal concentrations in samples of Kaumera Utrecht and Kaumera Faro remained within permissible limits according to regulatory thresholds when considering the applied dosage of 35 liters per hectare per year (as suggested by Koppert). However, with such dosage, nutrient levels in the Kaumera samples were lower than recommended, confirming that additional fertilizers are to be mixed with Kaumera Nereda Gum®. Furthermore, the adhesion protocol proved to be a valuable screening tool, showing that Kaumera Utrecht has superior adhesive properties compared to the benchmark. In summary, this study confirms that Kaumera Utrecht and Kaumera Faro exhibit advantageous properties in foliar fertilization, but their effective use requires pH adjustment, salinity reduction, and nutrient supplementation.","extracellular polymeric substance; aerobic granular sludge; agriculture; fertiliser","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:928f9315-d63f-49c5-8ce9-21804fbc17c8","http://resolver.tudelft.nl/uuid:928f9315-d63f-49c5-8ce9-21804fbc17c8","Digital transformation in traditional companies: Combining ambidexterity and dynamic capability perspectives","Versteeg, Max (TU Delft Technology, Policy and Management)","Scholten, V.E. (mentor); Smit, A.C. (mentor); Delft University of Technology (degree granting institution)","2023","Organizations that do not embrace digital transformation may find themselves falling behind on competitors and losing out on potential innovation and growth opportunities. As the business environment is changing at an unprecedented pace, it is becoming increasingly important to balance continuity and change to achieve long-term success. By employing a qualitative case study design including semi-structured interviews with twelve employees across different hierarchical levels and business units, this thesis aims to serve a threefold objective. Firstly, it aims to determine the main barriers to digital transformation for traditional organizations. Secondly, it will elaborate on how ambidexterity and dynamic capabilities can be applied in the context of digital transformation. Lastly, the research integrates both perspectives and derives success factors that can guide traditional organizations towards successful digital transformation. The following main research questions has been formulated to guide the research:
What are the barriers to digital transformation in traditional organizations such as COMPANY and how can ambidexterity and dynamic capabilities be applied to overcome these barriers and thus pave the way for successful digital transformation?
The barriers to digital transformation are categorized based on their level of origin and their barrier category. Levels of origin include intra-level, inter-level, and meta-level. The intra-level refers to interactions that occur inside an organization, inter-level interactions refer to interactions between an organization and external actors, and meta-level interactions are high level and involve policy-makers and governments. Findings show that the most prominent barriers for COMPANY are knowledge and skills, organizational, and cultural barriers on the intra-level and technological and environmental barriers on the inter-level.
Ambidexterity and dynamic capabilities provide two powerful lenses to approach the challenge of digital transformation for traditional companies. Ambidexterity refers to ""an organization's ability to be aligned and efficient in its management of today's business demands (exploitation) while simultaneously being adaptive to changes in the environment (exploration)"" \parencite{raisch2008organizational}. Although there are multiple ways to balance exploration and exploitation activities, it is argued that structural separation of exploring and exploiting activities is the best form of ambidexterity for an organization seeking to engage in digital transformation. According to \textcite{eisenhardt2000dynamic}, companies must develop sensing, seizing and reconfiguring capabilities to take full advantage of digital transformation. For the sensing capability, it is important to involve people that are the closest to the market. The sensing capability should not be limited to one market, because there might also be interesting developments in adjacent markets. The reconfiguring capability can be considered a higher-order capability. As reconfiguring includes ""consistently implementing renewal activities by assigning responsibilities, allocating resources, and ensuring that the workforce possesses the newly required knowledge"" \parencite{kump2019toward}, the management team should play a prominent role in the reconfiguring capability.
While these approaches on their own provide valuable insights, integrating both approaches point to three success factors that should take center stage in digital transformation. To deal with environmental barriers, organizations should take customers by the hand in the process of change. During the sensing process, customer can be a useful source of information, whereas in the seizing process, it is really important to acquire feedback from customers. The second identified success factor is an innovation funnel that is a tool to guide the innovation process to overcome organizational, environmental, and technological barriers. The last success factor is a steering group that oversees the whole process, including exploration and exploitation. This is also a way to involve internal stakeholders and thereby resolving cultural barriers.
Measuring the aberrations of optical systems is an essential step in the fabrication of high precision optical components. However, when working at the cutting-edge of technology, it is increasingly difficult to provide trustworthy measurements as the used metrology instrument has to be of comparable or higher precision. This poses a major problem especially when working with high numerical aperture (NA) optics.
In this thesis, we will analyze and quantify the measurement uncertainty of a Twyman-Green interferometer used for lens testing of high-NA microscope objectives.
To quantify the measurement uncertainty of the interferometer, various sources of uncertainty that affect the accuracy and precision of the measurements are considered. These include environmental and instrumentation factors such as incorrect phase-stepping, laser instability, camera noise, stray light, photon shot noise, effects of mid-spatial frequencies originating in the optical reference, as well as computational shortcomings such as: incorrect phase unwrapping, polynomial fit errors, incorrect pupil scaling and edge detection.
By carefully analyzing these individual sources of uncertainty and their impact, we determine the overall measurement uncertainty of the interferometer and provide an assessment of its accuracy through Monte Carlo simulations, where the introduced uncertainties are obtained from real measurement data. The uncertainty analysis procedure described in this paper is a useful tool that can also be applied to different types of interferometers by taking proper considerations into account.","Interferometry; Uncertainty; Lens; Phase unwrapping; Zernike polynomials; optical instrumentation; Phase Stepping Algorithms; Monte Carlo","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Micro and Nano Engineering","",""
"uuid:9c82fd2a-5841-4aac-8e40-d4d22542cdf5","http://resolver.tudelft.nl/uuid:9c82fd2a-5841-4aac-8e40-d4d22542cdf5","Computing the Scanwidth of Directed Acyclic Graphs","Holtgrefe, Niels (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Discrete Mathematics and Optimization)","van Iersel, L.J.J. (mentor); Jones, M.E.L. (mentor); Spaan, M.T.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Phylogenetic networks are a specific type of directed acyclic graph (DAG), used to depict evolutionary relationships among, for example, species or other groups of organisms. To solve computationally hard problems, treewidth has been used to parametrize algorithms in phylogenetics. In the hope of simplifying the algorithmic design process, Berry, Scornavacca and Weller recently proposed a new measure of tree-likeness that takes into account the directions of the arcs: scanwidth. They showed that the corresponding decision problem of this parameter - which can be seen as a variant of directed cutwidth, using a tree instead of a linear ordering - is NP-complete. This thesis aims to widen the structural knowledge of scanwidth and to find efficient ways of computing it on general DAGs, both by exact and heuristic algorithms.
With the help of reduction rules, we construct an explicit dynamic programming algorithm that computes scanwidth exactly, along with its corresponding tree extension, in O(k * nk * m) time for rooted DAGs of scanwidth k. This slicewise polynomial algorithm proves that computing the scanwidth is in the complexity class XP. The algorithm also functions as an FPT algorithm for networks of level-l, with the complexity bounded by O(24l-1* l * n + n2). It performs well in practice, being able to compute the scanwidth of networks up to 30 reticulations and 100 leaves within 500 seconds.
On the heuristic side, an algorithm that repeatedly splits at a specific type of smallest cut is proposed. Enhanced with simulated annealing, this heuristic shows promising results, obtaining an average approximation ratio of 1.5 for large synthetic networks of 30 reticulations and 100 leaves. Applied to a real-world dataset of networks, the heuristic performs near-optimal. Although we prove that the scanwidth is always greater than or equal to the treewidth, experiments show that they are close to each other in practice. This further motivates the use of scanwidth over treewidth as a parameter in algorithms.","scanwidth; directed acyclic graph; phylogenetic network; parametrized complexity; exact algorithm; heuristic","en","master thesis","","","","","","https://github.com/nholtgrefe/scanwidth","","","","","","Applied Mathematics","",""
"uuid:a4aa9fe3-2b6a-4bb3-872c-4da13a0f3445","http://resolver.tudelft.nl/uuid:a4aa9fe3-2b6a-4bb3-872c-4da13a0f3445","A Multi-Criteria Decision Analysis of NOx Abatement Options for Refineries: A Case Study of Shell Pernis","De Jager, Martijn (TU Delft Technology, Policy and Management)","Stougie, L. (mentor); Annema, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The research is conducted with the case of Shell Netherlands Refinery (SNR), which has already implemented certain NOx reduction measures such as steam injection in gas turbines and Low NOx Burners in furnaces. The decisions to implement these technologies were primarily based on the level of NOx emissions and financial considerations. This thesis aims to support decision-makers by providing a comprehensive comparison of multiple NOx abatement systems based on multiple criteria.
SNR has various emission sources for NOx, such as burners in furnaces with the emission point being the stack. Each emission point and NOx source within SNR has its own technical limitations and possibilities, which have been carefully assessed to determine the appropriate NOx abatement systems for each emission point.
The Multi-Criteria Decision Analysis (MCDA) approach was employed to answer the above research question. MCDA is a structured approach that evaluates and compares different options or alternatives based on a set of criteria. It enables decision-makers to make informed and objective decisions by considering multiple criteria and weighting their relative importance.
The study concludes that combustion-based systems, specifically ULNB for furnace burners and (U)DLN burners for gas turbines, are more advantageous than end-of-pipe systems for NOx reduction in SNR. However, in cases where combustion-based technologies are not technically feasible, the integration of end-of-pipe systems is necessary. In such scenarios, the ClO2 wet scrubber is recommended due to its high final utility score. SCR, SNCR, and LOTOX technologies are generally not advised due to their lower final utility scores but SCR or LOTOX could be viable when high NOx emission reductions are required (>90%).
According to outcomes of MAUT, the ULNB was identified as a particularly effective NOx abatement technology, showcasing a consistently high final utility score at numerous emission points, specifically O, P, Q, N, L, I, J, E, M, F, D, B, and H. in contrast, at emission points R, A, E, G, K, S, and W, the most efficient solution was found to be the ClO2-based wet scrubber system, which achieved the highest final utility score. For the final emission points, namely T, U, and V, the (U)DLN burners scored the highest final utility score, thereby earning the recommendation as the preferred NOx abatement technology for these emission points.
However, this study had its limitations. The cost analysis conducted was somewhat superficial. A more in-depth exploration of the CAPEX and OPEX, coupled with the use of complexity factors per emission point, could refine the MCDA outcomes. It is recommended that future studies integrate Cost-Benefit Analysis (CBA) into the MCDA for a more rigorous examination.
Another constraint was the limited scope and quality of data used. It is recommended that subsequent research take a more rigorous approach to data collection, including engaging factories that have already implemented NOx abatement systems. Such an approach could yield a more comprehensive dataset, enhancing the reliability of MCDA outcomes.","MCDA; MAUT; NOx reduction; Refinery","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:287c7efb-d15d-4360-a785-c7441746b3f4","http://resolver.tudelft.nl/uuid:287c7efb-d15d-4360-a785-c7441746b3f4","Effect of Turbulence Intensity and Variability on Model-Based Biodynamic Feedthrough Mitigation with Touchscreen Dragging Tasks","Leto, Giulia (TU Delft Aerospace Engineering)","Pool, D.M. (mentor); Mulder, Max (graduation committee); Delft University of Technology (degree granting institution)","2023","Recent aircraft have seen the implementation of touchscreens (TSCs) on the flight deck, in sight of more intuitive and direct human-machine interactions. Biodynamic feedthrough (BDFT), i.e., the transfer of the aircraft’s accelerations through the pilot’s body to the control inputs, is however still cause for concern, preventing safe and reliable use of TSCs in turbulence. This paper describes a simulator experiment evaluating the performance in turbulent flight of model-based mitigation of BDFT occurring with TSC dragging task. Placing a TSC in front of the pilot, various motion perturbations were tested on the heave axis: multisine signals resembling turbulence, stationary (Gaussian) and variable (patchy) simulated turbulence, at three intensity levels. The results show that on average over 87% accuracy can be achieved in the identification of a personalized BDFT model at intensity of 0.75 and 0.5 m/s2 (RMS heave accelerations), decreasing to 74% for RMS intensity of 0.25 m/s2, symptom of a lower amount of feedthrough in the TSC input at low turbulence intensity. No model canceling over 70% of the BDFT components of the TSC inputs could be generalized across intensities, as the damping of the BDFT dynamics shows a 49% decrease between high and low intensities. In regards to BDFT mitigation in turbulence, models were identified from BDFT in Gaussian turbulence with accuracy comparable to models identified in multisine motion disturbances, with only 3.5% lower performance on average. Comparison between the Gaussian and patchy turbulence cases revealed a 4.7% higher BDFT mitigation performance for the former, connected to the time-varying nature of patchy turbulence. Finally, models generalizing BDFT dynamics across participants or experimental runs were found to always be outperformed by individual run models, giving up to 10% higher identification performance. These findings show that a model-based approach is promising with regards to BDFT mitigation in turbulence for TSC dragging tasks, but also that real-time identification and time-varying BDFT models might be needed to achieve consistently high mitigation performance in realistic variable turbulence.","biodynamic feedthrough; touchscreen; aircraft; turbulence","en","master thesis","","","","","","","","2025-07-12","","","","Aerospace Engineering","",""
"uuid:94e6fc04-ff15-4fa1-96cd-99248f1eaf61","http://resolver.tudelft.nl/uuid:94e6fc04-ff15-4fa1-96cd-99248f1eaf61","A surrogate-assisted propeller optimisation in propulsive and regenerative operations: A case study","van Heugten, Lars (TU Delft Mechanical, Maritime and Materials Engineering)","van Terwisga, T.J.C. (mentor); Foeth, E.J. (mentor); Scholcz, T.P. (mentor); Delft University of Technology (degree granting institution)","2023","Engineering has become more and more optimisation and simulation based. This causes an increase in the use of optimisation methods for complex problems. This thesis focuses on the implementation of a surrogate-assisted optimisation method for propeller optimisation, to design a better propeller in a shorter timespan. Therewith reducing both: computational expenses, and fuel consumption when a propeller has been built. This thesis tries to reach two objectives: The first goal is to implement a surrogate-assisted method for propeller optimisation. The other objective is to design a propeller for both propulsive as well as for regenerative operations. To succeed, this thesis has been limited to the testing and coupling of SAMO-COBRA (A Fast Surrogate Assisted Constrained Multi-objective Optimization Algorithm) with PropArt. The testing has been based on benchmark tests where SAMO-COBRA was tested against CMOPSO and NSGA-II (the two algorithms that MARIN currently uses for propeller optimisation). Here, SAMO-COBRA outperformed NSGA-II and CMOPSO on over half of the test cases and is therefore considered for the rest of the research.
The thesis evaluates propellers using a Boundary Element Momentum theory, which is a mathematical method that is the basis of MARINs in-house propeller tool PROCAL. To be able to model propellers that operate at high J values correctly certain improvements regarding the wake expansion and alignment have been made. For the wake expansion three methods are proposed. After comparing the open water diagram of a F4-63-0.6 propeller, that is evaluated by PROCAL, and the one that was made after physical testing. It can be concluded that the disk theory is the most versatile implementation for propellers that operate at a range of advance ratio. Results show that from the two proposed methods, the method where the wake pitch is prescribed by the advance ratio results in the best wake alignment.
The test case optimises 3 configurations, two separate designs, one for propulsion and one for regeneration. A normal CPP that is loaded under a negative angle of attack for regeneration and a propeller that can be fully reversed for regenerative operations (the propeller is loaded from the trailing edge in regeneration). The propeller is optimised to reach a maximum regenerative power whilst minimising the propulsive power. The optimum propeller has to satisfy multiple constraints based on cavitational-, geometrical- and separation of flow constraints. The optimisation using SAMO-COBRA did not yield feasible results for any of the three cases. After removing the cavitational constraints a new analysis of the results has been done, it is found that a propeller that is rotated 180 degrees can provide the highest regenerative power. This thesis proposes multiple hypotheses that cause the lack of feasible results of the test case. The proposed causes are: errors in the PropArt model, problems in the compiled PropArt version or a too low convergence level of COBYLA.
In this thesis, we suggest a 1-D CNN architecture that extracts local features along the spectral dimension. The aim is to investigate if CNNs improve the classification accuracy compared to other classic Machine Learning (ML) methods such as linear models. Furthermore, we explore Neural Networks (NNs) that employ the novel Sharpened Cosine Similarity (SCS) as a feature extraction method, opposed to convolution. We call those networks SCS-NN in correspondence to the Convolutional-NN (CNN). To evaluate these methods, we implement our pipeline for various IMS datasets, with different characteristics and classification tasks, using several performance metrics such as balanced accuracy and F1 score.
Moreover, we provide a detailed description of the methodology pipeline used for the CNN architecture design. The suggested methodology is the Tree-structured Parzen Estimator (TPE) algorithm, a Bayesian optimization technique for automated architecture selection. By implementing TPE, we manage to explore and exploit efficiently a complex and large hyperparameter configuration space and automatically select optimal hyperparameters (such as number of convolutional layers, kernel size, strides, learning rates etc.). This automated approach reduces time consumption, errors, and the need for specialized knowledge in biology and biochemistry that would be associated with manual design. In addition to developing a pipeline for designing, training and evaluating a CNN for IMS data classification, we also apply a model agnostic interpretation methodology based on SHapley Additive exPlanations (SHAP) and provide SHAP score maps that visualize the importance of features in the spatial dimension of the IMS datacube.
In this thesis, we present and analyse the automated selection of 1-D CNN architectures for IMS data classification based on the TPE algorithm. Furthermore, we investigate a novel alternative to convolution, SCS, and evaluate its strengths and weaknesses in IMS data classification. The experimental results show that the TPE-generated CNN architectures outperform all the other applied classifiers. Finally, our interpretation of the CNN models reveals that accuracy performance alone might not be a sufficient criterion to trust the model's output.","imaging mass spectrometry; Convolutional Neural Networks (CNNs); Sharpened Cosine Similarity (SCS); supervised machine learning; deep learning; interpretability; SHAP","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:0de5a173-5a71-4f7f-bc1d-e6b33d5392a9","http://resolver.tudelft.nl/uuid:0de5a173-5a71-4f7f-bc1d-e6b33d5392a9","Rooting for biobased retaining structures","van Bergen, Karine (TU Delft Civil Engineering & Geosciences)","van de Kuilen, J.W.G. (mentor); Ravenshorst, G.J.P. (graduation committee); Cabrera, M.A. (graduation committee); Kamath, A.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Riparian ecosystems are crucial for maintaining ecological balance in riverine landscapes, offering diverse habitats, regulating water quality, and preventing soil erosion. However, these ecosystems are vulnerable to slope instability, leading to detrimental effects such as land loss, habitat destruction, and increased sedimentation in water bodies. In the Netherlands, the banks of waterways are typically protected using various materials, some of which emit significant carbon during production. To meet environmental goals such as the Paris Agreement (2022), there is a need for alternative bank protection structures that utilise natural materials.
Root reinforcement, which refers to the ability of plant roots to enhance soil strength and stability, plays a crucial role in assessing slope stability. The presence of roots influences soil strength through hydrological and mechanical effects. Existing methods for quantifying root reinforcement involve mechanical models or time-consuming in-situ measurements using large equipment. Therefore, the corkscrew extraction method has been developed as a quicker, lighter, and simpler approach to measure shear strength in root-reinforced soil. Previous studies have demonstrated the potential of this method for quantifying root reinforcement in field conditions, providing rapid data collection on shear strength at different depths and steep slopes. Throughout the thesis, a corkscrew set-up, inspired from Meijer et al. (2018) was used to assess root reinforcement in riparian environments. Also, it was determined whether this technique is applicable in riparian conditions.
The corkscrew device consists of a garden corkscrew weeder, a tripod with a ratchet winch, a steel cable, a load cell, and a draw wire sensor. The corkscrew is maunally rotated into the soil, and the load and displacement are measured during extraction. The force-displacement curves are analysed to determine rooted soil parameters.
The measurements were conducted at two locations in the Netherlands: the Botanical Garden of the TU Delft in Delft and a testing site in Middenmeer. The Delft location had fields with reed plants (Phragmites australis) and willow trees (Salix fragilis and Salix purpurea), while the Middenmeer site was planted with hawthorns (Crataegus laevigata). Corkscrew extractions produce force-displacement curves, which exhibit different patterns depending on the root content (root area ratio).
The study finds that the corkscrew method is a promising technique for measuring root reinforcement in challenging terrains like riparian areas. It offers advantages in terms of time efficiency, field applicability, and non-destructiveness compared to complex and destructive methods. However, challenges related to root recovery and the limited testing depth need to be addressed through further research.
The thesis also examined root and strength parameters related to root reinforcement. While root biomass provides information about the quantity of roots, it may not accurately quantify root reinforcement. The root area ratio was found to affect soil behaviour and showed correlations with strength parameters for certain selected species. However, other factors such as moisture content, the soil conditions and root diameter could also influence the relationship between root area ratio and shear strength. The force-displacement graphs obtained from corkscrew measurements highlight the significant influence of roots crossing the shear surface on soil behaviour by comparing the pattern of the curves. Also, root breakages are identified as sudden drops in force displacement graphs.
The presence of roots mobilising at higher displacements than the peak strength of bare soil is crucial for slope stability. The combination of species might provide the best reinforcement effect for stability owing to difference in root paterns spatially and with depth.","Riparian vegetation; corkscrew; root reinforcement","en","master thesis","","","","","","","","","","","","Civil Engineering | Environmental Engineering","",""
"uuid:a76709fb-ccb8-4500-a437-fc53ba3891c3","http://resolver.tudelft.nl/uuid:a76709fb-ccb8-4500-a437-fc53ba3891c3","Why Do You Buy: Reducing Fashion Consumption Together through Reflection","Bakker, Sanne (TU Delft Industrial Design Engineering; TU Delft Human-Centered Design)","Xue, H. (mentor); Huang, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Climate change has made us all aware of the urgent need for change. We know that governments, companies, and even us have a role to play in countering its effects. Sustainable consumer behavior usually involves things like eating less meat and cutting down on single-use plastics. However, what many people don’t realize is that the stuff we own, especially fashion items, actually has a major negative impact on the environment. These products contribute a lot of CO2 emissions throughout their lifecycle, but we aren’t really encouraged to reduce our consumption.
Nowadays, there are many fast fashion companies selling cheap and trendy clothing. It’s especially appealing to Gen Z because it’s affordable and keeps up with online trends. Platforms like Instagram heavily influence Gen Z, making them feel like they always need to buy new clothing to express themselves. These influences make it hard for them to determine if a fashion item truly adds value to their lives.
This research focused on reducing fashion consumption amongst Dutch Gen Z while still being able to express themselves. A literature, introspective and generative research was conducted to find out more about the context and users. After several iterations the Reflection Café (see Figure 1) was created.
The Reflection Café is a place where you can playfully explore the reasons behind your purchases. You go on this journey with a partner to learn more about yourself and each other. Inside the café, you’re encouraged to reflect on your recent fashion purchases by creating visualizations about the experience with the item. This so called Reflection Board starts your reflection process, even if it’s unconsciously done. Reflection takes time, so the café offers a monthly experience. On your final visit, all your Reflection Boards are displayed on the wall, giving you an overview of your fashion consumption from the past months. This last visit will put together the pieces you have collected throughout the last couple of months and hopefully creates a better understanding of your own behavior. The ultimate goal is to answer the question: Why do you buy?
The Reflection Café subtly encourages visitors to reduce their consumption by letting them find out what fashion items bring value to their lives. The café doesn’t impose any judgments on what’s right or wrong; it’s about what each person finds important. The café will help you to live life with greater awareness and appreciation.","Reflection; Reducing Consumption; Sustainability; Emotion-driven design; Fashion; Reflection Cafe","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:e52f0ee0-a859-4177-80e4-268dfd65deca","http://resolver.tudelft.nl/uuid:e52f0ee0-a859-4177-80e4-268dfd65deca","Memory Mechanisms in Spiking Neural Networks","Lammers, Laurens (TU Delft Aerospace Engineering)","Hagenaars, J.J. (mentor); de Croon, G.C.H.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Neuromorphic sensors, like for example event cameras, detect incremental changes in the sensed quantity and communicate these via a stream of events. Desired properties of these signals such as high temporal resolution and asynchrony are not always fully exploited by algorithms that process these signals. Spiking neural networks (SNNs) have emerged as the algorithms that promise to maximally attain these characteristics and are likely the key to achieving a fully neuromorphic computing pipeline. But, this means that if the SNN is to take full advantage, the event stream must be sent directly and unaltered to the SNN, which in turn implies that all temporal integration should occur inside the SNN. Therefore, it is interesting to investigate the mechanisms that achieve this. This thesis does so through evaluating and comparing the performance of different memory mechanisms in SNNs found in the literature, as well as through an in depth analysis of the inner workings of these mechanisms. The mechanisms include spiking neural dynamics (leaks and thresholds), explicit recurrent connections, and propagation delays. We demonstrate our concepts on two small scale generated 1D moving pixel tasks in preliminary experiments first. After that, we extend our research to compare the memory mechanisms on a real-world neuromorphic vision processing task, in which the networks regress angular velocity given event based input. We find that both explicit recurrency and delays improve the prediction accuracy of the SNN, compared to having just spiking neuronal dynamics. Analysis of the inner workings of the networks shows that the threshold and reset mechanism of spiking neurons play an important role in allowing longer neuron timescales (lower membrane leak). Forgetting (at the right time) turns out to play an important role in memory. Additionally, it becomes apparent that optimizing an SNN with explicit recurrent connections or learnable delays does not lead to the formation of robust spiking neuronal dynamics. In fact, spiking neuronal dynamics are largely ignored, as after optimization virtually no input current is integrated onto the membrane potential in these cases. Instead, we consistently find that a recurrent SNN prefers to build a state solely with the explicit recurrent connections, while an SNN with delays prefers to just use the delays. Therefore, our SNNs with explicit recurrent connections and delays are in fact better described as binary activated RNNs and ANNs, respectively.","Spiking Neural Networks; SNN; Event-based vision; Memory; Recurrent Connections; Delays; Supervised Learning; Surrogate Gradient","en","master thesis","","","","","","","","","","","","Aerospace Engineering","","51.990223202555974, 4.375519981676436"
"uuid:57db5552-6339-467f-bba3-ea561fd7a918","http://resolver.tudelft.nl/uuid:57db5552-6339-467f-bba3-ea561fd7a918","Numerical Modeling of Constructed Foredune Blowouts in the Dutch Dunes","van Manen, Menno (TU Delft Civil Engineering & Geosciences; TU Delft Hydraulic Engineering)","de Vries, S. (mentor); Herman, P.M.J. (mentor); Hallin, E.C. (mentor); Delft University of Technology (degree granting institution)","2023","The Netherlands is a country with a history of human activity along the coast to ensure water safety. In the 1990's a new approach to coastal management was adopted that allowed for more experimentation in the Dutch dunes. Since then a slew of different types of projects have been undertaken, one of which is the construction of foredune blowouts. Foredune blowouts are gaps or indentations in the dunes from which bare sand can erode due to the wind. The motivation for the creation of constructed foredune blowouts can range from wanting to reintroduce gradients back into the landscape to ecological restoration, or water safety.
Over the years different construction methods and designs have been created, however the evaluation of these projects has been difficult in the past due to broadly defined goals and limited monitoring data. The aim of this research is to investigate the potential of constructed foredune blowouts have in achieving water safety and preserving natural values in the Netherlands by modeling constructed foredune blowouts and evaluating the effect of various design aspects.
Insight into the effects of different designs of foredune blowouts is gained through the use of a modeling study that is set up in AeoLiS, a supply-limited aeolian sediment transport model. Different combinations of width, orientation and number of foredune blowouts are simulated in a stretched profile of a section of the Dutch Coast, leading to thirty two simulations. Additionally three alternate methods of implementing foredune blowouts as well as a scenario without a foredune blowout are simulated.
Model results show a pattern of erosion in the intertidal range and deposition along the dunefoot with limited sediment traveling from the beach through the foredune blowout. There is a clear pattern of erosion and deposition along the erosional walls of the foredune blowout and a limited development of a depositional lobe behind the blowout. Simulation of a foredune blowout induced through the removal of top soil and vegetation exhibits similarities with observations in the field.
Constructed foredune blowouts offer a method of creating areas of bare sand which can create space for ecological succession in the vicinity of the blowout. The sand that is removed may also be used to reinforce weaker areas of the dune row. They can offer a diverse looking landscape if that is desirable. However model results do not indicate that constructed foredune blowouts facilitate additional growth of the dunes. The changes in bed level in the model are primarily a redistribution of sediment already situated within the primary dune row.
In this project, a workflow method integrating generative AI has been developed. This versatile approach can be applied to a variety of body shape-related design tasks. For instance, designers can leverage this method effectively to generate design inspirations, enabling them to establish detailed design themes and goals more efficiently. Building upon this workflow, a new tool named DINED AI has been specifically designed to offer a more user-friendly and guided approach to accomplish these tasks. Although DINED AI is currently in the form of functional prototypes, both the user interface and back-end technology have been individually crafted to provide a realistic demonstration of its capabilities.
Why
With the rapid advancements in large language models, integrating AI technologies has emerged as the prevailing trend in product development. The aim of this project is to explore the potential of creating an AI-powered platform for DINED. DINED is renowned for its specialized expertise in anthropometry, which allows for the generation of realistic data models to aid in the design of ergonomic products. Leveraging this distinctive capability of DINED, and under the guidance of the mentors, this project focuses on the design of clothing, a widely recognized product that is intimately connected to the human body shape's form. Additionally, a streamlined workflow method will be developed to facilitate the design process.
How
The project is centered around leveraging technological advancements by implementing Stable Diffusion, LoRA, and ControlNet as crucial components. Stable Diffusion is a well-established text-to-image algorithm, while the LoRA model effectively refines Stable Diffusion using a limited number of images in the training dataset. On the other hand, ControlNet possesses the unique capability to extract specific control elements from the 3D mannequin generated by DINED, thereby enabling enhanced control over the resulting images.
In order to ensure a seamless user experience, the project integrates these aforementioned technologies into a cohesive and streamlined workflow. Each step of the process is accompanied by comprehensive guidance, empowering users to effortlessly navigate the system and fostering a coherent user experience. Furthermore, the project underwent evaluation through expert interviews, further validating its effectiveness and potential impact.","Design workflow; Human-AI interaction; Design tool; Anthropometry tool; Human body shape; Human factors tool; DINED","en","master thesis","","","","","","Online video link for prototype showcase: https://genny.lovo.ai/share/58e64f54-23a7-45c9-91b6-38ca9e8cb005 / Online prototype linkhttps://www.figma.com/proto/JpFBDxB6vhqJZjLeyQMsfE/DINED-WED-UI?page-id=773%3A23424&node-id=28-109&scaling=min-zoom&starting-point-node-id=773%3A23470&show-proto-sidebar=1&mode=design&t=XPHkEFRdsfZ3Wbd8-1","","","","","","Design for Interaction","",""
"uuid:e49c84c3-c470-4d68-ac21-069d13a76498","http://resolver.tudelft.nl/uuid:e49c84c3-c470-4d68-ac21-069d13a76498","Dielectric Metasurface Designs for Surface Enhanced Raman Scattering with Large Distances between Nanoparticles","Dekker, Jeroen (TU Delft Mechanical, Maritime and Materials Engineering)","Rieger, B. (mentor); Gerini, Giampiero (graduation committee); Delft University of Technology (degree granting institution)","2023","In this thesis new dielectric metasurfaces in immersion were explored with a periodic distribution of unit cells with multiple silicon cylinder structures on a reflective gold slab with a fused quartz spacer in between. These new metasurfaces were designed with 60 nm and 130 nm gaps in order to improve manufacturability and enable larger particles to enter the hotspot region while trying to maintain high electric field enhancement and enhancement factors. This was done by simulating the plane wave excitation in a range of 750 nm to 850 nm for a large number of metasurfaces using electromagnetic modelling software Lumerical using its finite difference time domain method. After exploring multiple silicon cylinder structures the focus was put on periodic metasurfaces with unit cells containing single, dimer, and quadrumer positioning of cylinders and enhancement factors of between 500-2000 were found.
Afterwards the found fields for new promising designs were used in an optical trapping algorithm were enhancement factors for 10 nm particles were found between 10^4-10^5 and for 40 nm particles between 10^3-10^4.
The effect of exciting a metasurface with circular polarization for quadrumer structures was thoroughly investigated but yielded no better enhancement factor than previous dimer designs. A new optical trapping scheme where the laser intensity is increased near the end of optical trapping is proposed to give slight improvement of enhancement factors after trapping. Overall, the limitations of the electromagnetic simulations and optical trapping algorithm makes it difficult to assume the enhancement factors found are realizable in experiment. These limitations need to be addressed before any conclusions can be made on whether immersion SERS offers any advantages over dry SERS in the case of dielectric metasurfaces.","SERS; Dielectric; Raman Scattering; Dimer; Quadrumer; Circular polarization; Immersion; Optical trapping; Lumerical","en","master thesis","","","","","","","","","","","","Applied Sciences","",""
"uuid:901db179-1666-434a-94b4-2f350356781a","http://resolver.tudelft.nl/uuid:901db179-1666-434a-94b4-2f350356781a","Backward Filtering Forward Guiding for Finite-State Space Models with Expectation Propagation","Brus, Daniël (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Statistics)","van der Meulen, F.H. (mentor); Vuik, Cornelis (graduation committee); Söhl, J. (graduation committee); Delft University of Technology (degree granting institution); KTH Royal Institute of Technology (degree granting institution)","2023","In many fields we are interested in inference for a complex stochastic process given limited observations regarding its state over time. This thesis therefore introduces an expectation propagation approach to backward filtering forward guiding for high-dimensional finite-state space models. The backward filtering forward guiding method is first derived for such models with a specific emphasis on the temporal dynamics, after which factorised guiding terms which exploit the inherent structure of the latent state space are introduced.
Performance of the method is assessed by comparing numerical results for statistical inference of a Susceptible-Infected-Recovered example problem. The expectation propagation approach performs comparably with existing methods in a particularly simple setting where state variables are observed individually, and performs very well in a more difficult setting which the familiar methods can not deal with. We conclude that a more advanced treatment of the approximate likelihood filtering phase may be warranted in such complex settings.
The research summarised in this work provides a first effort towards the development of more general expectation propagation based backward filtering procedures for other types of high-dimensional sequential data models. The work additionally elucidates connections between backward filtering with backward marginalisation and alternative approximate likelihood filtering procedures, suggesting multiple avenues for future research.","parameter inference; expectation propagation; approximate filtering; state space model; dynamic Bayesian network; guided process; bacwkard filtering forward guiding","en","master thesis","","","","","","","","","","","","Computer Simulations for Science and Engineering (COSSE)","",""
"uuid:4263c6af-bdc4-4def-86fa-8ac514cadb6b","http://resolver.tudelft.nl/uuid:4263c6af-bdc4-4def-86fa-8ac514cadb6b","Dynamic Tidal Power: Spatial analysis and feasibility study","Verhoeven, Thijs (TU Delft Civil Engineering & Geosciences)","Antonini, A. (graduation committee); Kuiper, C. (graduation committee); Wüthrich, Davide (graduation committee); Rijnink, A. (mentor); Delft University of Technology (degree granting institution)","2023","In 2008, a new concept to extract tidal energy was introduced called Dynamic Tidal Power (DTP). In this concept, the natural tidal wave propagating along a coastline is blocked using a long dam, inducing a water level difference between both sides of the dam. This water level difference, called the hydraulic head, can be exploited by placing turbines inside the dam. This is a promising concept, but the feasibility and suitable locations for DTP are largely unknown yet.
This study aimed to identify locations that have the highest potential to implement the DTP concept. By investigating the feasibility criteria and their influence and translating these criteria to spatial analysis, geographic regions with high potential were identified. Additionally, linkage opportunities were assessed and identified to possibly improve the feasibility of DTP.
Based on a sustainable feasibility study framework, the key aspects can be categorized into three main categories; technical, economic and social-ecological feasibility. These aspects were translated into criteria, whose influence was investigated using a hydraulic model. Considering the technical criteria, the tidal flow velocity proved to be more influential on the feasibility than the depth, finding weighting factors of 0.87 and 0.13, respectively. In order to define a threshold of the feasibility of DTP, the technical and economic criteria were combined by assessing the upper threshold of the Levelized Costs Of Energy (LCOE) of 0.1 €/kWh to find a minimum required average flow speed of 0.63 m/s. This threshold was used in the spatial model. The island linkage opportunity proved to be highly valuable. Connecting an island increases the effective blockage length, resulting in a hydraulic head and power output increase with approximately the same dam construction costs. This lowers the mean tidal current speed required for feasibility to 0.39 - 0.57 m/s.
The spatial analysis used a Multi-Criteria Weighted Overlay Analysis (MCWOA) approach, combining datasets and weighting factors for each criterion to find a total Potential Index (PI) score. In total 41 potentially feasible locations for DTP were identified. The geographic regions with the highest potential to implement DTP are the Yellow Sea, the seas in Southeast Asia, and the seas of Oceania. This is due to a combination of high tidal current velocities, relatively modest water depths and a good combination of secondary criteria (energy usage, population size & low shipping disruption). A high share of the island linkage opportunity was found in the locations with the highest potential (44%). It is recommended to investigate whether additional island linkage opportunities can be identified, by lowering the tidal current velocity threshold in the spatial model. Additionally, 233 locations were discarded, mostly due to their remote location. However, since these locations are technically feasible, it is recommended to investigate the possibility of transmitting the energy over long distances using Ultra High Voltage Direct Current transmission lines and study the total feasibility of DTP at these remote locations.
This study assessed the feasibility of DTP and identified that the Yellow Sea, the seas in Southeast Asia, and the seas of Oceania have the highest potential for a DTP project. Additionally, it provided new insights regarding the influence and thresholds of certain influential criteria, and it presents a hydraulic model and GIS tool that can be adjusted based on the preference of the user. These findings could be useful in future research on the DTP concept.","Dynamic Tidal Power; Spatial analysis; Feasibility; Tidal Energy","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:f6a43470-c801-4c12-8001-d4936847c77d","http://resolver.tudelft.nl/uuid:f6a43470-c801-4c12-8001-d4936847c77d","Effective support set selection for few-shot detection of floating marine debris","Gül, Dilge (TU Delft Aerospace Engineering)","Vanhamel, J. (mentor); Delft University of Technology (degree granting institution)","2023","Marine litter, particularly plastic debris, poses a significant environmental challenge globally. Detecting floating debris in the marine environment using satellite remote sensing remains a complex task due to the limited availability of high-resolution data and the coarseness of existing datasets. This study explores the potential of active few-shot meta-learning for improving the detection of marine debris. The results demonstrate that active learning methods incorporating uncertainty-based sampling, such as entropy and query by committee, outperform other strategies in terms of recall and average precision. Yet, diversity-based methods are found to be limited by the poor representativeness of the feature space used for clustering samples. Additionally, the study highlights the influence of regional characteristics on detection performance and the impact of class imbalance on active learning strategies. To further enhance marine debris detection, future research directions are identified, including training meta-models specifically on marine debris data and tuning decision thresholds. The suggested methodology shows promise for enhancing the efficiency of remote sensing-based monitoring of marine debris, thereby assisting environmental management and conservation efforts.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:15c93c60-b1f3-4710-a66b-19b0266a5ac1","http://resolver.tudelft.nl/uuid:15c93c60-b1f3-4710-a66b-19b0266a5ac1","A climate proof water buffer for South Holland: Bringing back history in the future's landscape","Kramer, Coen (TU Delft Civil Engineering & Geosciences)","Mostert, E. (mentor); Hoes, O.A.C. (mentor); Hooimeijer, F.L. (mentor); Delft University of Technology (degree granting institution)","2023","The Province of South Holland is conducting a heritage project to explore the historical significance of barge canals and their future role in a changing climate. This thesis aims to address the water challenges posed by increased floods and droughts caused by climate change through the implementation of a water buffersystem. The buffer should allow the region to serve as a drainage during storms and retain water during droughts, considering the projected climate conditions in 2100. The study focuses on a large case study area, the heart of South Holland, which is enveloped by barge canals and divided into three water boards. A water balance model, calibrated using existing pump time series, is utilized to simulate water flows at the polder level. The model demonstrates sufficient accuracy for predicting larger spatial and seasonal scales within the jurisdiction of the water boards. Future conditions are simulated in the model by incorporating KNMI scenarios. However, the scenarios underestimate the occurrence of droughts, resulting in an underestimation of polder inlet and an overestimation of outlet.
An above-average extreme climate change scenario is used to compensate for these biases. Combining the model output with long term statistical storm and drought forecasts, this leads to a more realistic buffer design capacity. If the reservoirs can be operated as prescribed, the primary hydrological purposes of the natural water buffers can complement each other. The first criterion consists of the buffering of the increased inequality of net inflow distribution throughout an average expected year, for which 20 million m3 with an additional top layer of 115 mm would be necessary. The second criterion entails the draining of the increase of intense precipitation events. 7.5 million m3 would be necessary to drain the extra precipitation of a 1000 year return period storm event. Thirdly, the buffers should be able to provide water, compensating for the aggravation of drought conditions. 34 million m3 should suffice to compensate for the aggravation of droughts with a return period of 2 years and longer. As the criteria are conditionally compatible, 34 million m3 is the net minimum required buffering capacity for the center of South Holland.
The final optimal buffering strategy entails the realization of a large buffer in the Noordplaspolder, connecting the Rotte and the Hoogeveensche Vaart, and of a smaller buffer in Schieveen. It also requires the expansion of the water reservoirs in the Eendragtspolder and Berkel. The larger buffer will feature a deeper canal, permanently submerged, linking the Rotte and the Hoogeveensche Vaart, which in turn will connect to the nearby boezem water network. The Noordplaspolder and Eendragtspolder will buffer the area of the two water boards Rijnland and Schieland and the Krimpenerwaard that are inside the case study area, Schieveen and Berkel will buffer Delfland. The Schie and the Vliet will play important roles as water carriers between Delfland and its neighboring water boards and the Nieuwe Maas. This strategy not only addresses water management but also offers recreational, historical, and ecological opportunities. It revives the functions of old barge canals, the Vliet and the Schie, and restores the connection between the Rotte and the Hoogeveensche Vaart, adding to the solution's historical value.","Buffer; Climate proof; South Holland; Buffering strategies; Natural water buffer; Water system robustness; Water board; Barge canals; Heritage; Climate scenario; Rippl diagram; Water balance","en","master thesis","","","","","","","","","","","","Civil Engineering","Erfgoed Onthuld","52.047403, 4.542149"
"uuid:64893f4a-31e3-4e67-a1e0-6c21a17183b6","http://resolver.tudelft.nl/uuid:64893f4a-31e3-4e67-a1e0-6c21a17183b6","Understanding the environmental impact of product returns in the fashion industry: The development of a Composite Indicator to provide insights into the environmental and economic performance of product returns for fashion companies","Veen, Tijn (TU Delft Civil Engineering & Geosciences)","Vleugel, J (mentor); Beelaerts van Blokland, W.W.A. (graduation committee); Negenborn, R.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Purpose - With the ongoing rise of product returns in the fashion industry, exacerbating its GHG footprint when it should be lowered, this paper aims to provide a measurement tool for companies to improve the environmental impact of product returns while considering the economic costs. Companies do not have an understanding of the impact of these returns on their performances, resulting from a gap in research where an accurate measurement method lacks that includes all activities and return methods.
Design/methodology/approach - The returns process and the return methods were analyzed using a literature study and interviews with experts, resulting in a set of environmental and economic indicators. These indicators were aggregated in a Composite Indicator (CI) by rescaling, analytical hierarchy process, and multiplicative aggregation techniques. A benchmark for target performance was developed based on reduction targets of the European Commission, using rescaling, equal weighting, and multiplication and integrated into the CI. An uncertainty- and sensitivity analysis confirmed the model’s robustness. A case study of a fashion retailer verified the model. A scenario analysis based on the PESTLE framework was used to validate the CI and benchmark. A company’s performance measured was compared against the verified benchmark by calculating the performance gap.
Findings - The environmental and economic impact of the return methods for the base case was measured. The impact of the return methods was compared against the benchmark, which shows that home pick-ups have the smallest performance gap with the benchmark for this retailer. Instore returns have the largest gap with the benchmark, indicating worse company performance and lower compliance with the EC targets. The scenario analysis showed how companies could use this CI to compare return improvement strategies with each other and provided potential solutions for companies to improve their performance.
Research limitations/implications - This research provides a tool for fashion companies to measure, analyze, and improve the environmental impact of returns on their performances with EC reduction targets while considering their costs and providing transparency. Customers and governments are provided with transparent information on what- and how a company measures the environmental impact of returns. Governments can use the CI and benchmark proposed in this paper as a transparent tool for environmental reporting and compare the performances of companies sector-wide. For academics, this research provides multiple research opportunities.
Originality/value - This paper contributes by creating a new CI for company performance measurement for fashion companies on the environmental and economic impact of product returns. A new measurement method that assesses the environmental and economic impact of the return activities is developed and gives insight into the differences between the return methods. Also, a new benchmark expressing target performance is developed and incorporated in this CI based on reduction targets of the EC to combat climate change.","Composite Indicator; Performance measurement; Product returns; Fashion industry; Benchmarking; Environmental impact","en","master thesis","","","","","","","","2023-07-11","","","","Transport, Infrastructure and Logistics","",""
"uuid:6c5053ee-0953-434b-893f-1cff691f20f5","http://resolver.tudelft.nl/uuid:6c5053ee-0953-434b-893f-1cff691f20f5","Strategic Marketing of Traditional Turkish Gastronomy in the Netherlands","Bayraktaroğlu, Yusuf (TU Delft Industrial Design Engineering; TU Delft Delft University of Technology)","van Boeijen, A.G.C. (mentor); Hebbink, T.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This report explores the role of culture in branding and the potential for brands to contribute to integration within diverse societies. By examining the cultural dynamics in the fast-food sector of Den Haag, the study identifies the prominence of conservative Islamic Turkish subculture and its impact on branding strategies. The research reveals that while many Turkish fast-food restaurants emphasize their conservative Muslim identities, they often neglect marketing and overall restaurant conditions, potentially undermining their brand equity. In addition, market research highlights the expectations of international Dutch culture, including concerns about health aspects and a preference for greater variety and healthier choices. Based on contextual research, demand research, and an examination of traditional Turkish gastronomy, the report suggests that branding the Turkish dish 'Midye Dolma' could effectively target international Dutch culture and foster better integration while highlighting the brand's traditional Turkish roots to impact conservative Islamic Turkish subculture. The brand's purpose is defined as promoting better integration, with a brand personality characterized as delicious, sustainable, and wholesome. To launch the brand, a campaign is planned around the key message of 'come whoever you are,' addressing integration, inclusion, diversity, and showcasing the brand's versatility. The report emphasizes the extensive cultural work invested in the brand's development, positioning it to become an iconic representation with significant potential for successful integration in a diverse society.","Culture Sensitive Branding; Brand Building; Circuit of Culture; Commercialization; Market Research; Entrepreneurship in Action","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:bf64cf3f-228a-474c-ab37-03ede59c3d4c","http://resolver.tudelft.nl/uuid:bf64cf3f-228a-474c-ab37-03ede59c3d4c","The lignocellulose binding phenomena and interlaminar fracture toughness of living Ganoderma lucidum","Spoelstra, Linde (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Materials Science and Engineering)","Masania, K. (mentor); Bessa, M.A. (mentor); Gonzalez Garcia, Y. (graduation committee); Filonenko, G.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Mycelium based composites (MBC) have revolutionised the field of material production, where a living fungus is employed to overgrow and bind lignocellulosic substrate materials together. Their bio-based nature, low embodied energy, and biodegradability, mark their great potential to reduce the increasing pressure conventional materials put on the environment. Unfortunately, the material's applications are limited due to its low mechanical properties, that are equivalent to those of foams or natural fibre boards. Furthermore, where the material is primarily used and studied in its heat-treated non-living form, harnessing the biological power of the fungus shows to give the material self-healing and sensing capabilities. The required hydrolysed state is however shown to further decrease its mechanical properties, and yet hardly evaluated in literature. Especially the mechanics of the mycelium-lignocellulose interface are inadequately studied, and important to evaluate for a broadening of the living composite material's applications.
In this study we present the development of a double cantilever beam (DCB) test according to ASTM D5528, setup to quantify the interlaminar fracture toughness (GI) of Ganoderma lucidum (G. lucidum) grown in between wood veneers. The data was evaluated using an analytical approach based on a decrease in the beams' compliance. The specimens were fabricated with the use of additive manufacturing, allowing precise control over the placement of the fungus and its provided nutrients. Its growth behaviour into the substrate was qualitatively assessed through optical microscopy and scanning electron microscopy (SEM), and its digestive ability on the surface by fourier-transform infrared spectroscopy (FTIR).
A mycelium-laden ink presented by Gantenbein et al. (2023) was reproduced with a 75% lower agar content to serve a stable source of mycelium on the DCB specimens [1]. A growth period between 3 and 4 weeks from the printed hydrogel was required for substantial mycelium-substrate binding, which stabilised after 4 weeks of growth. Furthermore, the provision of malt extract (ME) was required, but not needed to be higher than 5% of the ink's weight. The GI was in these conditions reported to be 1.83 J/m2 on hornbeam veneers, where a maximum value of 3.46 J/m2 was reached. Variable growth generated substantially different mechanical properties, which resulted in the lower GI of mycelium grown on beech and spruce samples, caused by the use of an older fungal inoculum of a different reference plate. A stronger binding on beech than spruce did suggest a more important role of the substrate chemistry than its density. The mycelium always showed cohesive failure, showing the low GI to not result from poor substrate adhesion, but rather from the mechanics of the hyphae network developed. Microscopy and FTIR evaluations showed the ability of G. lucidum to digest the hornbeam's lignin. The full depths of hornbeam and beech veneer substrates with 5 and 3 mm thickness were colonised, where vessel elements served as the main pathway for the hyphae.
This study was, to the best of our knowledge, the first to isolate the binding behaviour of a living mycelium in a mode-I loading condition. It thereby provides valuable new insights in the field of living MBC, and contributes to the further development of this advanced, eco-friendly, and living material. The setup can now be utilised to study the binding behaviour of different fungi on substrates of varying chemistry and porosity. Furthermore, aiming to elucidate the use of additive manufacturing in the composite production, this study opened up pathways into controlling the composite's properties in the future.
[1] Silvan Gantenbein et al. “Three-dimensional printing of mycelium hydrogels into living complex materials”. In: Nature Materials 22.1 (2023), pp. 128–134.","mycelium based composites; 3D printing; interlaminar fracture toughness; lignocellulose; engineered living material","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:f08923c5-d275-436b-8ef7-175f0241f6a1","http://resolver.tudelft.nl/uuid:f08923c5-d275-436b-8ef7-175f0241f6a1","Unsupervised Deep Learning-based Side-channel Analysis","Savu, Ioana (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lagendijk, R.L. (graduation committee); Batina, Lejla (graduation committee); Picek, S. (mentor); Delft University of Technology (degree granting institution)","2023","Side-channel attacks (SCA) play a crucial role in assessing the security of the implementation of cryp- tographic algorithms. Still, traditional profiled attacks require a nearly identical reference device to the target, limiting their practicality. This thesis focuses on non-profiled SCA, which provides a re- alistic alternative when the attacker lacks access to a profiling device. Specifically, we investigate non-profiled deep learning-based SCA techniques. Our evaluation first explores existing unsupervised deep learning-based side-channel analysis approaches: differential deep learning analysis (DDLA) and multi-output regression (MOR). We show that using a validation set for key distinguishing, rather than the training set, improves the overall success rate across various datasets.
In the context of multi-output regression SCA, we comprehensively evaluate different loss functions. The thesis proposes a novel approach that outperforms existing methods by employing the normalized Z-score MSE (Z-MSE) loss function. Additionally, we introduce two key distinguishing methods, one based on the smallest Z-MSE loss and the other on the highest Pearson correlation between actual and predicted labels during validation. The experimental results show the efficacy of the novel approach in breaking traces protected by countermeasures. Notably, even with high levels of desynchronization (250) for ASCADf traces, the attack succeeds within a limited number of epochs (15).
Moreover, we demonstrate that the performance of the novel approach can be further enhanced through ensembles. This leads to a reduction in the number of traces required to break the key for most datasets and a decrease in the average guessing entropy. Data augmentation also proves beneficial in some instances, resulting in improved success rates.","Side-channel analysis; Deep Learning; Unsupervised Learning","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:dc87c431-c092-4679-b4a5-eef3c387a34f","http://resolver.tudelft.nl/uuid:dc87c431-c092-4679-b4a5-eef3c387a34f","Estimating Transmembrane Currents and Local Activation Times from Atrial Epicardial Electrograms","Licurici, Teodor (TU Delft Electrical Engineering, Mathematics and Computer Science)","Remis, R.F. (mentor); Hendriks, R.C. (mentor); Cavallo, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Estimating the transmembrane currents travelling through the epicardium and local activation times based on atrial epicardial electrograms can greatly help in the study of cardiac arrhythmias such as atrial fibrillation. This work focuses on the accurate estimation of the aforementioned signals and features. To do this, two least squares-based regression methods were used to estimate transmembrane currents from electrograms and then find their local activation times by searching for the maximum negative slope. The first least squares optimization method consists of using standard least squares, while the second consists of regularized least squares, by combining both lasso and ridge regression, to deal with signal sparsity and multicollinearity, respectively. Furthermore, to improve estimation results, multiresolution analyses based on wavelet decompositions and principal components analysis were used to filter out parasitic components that were present in the estimated transmembrane currents by separating them from the main activation complex of the decomposed signals.
Using these algorithms on simulated data, it was shown that promising results can be achieved for both transmembrane current estimations and LAT estimations. Several wavelet support sizes were tested on the simulated data to observe performance changes. These were compared to an already existing LAT estimation algorithm. The results mainly confirm the efficiency of the proposed methods on severely diseased tissue corrupted by conduction blocks and noise.","atrial fibrillation; inverse problem; regression; Wavelet Transform; pca; transmembrane current; Local Activation Time","en","master thesis","","","","","","","","","","","","Electrical Engineering | Microelectronics","",""
"uuid:dc31c826-697d-42a4-aec3-e3fad3f83c9c","http://resolver.tudelft.nl/uuid:dc31c826-697d-42a4-aec3-e3fad3f83c9c","Wave Attenuation within the Slot of a Floating Structure","Holkema, Kalea (TU Delft Mechanical, Maritime and Materials Engineering)","Wellens, P.R. (mentor); Aalbers, Kees (graduation committee); Delft University of Technology (degree granting institution)","2023","In head seas, the offshore construction vessel Pioneering Spirit experiences wave amplification in the slot between its bows. Wave run-up results in a water jet at the closed end. To avoid potential damage of structures in the slot and improve operational safety, more insight into this phenomenon is needed. The aim of this research is to investigate under what circumstances water jets occur within the slot of a floating structure in waves and find suitable means for attenuation of this effect. The solution should not interfere with pipelay equipment in the slot and be detachable for when the slot is required for other purposes.
Literature research on wave damping solutions in moonpools, floating breakwaters, and fixed free surface breakwaters indicates that a plate type fixed free surface breakwater offers an elegant option for wave attenuation. However, limited published research exists on the optimal design of such plates and their application for preventing wave run up and water jets on adjacent structures. Therefore, this study evaluates simple designs to gain new insights.
A linear Boundary Element solver is used to find a simplified model of the slot in which large waves occur, in order to save computational time when using a nonlinear solver.
It follows that the assumption that the vessel does not radiate waves can be made, seen as the effect of radiation on the wave elevations in the slot is small. A simplified model of the hull that is found to experience similar linear wave effects has a box-like shape with a slope underneath the hull and oblique planes to recreate the wave amplifying effect of the slot.
The Computational Fluid Dynamics (CFD) solver ComFLOW is used to simulate two different sea states in which water jets occur in the slot of the simplified model. Seen as three-dimensional (3D) simulations require a significant amount of computational time and power and water jets also occur in a two-dimensional (2D) setting, the simulations are performed in 2D.
The wave attenuation mechanisms in terms of reflected, lost and transmitted wave energy are evaluated for each breakwater design as well as the mean net force on the breakwater.
The results show that a plate under an angle of 60 degrees has small wave transmission and reflection, induces large wave energy loss and experiences a small mean net force. Furthermore, this model prevents the most water jets in both sea states compared to other models.
The results also indicate a linear correlation between the transmission coefficients of breakwaters and their average reduction of the net force on the hull corresponding to the water jets. There is no direct link between the prevention of water jets and the transmission past breakwaters, seen as the occurrence of water jets depends on the phase and shape of the wave as well as the incident wave height.
Further optimisation of the geometry of the 60 degree inclined plate and addition of porosity and appendages is recommended to increase energy dissipation and reduce forces on the breakwater. Additionally, future research should explore the relationship between transmission coefficients and the occurrence of water jets and also consider other factors beyond wave height that contribute to jet formation such as phase and the shape of the wave.","","en","master thesis","","","","","","","","2025-07-07","","","","Marine Technology","",""
"uuid:146b06aa-6f18-4da7-8558-47a064ee8480","http://resolver.tudelft.nl/uuid:146b06aa-6f18-4da7-8558-47a064ee8480","Expressing Intent: An evaluation of the Arm Machine Readable Specification","Kloppenburg, Jeroen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Chakraborty, S.S. (mentor); Langendoen, K.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","The behaviour of software is intrinsically linked to the hardware it runs on. As hardware innovations continuously change the landscape of computing, software has to adapt to these changes. Running legacy software on new hardware requires either the old hardware to be emulated, or a very time-consuming and error-prone process of reverse engineering the software to determine its behaviour, and then writing new software that has the same behaviour, but runs on the new hardware. Binary lifting and translation tools aim to automate this process, but are often limited by the lack of accurate and complete instruction semantics.
This project aims to evaluate the feasibility of using the Arm Machine Readable Specification to aid in this process. The specification is a machine-readable description of the Arm architecture, including self-proclaimed ""executable"" instruction semantics, written in a specification language called ASL. This project has created an emulator that can run Arm instructions on a non-Arm architecture, using the specification to determine the behaviour of the instructions. The emulator is able to run simple programs with little context, but is not yet able to run more complex programs, due to the lack of support for behaviour that depends on the specific hardware implementation, and context dependencies outside of the instruction set, such as operating system interactions.
This emulation based solely on the specification has demonstrated that it is a promising approach to reason about the behaviour of Arm programs, but it is not complete enough to be used for binary lifting and translation. The context dependency of executables, and the lack of implementation specific behaviour in the specification are the main reasons for this.","Specification; ARM; Binary Translation; Emulation; Formal specification","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:1028a586-a62c-4e91-bbde-b8dc0464c4dc","http://resolver.tudelft.nl/uuid:1028a586-a62c-4e91-bbde-b8dc0464c4dc","Amsterdam on foot: Designing a city-specific walkability index through a participatory approach","Cardoso Suter, Matias (TU Delft Architecture and the Built Environment; AMS Institute; Wageningen University & Research)","Milias, V. (mentor); Harteveld, Maurice (mentor); Delft University of Technology (degree granting institution); Wageningen University & Research (degree granting institution); AMS Institute (degree granting institution)","2023","Walkability, which refers to the extent to which the built environment encourages walking, has been linked to increased physical activity and improved health. While various studies have developed indicators to assess walkability, limited attention has been given to the influence of specific city characteristics on residents’ perceptions of walkability. Therefore, this study proposes the development of a context-specific walkability index for Amsterdam. Through a mixed methods approach, the study explores subjective viewpoints on what defines a walkable street and identifies the most significant walkability factors for this particular urban region. These factors are then incorporated into a weighted walkability index, which provides street-level scores.
The findings emphasize that walkability in Amsterdam is not a uniform concept, as individual walking behaviour is influenced not only by the environment but also by personal factors. The resulting walkability index underscores the importance of factors such as traffic safety, crime safety, pedestrian infrastructure, and proximity to amenities in shaping residents’ decisions to walk on specific streets.
This study highlights the significance of participatory approaches and the inclusion of individuals’ subjective views when evaluating walkability. By considering both objective indicators and subjective viewpoints, cities can develop more meaningful strategies to enhance walkability and create environments that promote active and healthy lifestyles.","Walkability; Participatory; Built Environment; GIS; Q-methodology; Active Mobility","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:083276b5-1580-482c-a36b-94576e15c2c2","http://resolver.tudelft.nl/uuid:083276b5-1580-482c-a36b-94576e15c2c2","Generative AI & Tangible Products: Human-AI Design of 3D-printed Lamps","LIAO, DINO (TU Delft Industrial Design Engineering)","Lomas, J.D. (mentor); Yu, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","New generative AI systems can make beautiful pictures, but can they help produce functional products? This graduation project investigated the potential for generative AI to aid the design of functional, 3D-printed lamps.
Two studies were conducted to assess the degree to which AI can measurably improve design outputs. An AI-based software system was developed to help designers explore the possibilities of lamp design. Output images from this system were used as inputs to generate 3D models, in an example of human-AI collaboration, then 3D models were then printed using four different 3D printing technologies.
Altogether, this work showcases the potential for AI to translate human imagination into physical products. Despite meaningful challenges in the process of 3D printing and 2D to 3D conversions, AI advancements signal a promising future for the design industry—and a need for new approaches to design education.","Artifical Intelligence; 3D printing; Lamp Design; prototyping; human-ai collaboration; Exploration; Generative AI; User Interface; product attachment; Skill Adaptation; AI","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:1489b961-9f01-4bf8-badf-4b2c725d7bc3","http://resolver.tudelft.nl/uuid:1489b961-9f01-4bf8-badf-4b2c725d7bc3","Challenges in Adoption of Haptic Technology the Case of SenseGlove","van de Ven, Pino (TU Delft Industrial Design Engineering)","Kleinsmann, M.S. (graduation committee); Coelen, J. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis report explores the integration of haptic technology in enterprise processes through the case of SenseGlove, a scale-up company based in YesDelft that produces Virtual Reality Gloves. The study aims to identify the potential barriers and requirements for the enterprise’s clients to adopt haptic technology and integrate it into their company processes. The research also investigates potential markets for SenseGlove's products, with a particular focus on the online meetings market.
Initially, the researcher intended to design a new use case for SenseGlove products. However, further research revealed that numerous ideas for haptic glove applications already existed. Recognizing the company's vision to enter the consumer market and become the mouse and keyboard of the future, the researcher decided to further investigate what could be a way for SenseGlove to accomplish this. Drawing upon the concept of prosumer products, exemplified by smartphones and 3D printers, the study explored four potential markets, ultimately selecting the online meetings market as the most promising through the application of Blue Ocean Theory.
To assess the potential of haptic technology in online meetings, the researcher conducted an interview with a professional experienced in online and offline meetings and performed additional observational research. The findings, combined with an examination of market factors such as price and virtual/augmented reality, implied that it was not currently advisable for SenseGlove to enter the consumer market. The main argument supporting this vision is that peripheral products like haptic technology heavily rely on the development of the AR and VR markets, which have not yet had a breakthrough in the consumer market.
A pivotal aspect of the thesis involved an internal analysis of SenseGlove’s Strengths, Weaknesses, Opportunities and Threats , uncovering an important issue: very few, if any, of the current clients integrated SenseGlove's product into their company processes. This discovery led to the formulation of the research question: ""What needs to happen for enterprise clients to start integrating haptics in their company processes?"" To explore this question, the researcher employed grounded theory, conducting qualitative research through interviews with 15 of SenseGlove’s clients. By analyzing these responses a comprehensive list of 15 arguments was created, detailing the necessary product improvements for SenseGlove’s clients to embrace their product. These arguments were subsequently clustered into three categories: immersiveness, usability, and costs versus benefits.
The final chapter of the thesis presents strategic recommendations in the form of a future roadmap for SenseGlove. This roadmap consists of three steps; step one focuses on encouraging adoption of the product, step two outlines strategies for establishing SenseGlove as the industry standard in the business-to-business haptics market, and step three explores entering the consumer market with a product specifically tailored for online meetings.
The findings of this thesis provide valuable insights for SenseGlove's future direction and development. By addressing the identified barriers and incorporating the recommended roadmap, SenseGlove can enhance its product offering, increase integration with enterprise clients, and potentially position itself as a leader in the haptic technology market.","Haptics; Roadmap; Adoption; Grounded Theory; Start-up; Scale-up; Virtual reality; Augmented Reality; Haptic Gloves","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:b92b44be-91f6-4722-ada8-46f9be573f7b","http://resolver.tudelft.nl/uuid:b92b44be-91f6-4722-ada8-46f9be573f7b","Automated control beyond the limits of friction: A nonlinear model predictive approach for with production vehicle experimental verification","Meijer, Stan (TU Delft Mechanical, Maritime and Materials Engineering)","Shyrokau, B. (mentor); Bertipaglia, A. (graduation committee); Delft University of Technology (degree granting institution); BMW Group (degree granting institution)","2023","Abstract—This paper proposes a Nonlinear Model Predictive Control (NMPC) application for automated drifting, with exper- imental verification on a standard production vehicle, without hardware modifications. The controller stabilizes the vehicle on a high sideslip angle, which implies an equilibrium condition beyond tyre friction limits. The proposed control strategy shows feasible results that succesfully brings the vehicle towards a high sideslip state, for a variety of drifting scenarios. Simulations show that the control structure is able to sustain an automated drift along a desired path, with maximal lateral path deviation of 1 meter. An experimental implementation on a production vehicle testbench without hardware modifications has shown feasible control of bringing the vehicle into a high sideslip state, for both low- and high μ situations.","NMPC; Drifting; drift equilibria; steady-state drifting; path-following; OCP","en","master thesis","","","","","","","","2025-07-11","","","","Mechanical Engineering | Vehicle Engineering","",""
"uuid:7a539828-c953-43c8-a8b0-6cc792d47852","http://resolver.tudelft.nl/uuid:7a539828-c953-43c8-a8b0-6cc792d47852","Risk Management Framework for Parachute Mortars on Sounding Rockets: Increasing the safety and functional performance of the parachute mortar","Menting, Esmée (TU Delft Technology, Policy and Management)","Yang, M. (mentor); Pesch, U. (mentor); Delft University of Technology (degree granting institution)","2023","The executive summary offers a concise overview of the thesis research conducted, focusing on enabling risk management for parachute mortars on sounding rockets from a socio-technical perspective. The study aimed to address safety and performance risks associated with these systems and bridge the gap between theoretical risk management methods and practical applications.
The research was initiated with a comprehensive review of the relevant literature, which identified several gaps in the existing knowledge. These included a lack of detailed coverage on risk identification, assessment, and evaluation in case studies, limited information on parachute mortar systems specific to sounding rockets, and a lack of application of socio-technical systems to technical subsystems in spaceflight. The primary objective of this study was to develop a comprehensive risk management guideline specifically tailored for parachute mortar systems, integrating a socio-technical systems approach. The guideline aimed to be grounded in conventional risk management practices while being validated through a practical case study to ensure its feasibility. Throughout the research, various results were obtained. The evaluation of conventional risk management methods, including ISO31010, industry practices and socio-technical views, led to the initial design of the risk management approach. This approach was then applied to a case study involving the DARE mortar, allowing for the reflection on each method’s effectiveness. Key risks identified were
related to the carbon fibre reinforced polymer (CFRP) canister of the mortar system and risks of underperformance due to pressure leaks in the system during flight. These critical risks were successfully reduced through redesign and testing activities in the risk treatment phase of the case study. The final Risk Management Guideline was developed by incorporating the lessons learned from applying the approach to the case study. By applying the developed framework to a real-world scenario, this research went beyond theoretical considerations and demonstrated the practical applicability of the socio-technical system approach in mitigating risks associated with parachute mortars on sounding rockets. The combination of a practical
case study, a socio-technical approach, and risk management on sounding rocket subsystems is considered novel and has the potential to advance risk management activities in this domain.
The research also identified several future research directions. These include performing more case studies on smaller technical subsystems using a socio-technical systems approach, exploring and establishing consensus on definitions and boundaries of socio-technical systems, integrating qualitative results of human reliability analysis with risk management methods, and defining objective methods for
risk evaluation and establishing risk acceptance criteria. Overall, this thesis research contributes to the field of risk management by addressing the unique challenges
of parachute mortars on sounding rockets through a socio-technical systems perspective. The developed risk management guideline, validated through a practical case study, provides valuable insights and practical applications for mitigating risks in this specific context. It is anticipated that this research will facilitate further advancements in risk management activities for parachute mortars and socio-technical systems while also having the potential to be applied to other sounding rocket subsystems.","","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:7186c978-1f4f-4207-a391-1541a3f8dfd3","http://resolver.tudelft.nl/uuid:7186c978-1f4f-4207-a391-1541a3f8dfd3","Heuristics for a facility location problem with a quadratic objective function","Schmidt, Malena (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Delft Institute of Applied Mathematics; TU Delft Discrete Mathematics and Optimization)","van Essen, J.T. (mentor); Singh, Bismark (graduation committee); Fokkink, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Facility location problems are an important set of problems within the field of optimisation. These problems consider which facilities to open out of a set of possible facilities and how to assign users to the open facilities. Most of the facility location problems studied have a linear objective. In this thesis, we consider a facility location problem with a quadratic objective, the Balanced Facility Location Problem (BFLP). This problem, and facility location problems in general, quickly becomes difficult to solve for standard MIP solvers as the input size increases. The difficulty of this problem is further supported by it being a NP-hard problem.
Hence, we develop three heuristics for the BFLP: two greedy heuristics and one local search heuristic. These heuristics are adapted from heuristics used for the standard Capacitated Facility Location Problem (CFLP).
The idea behind the first greedy heuristic is to close one facility at a time, starting with all facilities being open, until we have as many facilities open as the budget constraint of the BFLP dictates. At each step, the best facility to close is closed. Apart from adapting this heuristic to accommodate the slightly different constraints of the BFLP, we also make some further adjustments in order to reduce the running time.
The second heuristic that we adapt is a heuristic that instead of closing facilities one at a time, opens facilities one by one, until we reach the budget of open facilities that the BFLP allows. These simple heuristics perform very well in practice on both small and large instances of the BFLP.
Lastly, we discuss a local search heuristic, which attempts to improve a solution to the BFLP by opening one facility and closing another facility at each iteration. The local search only improves marginally upon the results of the greedy algorithms.
For use within the heuristics for the BFLP, we require fast heuristics to solve a subproblem of the BFLP, the problem of assigning users to facilities where the set of open facilities is fixed. Hence, we develop three heuristics for this subproblem and also adapt a previously developed heuristic to be optimised for its use within the BFLP heuristics.
Our heuristics achieve results that are similar or better than what a MIP solver achieves and find a good solution in significantly less time. Especially when the MIP solver struggles, due to the size or limited capacity of the BFLP instance, our heuristics are able to outperform the MIP solver.","Facility location problem; MIP; Heuristics; Optimisation","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:11121d35-5392-4d94-9855-d0afb7fdf885","http://resolver.tudelft.nl/uuid:11121d35-5392-4d94-9855-d0afb7fdf885","Designing a sustainability strategy for BEAT Cycling Clubs Professional Team","van Schie, Twan (TU Delft Industrial Design Engineering)","Bakker, C.A. (mentor); Bluemink, R.G.H. (mentor); Delft University of Technology (degree granting institution)","2023","Though cycling as a transportation method is widely seen as a sustainable method, professional cycling and racing are not. Though the first calls for sustainable action are made and the first efforts start to appear, not much has changed yet in the complex world of professional cycling.
This report describes the design of a sustainability strategy and plan for BEAT Cycling Club, a continental cycling team. As an innovative organisation that was founded to break through the status quo in professional cycling the sustainability journey fits BEAT. As one of the first teams taking sustainability seriously, the strategy helps BEAT to increase the quality of their sustainability actions beyond the ‘low-hanging fruits’ they have been tackling already.
The difficulty of starting a sustainability project is tackled by using the systemic design framework of the Design Council (2021) to guide innovation with a complex system like sustainability. The internal feeling of responsibility for sustainability of BEAT Cycling Club can be tackled by designing a strategy through a continuously diverging and converging process.
To help understand the sustainability context for BEAT Cycling Club and to guide the direction of the strategy, a (fast-track) life-cycle analysis is conducted. The results of this analysis are a set of emission hotspots: the actions and areas where most of BEAT’s emissions and environmental impact occur. These hotspots are used to further shape a strategy to tackle them.
This strategy is built through the design roadmapping methodology, resulting in a strategic and tactical roadmap. These are based on three horizons in which the role for BEAT in sustainability changes. These roadmaps are substantiated with a playbook that guides BEAT through the strategy and provides more detailed information about the steps that need to be taken. The playbook and roadmaps are not only strategic assets but are also important for BEAT to communicate their strategy with partners and other stakeholders.","Sustainability; Strategy; Cycling; Roadmap; Playbook; Professional Cycling; LCA","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:9923313d-45c9-4af6-b800-98cfe28aab12","http://resolver.tudelft.nl/uuid:9923313d-45c9-4af6-b800-98cfe28aab12","Determining Minimal SWAP Operations for the Qubit-Mapping Problem using Quantum Information Theory","Szkudlarek, Sacha (TU Delft Applied Sciences)","Feld, S. (mentor); Steinberg, M.A. (mentor); Bandic, M. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis presents a novel formulation to study the qubit-mapping problem (QMP). The presented for- mulation redefines the problem in terms of density matrices which represent the quantum algorithm and the underlying architecture—allowing the implementation of techniques from quantum information theory to es- tablish a bounded metric space for comparing these density matrices. The main contribution of this thesis is implementing this formulation in an algorithm to determine the minimal bound on the required number of SWAP operations for a pairing of a quantum algorithm to an underlying device where the initial mapping has been provided. Benchmarks have shown a clear dependence on the β-value. Emphasising the need for future investigations of this dependence to enhance the algorithm’s effectiveness for more extensive algorithms and architectures. While it is essential to acknowledge that the approach may not currently rival the state of the art.","Quantum mapping; qubits; Quantum compilation; Graph theory; Quantum information theory","en","master thesis","","","","","","","","","","","","Applied Physics","",""
"uuid:7c9447f5-0fd0-42f6-a07e-c1b33d1e1f9b","http://resolver.tudelft.nl/uuid:7c9447f5-0fd0-42f6-a07e-c1b33d1e1f9b","Development of a quasi-static simulation methodology for a damage tolerance assessment of impact damage in bonded CFRP structures","Skarka, Michał (TU Delft Aerospace Engineering)","Teixeira De Freitas, S. (mentor); Castro, Saullo G.P. (graduation committee); Pascoe, J.A. (graduation committee); Peeters, D.M.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The concept of lightweight design is driving future aircraft to exploit all the available strength of materials and further reduce the weight of an aircraft leading to lower fuel consumption and more sustainable aviation. Current designs introduce rivets and bolts to join the structure and simultaneously create holes in the pristine material, which is not wanted due to the local stress concentration. If the design wants to exploit all the strength of the material, stress concentration should be avoided using suitable joining technologies that don't require holes in the structure. This is possible with the adhesive bonding technology. However, many factors, such as the effects of manufacturing and impact-induced damage are still not fully understood. Thus, the damage tolerance of the design cannot be guaranteed. This results in conservatory safety factors being prescribed in the design process, which possibly reduces the exploitation of all available material strength.
The occurrence of barely visible impact damage (BVID) in aircraft composite structures, especially in adhesive joints, is a serious issue that can jeopardise an aircraft's structural safety during operation. However, virtual testing and numerous numerical approaches allow high-fidelity simulation of damage initiation and propagation in adhesives and composite adherends to predict the residual strength of the bonding accurately. Although the development is fast, accurate impact simulation is still computationally very expensive and thus not applicable in industrial cases where behaviour needs to be analysed to assess the structures' design, maintenance and repair.
This thesis investigated two topics that allow robust and accurate simulation methods to assess the effect of manufacturing and impact damage on the residual strength of bonded joints. The first topic is related to the development of the composite material damage model, where the multiscale material model is created by the use of a representative volume element (RVE). The second topic of simulation methodology is the development of the quasi-static simulation approach for the damage tolerance assessment of bonded joints. Modelling approaches to simulate residual strength are investigated through the finite element modelling of three groups (i) pristine, (ii) artificially damaged during manufacturing, and (iii) impacted bonded joints. In the numerical models, an approach based on the observation of the fracture surface of single-lap joints in different geometry and layup configuration is proposed in which the damage assessment focuses on the bondline area up to the first 0° ply. For the modelling of the damage in joints, two modelling techniques were studied, first removing elements in the damaged area and second detachment of the elements. Utilising those techniques, simplified approaches to model damage resulting from the impact were studied, with the modelling of impact damage as a hole, where all through-thickness elements are deleted and as delamination, where interlaminar cohesive zone elements are deleted.
The developed multiscale material model allowed for an accurate representation of failure modes occurring in the composite material by the characterisation of elastic, damage and plasticity parameters of the fibre and matrix constituents in the homogenisation and inverse characterisation process. The results showed that the deletion of the elements can be used to represent defects and damage of different kinds in the composite bonded joints, both in the adhesive and adherend. The comparison of different representations of the impact damage in the single lap joint configuration revealed that the best prediction in terms of the ultimate load, failure mode and size of the model is obtained with the simplified representation as a single delamination positioned before the first 0° ply in the layup and in the studied adherend configuration that was between the first (45°) and second (0°) ply in the layup. The study ends with the conclusion that in different geometries of single lap joints and different damage types studied, a numerical analysis should focus on the region of the overlap edge and in the thickness direction from the bondline up to the first 0° ply in the lay-up. The results and numerical method developed during this thesis create a base for the further investigation of a variety of impact cases on bonded joints.","Bonded joints; CFRP; Impact damage; Finite Element Method; Cohesive Zone Modeling; Representative volume element","en","master thesis","","","","","","","","2025-07-11","","","","Aerospace Engineering","",""
"uuid:7783fc45-acd0-48f0-95f9-f09917f2e337","http://resolver.tudelft.nl/uuid:7783fc45-acd0-48f0-95f9-f09917f2e337","Revolutionizing MTDC Networks: Unlocking the Power of FPGA-based MMCs with Grid Forming Control on RSCAD through Model Predictive Control","Kamat Tarcar, Rohan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lekic, A. (mentor); Popov, M. (mentor); Ghaffarian Niasar, M. (graduation committee); Shetgaonkar, A.D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Integrating renewable offshore wind generation into global power grids is a critical issue in the energy industry. Modular Multilevel Converter (MMC)-based High Voltage Direct Current (HVDC) grids are the most effective and promising technical solution for the new offshore wind energy power system connections. These grids offer scalability, controllability, and reliability advantages, but their stable and compliant operation requires implementing MMC control strategies and controllers. This thesis investigates the intricacies of HVDC technologies, focusing on MMC control strategies and controllers. The research explores grid following and grid forming converter control strategies, essential for ensuring stable and compliant operation of MMC-based HVDC grids.
The research elaborates on the Model Predictive Control (MPC), which is a promising control strategy for MMC-based HVDC grids. The MPC controller makes the control strategies respond faster, emphasizing constraints
and cost functions. Furthermore, a comparative analysis of Proportional Integral (PI)-based and MPC-based
controllers is conducted across various scenarios, highlighting the advantages of MPC-based controllers over
PI-based controllers regarding response time and stability.
Lastly, a large stability analysis is conducted using the direct Lyapunov method to evaluate the effectiveness of the control strategies and controllers during transient events. This analysis provides insights into the stability and performance of MMC-based HVDC grids under different operating conditions and disturbances.
This thesis illustrates the capabilities of grid-forming control strategy through Model Predictive controllers for
FPGA-based MMC-MTDC networks on RSCAD/RTDS®. The outcomes of this thesis contribute to advancing
HVDC technologies and control strategies, with implications for enhancing the stability and efficiency of MMC-based HVDC grids. The findings of this thesis have significant potential in the energy industry, particularly in integrating renewable offshore wind generation into global power grids.","MTDC; Grid Forming Control; Model Predictive Control; Large Signal Stability; Lyapunov Stability; Real Time Digital Simulator; MMC-HVDC Transmission","en","master thesis","","","","","","","","2024-08-20","","","","Electrical Engineering","",""
"uuid:49346f35-e8eb-4f9d-870a-6ed44755f6be","http://resolver.tudelft.nl/uuid:49346f35-e8eb-4f9d-870a-6ed44755f6be","Mitigating Regional Accent Bias in ASR Systems","Li, Zirui (TU Delft Electrical Engineering, Mathematics and Computer Science)","Scharenborg, O.E. (mentor); Zuniga, Marco (graduation committee); Delft University of Technology (degree granting institution)","2023","End-to-end Automatic Speech Recognition (ASR) systems improved drastically in recent years and they work extremely well on many large datasets. However, research shows that these models failed to capture the variability in speech production and have biases against the variant caused by the regional accented speech. Moreover, ASR research on regional accents is primarily done in languages used by a large population, like English and Arabic, and the effect of regional accented speech on E2E ASR systems in non-popular languages is still unknown. It is important to know the effect of regional accented speech on E2E ASR systems as it helps researchers to build an inclusive E2E ASR system. In this project, I aim to mitigate the biases against regional accented speech. I select standard speech and regional accented speech from CommonVoice's French and German datasets. I combine the state-of-the-art Conformer Recurrent Neural Network Transducer model with Multi-Domain Adversarial Training (MDAT) to boost the performance of regional accented speech while not hurting the performance of the standard speech. Moreover, since the regional accented speech is typically low-resourced, I study the amount of data required for effective MDAT, as well as the effect of different domain classifiers on the performance of Multi-Domain Adversarial Training. Experimental results show that MDAT can mitigate the biases against regional accented speech in both French and German. The best model in French reduces the bias by around 12% and the best model in German reduces the bias by around 7%. Additionally, MDAT is an effective method for bias mitigation as it can achieve similar performance as the MDAT model trained with the full dataset using only a small amount (e.g. 30 minutes) of untranscribed regional accented speech. Finally, different domain classifier architectures were found to have similar effects on the results of MDAT, thus there is no significant differences among the domain classifier in this project.","bias mitigation; automatic speech recognition; regional accented speech; domain adversarial training","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:7a5777e9-05a6-4a62-b993-ca37e6876512","http://resolver.tudelft.nl/uuid:7a5777e9-05a6-4a62-b993-ca37e6876512","Improving medical adherence in atopic eczema treatments: Designing supportive tools for at-home treatment","Sabbah, Loebna (TU Delft Industrial Design Engineering)","Goossens, R.H.M. (mentor); Kermavnar, T. (mentor); Delft University of Technology (degree granting institution)","2023","Atopic eczema (AE) is an increasingly prevalent and impactful skin condition that significantly affects the lives of both the patient affected by it and their caregivers. The symptoms of AE, such as persistent itching and discomfort, can have an extensive negative impact on the emotional well-being and overall quality of life of those affected by it, thus making effective management crucial. Several barriers, including child resistance, misconceptions among caregivers, and the time-consuming treatment, contribute to low treatment adherence. To address this challenge and contribute to the enhancement of treatment adherence in (childhood)AE, this project aims to develop supportive tools that enable caregivers to apply treatments in a more efficient, accurate, and enjoyable way.
This project is being conducted in collaboration with Erasmus Medical Center while adhering to the design thinking process. This process involves empathizing with the design problem and target group, as well as (physically) exploring and validating proposed concepts. Throughout these design stages, a range of methodologies was employed, including literature research, field observations, morphological charting, and expert and user interviews. The report details the steps taken in designing two supportive tools aimed at improving the treatment experience and adherence for individuals with atopic eczema (AE).
The initial tool developed in this project is a device aiding a more precise dosing mechanism. It serves as a translation of existing application methodologies. The purpose of this product is to reduce treatment mismatch caused by a lack of understanding of dosing methodologies, thereby addressing non-adherence issues. The dosing mechanism is meant to act as a universal solution, compatible with existing cream and ointment tubes.
Furthermore, the second tool is specifically designed to complement the first tool by providing a visual representation of the appropriate skin surface for each dosed unit. The concept also incorporates various textures to allow for the comparison of healthy skin versus AE-affected skin. By shaping the measurement tool in the form of a stuffed animal, it contributes to heightened relatedness to the child, while also involving them in the treatment process.
Drawing from the comprehensive user and expert research, this report establishes future recommendations for the further development of the concepts. Additionally, a roadmap is provided to bring structure to and help prioritize these recommendations. Both concepts demonstrate promising results, indicating the need for further research and elaboration.","Atopic Eczema; Quality of Life; Treatment Adherence; Dosing Tool; Fingertip Units; Health Literacy","en","master thesis","","","","","","","","","","","","Integrated Product Design | Medisign","","51.965218,5.927224"
"uuid:0a8163a3-9b05-4709-a228-01170de4de0e","http://resolver.tudelft.nl/uuid:0a8163a3-9b05-4709-a228-01170de4de0e","Parallel Dissector: Parallel Processing of DDoS Data","Kazemi Koohbanani, Paolo Arash (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Cyber Security)","Smaragdakis, G. (mentor); Moura, Giovane C. M. (mentor); Wang, Q. (graduation committee); Delft University of Technology (degree granting institution)","2023","Distributed Denial of Service (DDoS) leverages the power of multiple servers to disrupt the operations of a victim service. Due to the financial risks posed by downtimes on critical online infrastructure, DDoS is among the top threats in the cybersecurity landscape.
In this paper, we analyze the characteristics of previously launched DDoS attacks using collected network data. To extract the characteristics from a network trace file, we expand the DDoS Dissector tool with additional statistics representing the peak traffic strength and the sources of the attack. In addition, we implement an algorithm to parallelize the analysis of large-scale attacks when executed in memory-constrained environments. Our results show that the error difference in the statistics obtained when running the parallelized version and the original one is less than 0.5%.
Furthermore, we investigate several DDoS attacks by analyzing the contained attack vectors and their corresponding characteristics. Our software correctly detects the attack vectors, however, we remark that the output quality is impacted by the percentage of non-attack traffic. In particular, we provide an overview of the current state of the DDoS landscape seen from the point of view of a scrubbing service and study the effect of a Booter takedown on the frequency of DDoS attacks. Lastly, we introduce spoof detection techniques based on the time-to-live value found in the packet headers. From the spoofing analysis, we can deduce the distribution of operating systems that make up the sources of the attack.","DDoS Attack; Parallel Dissector; Spoof Detection; Cyberattacks; Scrubbing Center","en","master thesis","","","","","","","","","","","","Computer Science | Cyber Security","",""
"uuid:b293ec84-5c17-4fbe-ab22-eb6f182112b1","http://resolver.tudelft.nl/uuid:b293ec84-5c17-4fbe-ab22-eb6f182112b1","A Centralised Model Predictive Control Framework for Just-In-Time Outbound Logistics under Information Asymmetries","Majoie, Martijn (TU Delft Mechanical, Maritime and Materials Engineering)","Beelaerts van Blokland, W.W.A. (mentor); Negenborn, R.R. (graduation committee); Napoleone, A. (graduation committee); Bolsius-Reedijk, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","This study entails the development of a planning model utilizing Centralized Model Predictive Control (CMPC) to optimize the flow of physical goods throughout a network of supply chain nodes, utilizing a Mixed-Integer Linear Programming (MILP) approach to determine the optimal decision variables. Specifically, a Current State CMPC model was created to reflect the current outbound logistic network at Heineken Zoeterwoude, where information asymmetries are known to impact the accuracy of the outbound logistic planning tool. The Current State model was compared against a Future State model, where real-time data is available, thereby eliminating the aforementioned information asymmetries. By assessing four key performance indicators, it was found that the Future State model enables considerably better performance of the logistic network, even during peak production.","","en","master thesis","","","","","","","","2023-12-31","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:cf150061-37ac-4c0d-8d8d-82c01b92dbb1","http://resolver.tudelft.nl/uuid:cf150061-37ac-4c0d-8d8d-82c01b92dbb1","Global Tailings Storage Facilities: Categorization by Resource Type & Forecasting Copper Tailings Production until 2040","Meershoek, Laurens (TU Delft Technology, Policy and Management)","Kleijn, René (mentor); Kamp, L.M. (graduation committee); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution)","2023","Tailings are a waste product of primary metal production. Although these metals contribute to the development of modern technology and renewable energy systems, tailings pose significant environmental, economic, and human health risks. Stored behind a dam in tailings storage facilities (TSFs) these waste materials are meant to be isolated from the surrounding environment. However, in the last 120 years at least 350 tailings dam have collapsed, resulting in over 2500 casualties, tens of billions of dollars in financial losses, and severe damage to waterways and the environment. There is a worrying trend of failures becoming more severe and increasing in intensity, and because metal demand is increasing and ore grades are declining, this could potentially become more problematic in the near future.
This study concisely describes the production and disposal of tailings and gives background information on tailings dam failures. Furthermore, it provides a basis for understanding the variations between TSFs across resources categories. Finally, it contributes to the quantification of future copper tailings generation in light of the renewable energy transition. It does so by giving an overview of scientific literature on TSFs, by providing global inventory of TSFs categorized by resource type, and by forecasting the copper tailings production until 2040. Two research question have been answered:
RQ1: To which resources are tailings storage facilities linked?
RQ2: How will the renewable energy transition affect copper tailings production until 2040?
RQ1 presents a comprehensive overview of the resources associated with tailings storage facilities by identifying the primary resources linked to each TSFs. This was done by combining a database on existing TSFs worldwide (GRIDA) with a database that contains mining and mineral processing hubs (USGS MRDS). TSFs have been linked with USGS MRDS hubs by using location data using the geographic information system QGIS and by matching TSFs and mine based on their names. Resource data of TSFs that could not be linked with USGS MRDS data has been manually added by systematically analysis of company websites that own the TSFs, supplemented with scientific literature regarding mining locations, thereby forming the GRIDA+ database.
RQ2 forecasts how the ongoing renewable energy transition will impact copper tailings production up to 2040. Because renewable energy systems use more copper than their fossil equivalents, the energy transition will cause an increase in copper demand. Three IEA energy scenarios (STEPS, SDS, and NZE) have been used as basis for this copper demand. By taking into account the copper recycling rates, ore grades, and smelting efficiency based on scientific literature, this study quantifies the anticipated increase in annual copper tailings production in the period 2020-2040.
This study has identified 27 resource categories linked to TSFs in GRIDA+. The 10 most notable categories are gold, copper, aluminium, lead-zinc, coal, iron, PGE-nickel-chromium, silver, diamond, and manganese. Combined they account for over 90% of TSFs worldwide and 93% of the global tailings volume. Among these categories, the top 3 commodities (copper, gold, and iron) contribute to approximately 74% of the overall tailings volume. Copper accounts for approximately 41% of the total volume reported in the GRIDA+ database, and copper TSFs are among the largest in the world in terms of pond capacity and dam height. The presence of upstream dams in copper TSFs is a cause for concern, as historical data indicates that such dams have a higher likelihood of failure. Additionally, nearly one-third of copper TSFs are inactive, rendering them more susceptible to failure compared to officially closed dams. However, copper TSFs demonstrate relatively favourable performance in terms of stability concerns, the availability of engineering records, and resilience against extreme weather events compared to other resource categories.
The forecasts of the 2040 annual global production indicates that of copper tailings production will grow significantly compared to 2020. The tailings-to-metal ratio of copper will increase from 193:1 to 237:1 and the annual copper demand will increase with 31% to 67%. This will increase the annual copper tailings production with 41% in the STEPS scenario, 47% in the SDS scenario, and 79% in the NZE scenario compared to 2020. In this last scenario the annual tailings production is estimated at 3,740,000,000 m3, which in volume is equivalent to 66% of the annual global crude oil production. Copper tailings is primarily driven by the growing demand for copper and to a lesser extent by the diminishing copper ore quality. Advancements in recycling rates and the possible deterioration of copper smelting efficiency could respectively have a substantial dampening or additional effect on future copper tailings growth.
Because of the expected surge in copper tailings production enhancing tailings storage facility management and improving copper recycling are two important challenges for the future. Converging factors such as increasing mineral demands, diminishing ore grades, advancements in extractive and processing technologies may cause the mining industry to start new mining operations and rapidly upscale existing projects. This puts additional pressure on existing and planned TSFs, leading to additional risks of tailings dam failures. These developments make urgent action necessary in order to prevent future TSF catastrophes and to assure a save, sustainable, and stable supply of copper and other minerals in the future.
Policymakers should adopt measures to limit copper demand and improve copper recycling rates, aim at including the effects of tailings dam failures in Life Cycle Assessments (LCAs) thereby emphasizing the benefits of recycled copper, and enforce a comprehensive industry standard for tailings management.
Methods: Two methods for spinal structure segmentation were developed and compared. Both methods used segmentations of bony structures obtained from the TotalSegmentator algorithm. The first method employed morphological dilation and erosion operations to localise the joints and IVD’s, while the second method used a multi-atlas-based method approach with partial atlases and corresponding manually segmented labelmaps. The performance of the methods was assessed on ten manually segmented LDCT’s using sensitivity, and maximum and average Hausdorff distance (HD) for IVD’s and the sacroiliac joints (SIJ) and mean error distance for the smaller joints. The reproducibility of the methods was evaluated using a set of 20 LDCT test-retest images.
Results: The atlas-based method achieved significantly better maximum HD (8.45 (1.80) vs. 9.64 (5.83) (p = 0.002)) and sensitivity (0.79 (0.22) vs. 0.61 (0.30) (p < 0.001)) for all IVD’s combined compared to the morphological method. The atlas-based method also outperformed the morphological method for the facet joints, costovertebral joints and costotransverse joints with a mean error distance of 4.71 mm (2.72) vs 6.90 mm (4.80) (p < 0.001). For the thoracic IVD’s the morphological method showed significantly better average HD (1.48 (1.03) vs. 1.72 (0.53) (p = 0.018)) and maximum HD (6.97 (3.36) vs. 8.22 (1.66) (p < 0.001)) than the atlas-based method. In the reproducibility assessment on the test-retest scans, the atlas-based method outperformed the morphological method for all metrics and structures, with average HD’s well below the voxel resolution (< 2 mm).
Conclusion: We present the first methods for automatic segmentation of the spinal structures on LDCT. The atlas-based method seems to be the most suitable algorithm, achieving average HD’s below the voxel size, and maximum errors below one centimetre. However, it is dependent on accurate segmentation by the TotalSegmentator algorithm. Further research is warranted to investigate the influence of the segmentation results on the extraction of quantitative PET information.","Low-Dose Computed Tomography; Automatic Segmentation; Spinal Joints; Intervertebral Disks; Spondyloarthritis","en","master thesis","","","","","","","","","","","","Technical Medicine","",""
"uuid:dde65ebe-eb0b-4747-b89f-b1af83020e09","http://resolver.tudelft.nl/uuid:dde65ebe-eb0b-4747-b89f-b1af83020e09","Depth based registration of 3D preoperative models to intraoperative patient anatomy using the HoloLens 2","Kerkhof, Enzo (TU Delft Mechanical, Maritime and Materials Engineering)","van Walsum, Theo (graduation committee); van Ginhoven, Tessa (graduation committee); Hilling, Denise E. (graduation committee); Thabit, Abdullah (mentor); Delft University of Technology (degree granting institution); Universiteit Leiden (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution)","2023","Purpose: Image-guided surgery can decrease perioperative complication incidences. Augmented Reality (AR) with head-mounted displays (HMDs) provides an accessible method of visualizing 3D preoperative models intraoperatively. This could provide the surgeon with an easy to use intraoperative image-guided surgery system. Image-to-patient registration, the other key step of image-guided surgery, can still be intricate and time-consuming with traditional systems. This research explores the feasibility of using the depth sensors of the HoloLens 2, a state-of-the-art AR HMD, for depth-based image-to-patient registration. This research contributes to the advancement of less complex and more efficient image-guided surgical techniques.
Methods: To achieve these objectives, three experiments were conducted using a pilot system based on the HoloLens 2's depth sensors. The first experiment evaluated the accuracy of the depth sensors quantitatively. The second experiment compared four registration initialization methods, including manual and automated approaches. The accuracy and success rate of alignment were assessed using a multi-modal ground truth. Finally, a qualitative assessment of the pilot system was performed on various objects and materials. This experiment aimed to evaluate the system's performance and usability in real-world scenarios.
Results: The depth accuracy experiment showed that both the AHAT and LT sensors had mean overestimation errors of 5.7 and 9.0 mm, respectively. In the registration experiment, the two manual initialization methods consistently achieved successful registration (100%), while the two automatic methods had varying success rates (23.3% and 50%). Three out of four depth registration methods completed the registration within 5 seconds. The mean translation errors ranged from 12.6 to 14.7 mm, and rotation errors ranged from 1.5 to 1.8 degrees. The minimum observed translation and rotation errors were 6.9 mm and 0.5 degrees, respectively, while the maximum errors were 18.8 mm and 3.2 degrees, respectively.
Conclusion: The study's results suggest the potential for achieving sub-10 mm registration accuracy within 5 seconds with depth-based image-to-patient registration. This offers a fast and convenient alternative to other tracking systems that require invasive fiducial markers and time-consuming calibration steps. However, the current accuracy level of the system poses some limitations. Nonetheless, the developed system holds promise for a wide range of surgical procedures that currently do not utilize image guidance due to its complexity. By enabling faster and more accessible image guidance, depth-based registration has the potential to enhance surgical outcomes, such as improving tumor resection margins and avoidance of vulnerable tissues, making it highly beneficial for various procedures.","Image-guided surgery; Augmented Reality; Head-mounted displays; HoloLens 2; Depth-based registration; Image-to-patient registration","en","master thesis","","","","","","https://youtu.be/9MVZu2-7dJs Mixed-reality video capture from the HoloLens 2: Demo using the depth-based registration method with manual initialization for the Sawbones spine phantom, and the automatic eye gaze method for the multi-modal abdominal phantom CIRS 57A.","","","","","","Technical Medicine | Imaging and Intervention","",""
"uuid:6c82a4ff-22ba-47e4-aa43-5a2ff86ca233","http://resolver.tudelft.nl/uuid:6c82a4ff-22ba-47e4-aa43-5a2ff86ca233","Survey and Interview Methods to Measure Victimization in Theft for the Dutch National Police","Glaser, Michael (TU Delft Technology, Policy and Management; Dutch National Police)","van Gelder, P.H.A.J.M. (mentor); van de Poel, I.R. (graduation committee); Niknam, Amir (graduation committee); van Delden, F.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Currently, the Dutch National Police are looking to optimize resource allocation and decision-making through the measurement of the concept: “safety.” However, the measurement of safety does not have a universally defined method in the branch of policing and security. Qualitative data collection methods are being increasingly implemented in police departments around the world to improve safety and security. These data collection methods aim to implement evidence-based policing practices in order to form predictive assessments of future crime. Additionally, qualitative reporting methods such as officer interviews and victimization surveys can complement current quantitative data collection by improving police-community engagement and mitigating
""dark numbers"" (unreported crimes).
This research aims to address what the implementation of victimization surveys and officer interviews, in coordination with current data collection methods, can add to an optimized police response and resource allocation to future crimes in theft for the Dutch National Police. The research approach of this thesis takes inspiration from commonalities found in a scoping literature review of policing methods around the world and a former joint interdisciplinary project (JIP) with the Dutch National Police. The perspectives of victims and police, which can be partially measured by surveys and interviews, are considered to significantly affect safety and security within society.
The research method was executed through the use of surveys (historical CBS data and theoretical scenario surveys) and officer interviews. These surveys and officer interviews were designed to determine how significantly certain victim factors, such as amount stolen, income, geography, and past experiences of theft affect a victim's perception on the severity of the theft, reporting threshold, and desired outcome in reporting theft. The method designed was flexible, as the ability to implement surveys to people that measures income and geography was dependent on both the Human Research Ethics Committee (HREC) and the data security concerns of the Dutch National Police.
Based on a victimization survey given to 1547 respondents, these victim factors do correlate with the perceived significance of theft. The magnitude and significance of these correlations are displayed in this report, and comparison with police employee interview results leads to significant insights that may determine why these correlations exist. In brief summary, the victim factors of income, geography, previous victimhood, and the amount stolen in theft generally have positive correlations with a respondent's victimization chance, reporting threshold, desired monetary compensation after theft, general satisfaction in successful reporting outcomes, and the perceived severity of theft.
A concluding recommendation from this research is to consider the further implementation of victimization surveys as a complementary data collection method. Specifically, the correlation of victim factors to the perceived significance of theft can assist in predictive policing through victim profiles that more accurately estimate dark numbers. In addition, the concept of adding qualitative measurement methods on victim factors to a universally-defined equation of safety can serve as a complement to current quantitative crime statistics. Through this implementation, current theft prevention and resource allocation strategies may be improved for the Dutch National Police, leading to a safer society.","Policing; Security; Victimization Surveys; Safety; Victims; Interviews; Theft","en","master thesis","","","","","","https://test.cmix.com/#/?cmixPrj=110862&cmixLocale=254061&cmixSampleSource=382787&cmixTest=95BC0977 Online Survey Testing Link","","","","","","Management of Technology (MoT)","",""
"uuid:64b40236-787d-4ae0-8700-60cfe1598bfe","http://resolver.tudelft.nl/uuid:64b40236-787d-4ae0-8700-60cfe1598bfe","Increasing privacy-related transparency on the web using a self-disclosing standard","van der Peet, Louise (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smaragdakis, G. (mentor); Delft University of Technology (degree granting institution)","2023","Large amounts of trackers and other data collection forms increasingly invade users’ privacy on the web. The General Data Protection Regulation (GDPR) aims to address these issues in Europe, but many violations are still made, and overall transparency is low. However, GDPR auditing frameworks and mechanisms are still missing. Weaddress this issue by introducing gdpr.txt: a self-disclosing privacy transparency standard. The standard uses a single reference point and machine-readable grammar to facilitate accessibility, consistency, evolvability, and, eventually, transparency of privacy-related information. Furthermore, we develop auditing tools to facilitate the automatic creation and auditing of gdpr.txt files. This includes a banner detection tool with verified accuracy of 71% and privacy policy detection with an accuracy of 80%. Then, we use these tools to gather information about the privacy landscape and find similar cookie banners, privacy policy and Consent Management Platform occurrences as in previous studies. Furthermore, we research website categories and find gambling websites have exceptionally low rates of banners and privacy policies, while news & media websites find high rates in both. We also find that cookies can differ between browsers, locations, and operating systems, making the automatic generation of cookie data difficult.","","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:1a9ca4cd-f4d8-4f2f-bac1-f0bd396232c6","http://resolver.tudelft.nl/uuid:1a9ca4cd-f4d8-4f2f-bac1-f0bd396232c6","A Pipeline for Segmentation and Structural Feature Extraction in Cryo-EM Single Particle Analysis of Gas Vesicles","Jansen, Flip (TU Delft Applied Sciences)","Jakobi, A. (mentor); Joosten, M.J. (mentor); Huber, S. (mentor); Delft University of Technology (degree granting institution); Erasmus Universiteit Rotterdam (degree granting institution)","2023","Gas vesicles, micrometer-scale protein structures that function as bacterial buoyancy providers, encapsulate gas in a highly optimized manner. While their atomic structure has been elucidated through single-particle analysis of cryo-EM images, certain structural and functional details remain uncertain. Its biogenesis - the formation and growth mechanisms - consequently remains elusive. Here we apply automated segmentation methods originating from cell imaging to cryo-EM images of gas vesicles to analyze the positions of gas vesicle features in a context-preserving matter. Subsequent whole gas vesicle processing is able to transform accurate gas vesicle segmentations into high-confidence structural feature location picks and statistics. This enables the formation of a sizeable data set containing 86k whole gas vesicles, improved resolution 2D class averages, and the potential for improved structural modeling. Combining high sample number contextual information enables inference on the dynamical properties of gas vesicle growth. Our findings validate recent atomic structure propositions and lend support to a stochastic monomer insertion growth model.","Cryo-EM; Gas Vesicles; Single Particle Analysis; Segmentation; structure analysis","en","master thesis","","","","","","","","","","","","Applied Sciences | Nanobiology","",""
"uuid:8bbe0ee7-12f2-467b-aa82-b8b109a87c13","http://resolver.tudelft.nl/uuid:8bbe0ee7-12f2-467b-aa82-b8b109a87c13","Hybrid Electric Taxiing Systems: An Operational and Economic Assessment","Meseguer Berroy, Paula (TU Delft Aerospace Engineering)","Roling, P.C. (mentor); Delft University of Technology (degree granting institution)","2023","Fuel consumption during the taxi phase of flight can be reduced by using electric wheel propulsion instead of aircraft engines. Currently, this can be either done by having external trucks towing the aircraft from the gate to the runway, or by incorporating electric motors on the aircraft wheels to power the aircraft without using the engines. The purpose of this research is to investigate whether a Hybrid Electric Taxiing System (HETS) that combines both technologies is feasible and yields better results in terms of sustainability, congestion and operation. First, a preliminary design phase of the HETS is carried out yielding the main characteristics of the system. An assessment model to study the feasibility of such system is then created by following a series of decision criteria, such as aircraft utilisation and taxi times at destination airports. Two scenarios are considered to examine the effect of the size of the network and fleet on the feasibility of HETS. The first case study includes flight data from the perspective of a single airline, while the second comprises data from five different airlines within an alliance. In conclusion, although many aircraft are eligible for the placement of electric motors, not many airports are eligible for the implementation of external vehicles. Moreover, although the introduction of HETS would lead to improvements on sustainability, it would increase airport congestion and require investments on the airport infrastructure that cannot be justified at the proposed scale.","Hybrid Electric Taxiing System; Electric Towing; Operational Feasibility; Engineless Taxiing; Assessment Model","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:1625c4d1-a3d4-4581-9204-939f1bb29458","http://resolver.tudelft.nl/uuid:1625c4d1-a3d4-4581-9204-939f1bb29458","Wave Energy Array Optimization in Irregular Waves","Liu, Shilu (TU Delft Mechanical, Maritime and Materials Engineering)","Lavidas, G. (mentor); Raghavan, V. (mentor); Delft University of Technology (degree granting institution)","2023","Ocean waves being considered to have huge potential on providing renewable energy resources. While significant research and application of wave energy converters have been conducted in many seas, exploration on low-energy seas is still an ongoing endeavour. In this study, a point absorber array is being studied in the scenario of implementing at low-energy site within Caspian Sea.
The primary objective of this study is to give an optimal layout for a point absorber array with five devices. Firstly, hydrodynamic analysis is conducted by Boundary Element Method using a frequency domain model in NEMOH and WEC-Sim. Layouts with different spacing factor are examined to obtain the power matrices for each case. The q-factor, a measure that quantifies the WEC array’s power absorption efficiency, and annual power production are readily calculated. Furthermore, given the annual energy production, an economic model is built to assess the CapEx, OpEx and LCOE, the Levelized Cost of Energy of the WEC array with different layouts. The final optimization phase finds a solution that simultaneously maximizes the q-factor and minimizes the LCOE by executing a multi-objective algorithm. The best layout configuration for the point absorbers array in the Caspian Sea site is determined, taking into account both high energy output and economic viability.
The main contribution of this work is an online adaptive model-predictive game-play (MPGP) framework that jointly infers other players’ objectives and computes corresponding generalized Nash equilibrium (GNE) strategies. These strategies are then used as predictions for other players and control strategies for the ego agent. The adaptivity of the proposed approach is enabled by differentiating through a trajectory game solver whose gradient signal is used for maximum likelihood estimation (MLE) of opponents’ objectives. Compared with existing objective inference solutions in dynamic games, the proposed approach handles general inequality constraints in games and further supports direct integration with other differentiable modules, such as neural networks (NNs). Two simulation experiments indicate that the proposed approach performs closely to solving games with known objectives and outperforms the game-theoretic and model-predictive control (MPC) baselines. Two hardware experiments further demonstrate the real-time planning capability of the planner and its real-world applicability.
In addition to this main contribution, the second contribution of this work is a variational autoencoder (VAE) pipeline built upon the proposed differentiable game solver. This contribution aims at going beyond the point estimation in the first contribution and inferring potentially multi-modal beliefs about players’ objectives based on observations. The main idea is to employ variational inference (VI) to approximate Bayesian inference of players’ objectives. The variational autoencoder (VAE) framework is utilized for amortization to avoid per-sample optimization. Initial results on a single-player example show that after training, the proposed pipeline can: (i) generate a game objective distribution that resembles the underlying training data distribution and (ii) accurately predict a narrow, uni-modal posterior objective distribution when the observation is unambiguous based on seen data in the past and (iii) generate a multi-modal belief distribution of player’s objective to capture mostly likely modes in case of high uncertainty.","Collision avoidance; Dynamic games; Human-aware motion planning; Interaction-aware motion planning; Integrated planning and learning; Maximum likelihood estimation; Multi-robot systems; Differentiable optimization; Planning; Robotics; Trajectory games; Differentiable programming; Variational inference; Variational Autoencoder (VAE); Autonomous driving; Control algorithm; Planning under uncertainty; Artificial intelligence; Objective inference; Mixed complementarity problems","en","master thesis","","","","","","","","2024-09-30","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:7dfa248a-0752-40b8-9a14-62d6da3ddf65","http://resolver.tudelft.nl/uuid:7dfa248a-0752-40b8-9a14-62d6da3ddf65","Precise Relative Navigation in Medium Earth Orbits with Global Navigation Satellite Systems and Intersatellite Links for Black Hole Imaging","Salas Lasala, María (TU Delft Aerospace Engineering)","van den IJssel, J.A.A. (mentor); Delft University of Technology (degree granting institution)","2023","The Event Horizon Telescope (EHT) is a global array of telescopes that employs Very Long Baseline Interferometry (VLBI) techniques to image the event horizon of black holes. To overcome the limitations of ground-based telescopes, this thesis explores the mission concept involving a two-satellite constellation of space-borne telescopes deployed in Medium Earth Orbit (MEO). The attainment of high-resolution black hole images requires extremely precise baseline determination at the few millimetre level. To address this challenge, each satellite within the constellation is equipped with two hemispherical Global Navigation Satellite System (GNSS) receivers and an optical Intersatellite Link (ISL) for relative navigation. This study aims to assess the feasibility of achieving highly accurate relative positioning within the constellation, particularly considering the large intersatellite distances involved.
The methodology employed in this simulation study encompasses several steps. Initially, the satellite orbits are estimated independently for each satellite using GNSS observations. Following this, the orbit of one of the satellites is held fixed as a reference, while the orbit of the other satellite is re-estimated by incorporating the ISL observations. To enhance the accuracy of the orbit estimation, integer GNSS ambiguity resolution is implemented in the precise orbit determination process. The simulated data incorporates an extensive set of realistic error sources, including thermal noise, instrumental delays, clock biases, errors in the GNSS ephemerides and clocks, uncertainties in the geopotential and solar radiation pressure models, and white noise in the ISL observations.
The results highlight the importance of integer ambiguity resolution in meeting the stringent relative navigation requirements of the mission. The analysis also reveals that the ISL observations primarily improve the baseline estimation along the direction of the link itself. However, in the direction of the black hole, the impact of ISL observations is minimal, indicating that the ISL does not significantly contribute to meeting the specific relative navigation requirements. Furthermore, the study identifies that large intersatellite distances lead to degraded relative orbit accuracy due to fewer shared errors between the two satellites. As a consequence, the objective of achieving a 3.5 mm (3-sigma) one-dimensional relative position accuracy along the black hole direction is not met, with the obtained results showing a 3-sigma of 8.29 mm. To tackle this, it is recommended to focus on mitigating the most prominent error sources, namely uncertainties in the dynamical model and errors in the GNSS orbits and clocks. Other alternatives, such as a network processing scheme, could also be investigated in the future to overcome this challenge.","Precise orbit determination (POD); GNSS; Inter-Satellite Links; Relative Navigation; Orbit Determination","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:995227c5-76e1-42f6-bf0d-bc3ad6ca482b","http://resolver.tudelft.nl/uuid:995227c5-76e1-42f6-bf0d-bc3ad6ca482b","Designing Interventions to Facilitate Reciprocal Strategy Formulation and Execution","Bufler, Valentin (TU Delft Industrial Design Engineering)","Calabretta, G. (mentor); Bluemink, R.G.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis set out to investigate how small to midsize industrial design practices address strategic challenges. For this a literature review was conducted, as well as complementary desk research and an interview study with design practitioners. Later on three small case studies helped set the direction of the project. The findings and insights were translated with the ViP method into a playbook containing interventions industrial design practices can use to connect their work closer to strategic design.
The playbook contains a framework that aims at proposing a new way of working together with clients that enables reciprocal strategy formulation and strategy execution by reenvisioning the consultancy model and utilizing collaboration within a creative ecosystem.
Furthermore, the playbook contains concepts, approaches, and tools that support Industrial Design practices to activate the strategic potential of the projects they work on.
Reciprocal Strategy Formulation and Strategy Execution
A central part of this concept is the reciprocal nature of strategy formulation and strategy execution. Combining the two and letting them interact with each other creates better outcomes for the process. Since the knowledge from the execution part informs the formulation in the first place, it makes a successful implementation more likely. Similarly, understanding (or better yet, being part of) the formulation stage guides the implementation better because the reasoning, goals, and objectives are already part of the process.
Reenvisioned Consultancy Model
The interaction and collaboration with the client get strengthened through closer exchange and teamwork. By being part of both strategy formulation as well as strategy execution, the design practice is no longer only involved in short parts of a project but can provide consistency throughout the project. At the same time the design practice has now more “skin in the game” than before, therefore they also feel more ownership over the whole process.
Creative Ecosystem Collaboration
Essential for this concept is harnessing the creative power that can be found in collaboration.
Especially combining a diverse range of participants enables the creation of innovative design outcomes. This concept also enables the design practice to remain relatively small and agile. It is through the network and the collaboration of different actors within the network that a potential absence of specialized skills and capabilities is balanced out.
For the adjoint-based aerodynamic design optimisation of internal flow applications the deformation of the volumetric mesh has to be performed in an robust and efficient manner. Often small wall clearance gaps and periodic domains are encountered in internal flow domains, which could potentially lead to the deterioration of the mesh. Sliding boundary node methods can be applied in order to maintain the mesh quality in case of small wall clearance gaps. Additionally, periodic boundaries can be displaced in a periodic manner following the applied deformation in order to prevent low quality cells near the periodic interface. Therefore, it would be of interest to implement the sliding boundary node methods and periodic conditions in a Radial Basis Function (RBF) interpolation method, one of the most robust mesh deformation methods available.
Additionally, the computational efficiency should be considered, since high computational times should be prevented for large and complex three-dimensional cases with a high number of design variables.
The aim of this thesis project is therefore to develop a robust and computationally efficient mesh deformation method suitable within the discrete adjoint optimisation framework of SU2 for internal flow applications by means of developing an implementation of the RBF interpolation method including sliding boundary node algorithms, periodic boundary conditions and data reductions methods.
The sliding is achieved by replacing the interpolation condition for the sliding nodes with a planar slip condition. Or alternatively, by freely displacing the sliding nodes based on the known deformation and subsequently projecting the nodes back onto the boundary. The periodic displacement of the boundaries is ensured by making the distance function of the RBF periodic. The periodic nodes are then treated as internal nodes to allow them to move.
The developed RBF-SliDe tool is able to generate higher minimum mesh qualities compared with the regular RBF interpolation method. The sliding of the boundary nodes reduces the degree of skewing of the mesh elements in case of drastic deformations, resulting in a higher minimum mesh quality. Furthermore, the introduction of the periodic displacement prevents low quality skewed or compressed mesh elements, as the periodic boundaries move along with the deformation.
The Aachen turbine stator blade is considered as a realistic three-dimensional test case. For this stator blade an optimised geometry was available, which was obtained with an adjoint-based aerodynamic optimisation performed with SU2. Therefore, the resulting minimum mesh quality is compared to the one obtained with the more conventional linear elasticity equation method as used in SU2. The minimum mesh quality obtained with the RBF-SliDe tool is nearly three times higher compared to the minimum mesh quality of the linear elasticity equations methods. This highlights the potential of the periodic sliding RBF interpolation method in terms of preserving the mesh quality.
To achieve this, a custom program was developed to crawl websites and gather valuable insights such as cookies and embedded objects. DNS resolutions are performed to identify domains in the resolution chain that exhibit characteristics of cloaking, as per the defined parameters. The thesis leverages diverse datasets to analyze different segments of the web, providing a holistic view of the ecosystem.
This study focuses on several key aspects. Firstly, it investigates the most common types of cloakers encountered, shedding light on their prevalence and distribution within the web. Furthermore, the coexistence of Content Delivery Networks (CDNs), trackers, and cloakers is analyzed, providing a comprehensive understanding of their interplay and potential implications. Additionally, the Time-to-Live (TTL) values of cloakers are examined to gain insights into their temporal dynamics and potential strategies employed by operators.
By examining the prevalence and dynamics of CNAME cloaking, this research contributes to the broader understanding of this deceptive practice and its implications for privacy, security, and user experience. The findings of this thesis provide valuable insights for policymakers, web administrators, and security professionals to devise effective countermeasures against CNAME cloaking.
According to our findings, cloaking tends to occur more frequently on popular websites, indicating a correlation between website popularity and the likelihood of encountering cloaking behavior. Additionally, our analysis reveals that each cloaker tends to target specific types of websites, suggesting a degree of specialization or targeting within the cloaking ecosystem.
Moreover, we will delve into the origins and implications of both cookies and embedded objects in the context of cloaking. By examining the relationship between cloaking and these elements, we aim to gain a deeper understanding of the mechanisms and techniques employed by cloakers in their tracking practices.d","CNAME cloaking; Cookies; DNS; Governmental; Web privacy","en","master thesis","","","","","","Repository containing the created tool and some of the data of interest: https://github.com/Boris304/cname-cloaking","","","","","","Computer Science","",""
"uuid:232b5015-df70-424b-91ab-149ed4d8416a","http://resolver.tudelft.nl/uuid:232b5015-df70-424b-91ab-149ed4d8416a","An Adaptive Neural Network Quadrotor Trajectory Tracking Controller Tolerant to Propeller Damage","Villanueva Aguado, Mauro (TU Delft Aerospace Engineering)","de Wagter, C. (mentor); Delft University of Technology (degree granting institution)","2023","class=""MsoNormal"" style=""margin-bottom:0cm;line-height:normal"">Executing quadrotor trajectories accurately and therefore safely is a challenging task. State-of-the-art adaptive controllers achieve impressive trajectory tracking results with slight performance degradation in varying winds or payloads, but at the cost of computational complexity. Requiring additional embedded computers onboard, adding weight and requiring power. Given the limited computational resources onboard, a trade-off between accuracy and complexity must be considered. To this end, we implement ""Neural-Fly"" a lightweight adaptive neural controller to adapt to propeller damage, a common occurrence in real-world flight. The adaptive neural architecture consists of two components: (I) offline learning of a condition invariant representation of the aerodynamic forces through Deep Neural Networks (II) fast online adaptation to the current propeller condition using a composite adaptation law. We deploy this flight controller fully onboard the flight controller of the Parrot Bebop 1,showcasing its computational efficiency. The adaptive neural controller improves tracking performance by ≈60% over the nonlinear baseline, with minimal performance degradation of just ≈20% with increasing propeller damage.","Adaptive Control; Quadrotor; Trajectory tracking; Propeller Damage; Neural Networks","en","master thesis","","","","","","","","2023-10-01","","","","Aerospace Engineering","",""
"uuid:2084b99d-6ec8-4705-8aaa-76a1de1ec120","http://resolver.tudelft.nl/uuid:2084b99d-6ec8-4705-8aaa-76a1de1ec120","Applying Structural Equation Modelling on a Motivation Survey","Leeuwestein, Linda (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cabo, A.J. (mentor); Delft University of Technology (degree granting institution)","2023","In this research, Structural Equation Modelling was applied to analyze the relationships between latent variables measured by a Motivation Survey. Special attention was paid to the ordinal nature of the data. The model was split into several parts, containing Teacher Cues Monitoring and Scaffolding, Satisfaction and Frustration of the three needs Autonomy, Competence and Relatedness, and Autonomous and Controlled Motivation. The aim of this research was to find a suitable model and to discover how all of the latent variables mentioned above influence academic performance.
It turns out that Academic Performance was influenced most by Autonomous Motivation, which in turn was influenced most by Autonomy Satisfaction. When leaving out Autonomy Satisfaction, Relatedness and Competence Satisfaction also appear to have a positive influence on Autonomous Motivation, which in turn are positively influenced by Monitoring and especially Scaffolding. The results have implications for education purposes, in the sense that it gives some insight with respect to teachers’ involvement in students’ academic performance.
Nevertheless, the ambitious implementation of Sustainable greenhouses does not come without problems in various aspects of construction and adoption. The technology is facing multiple challenges from the high initial investment required to the lack of a water and energy infrastructure capable to sustain its operations. Most local companies and growers are struggling with the adoption of the technology and are suffering the consequences of increasing water prices and taxation. Similarly, the lack of an alignment among the different market players and the lack of a governmental long-term vision is limiting the instance of goal- oriented subsidies. This shows that there are a complex series of factors that might hamper a technology's large-scale diffusion. Consequently, this research aims with the use of the Building Blocks framework by Ortt & Kamp (2022), to explore the barriers to different market applications of Sustainable Greenhouses and formulate niche introduction strategies to overcome the obstacles and reach large-scale diffusion in the Moroccan market. The use of the framework is taken into consideration the socio-technical system from a company perspective. The result of the study is a list of seven building blocks, which must be analysed to develop an ‘ad-hoc’ niche introduction strategy. However, if any of the building blocks are incomplete or incompatible, a barrier to large-scale diffusion is formed, hampering the diffusion of technological innovation. Yet sometimes the state of the barrier does not provide enough pieces of information for the development of a niche strategy. Thus, the investigation of the other seven influencing conditions is required to individuate the cause of the barrier, by providing important information to formulate a specific niche introduction strategy.
Previous research conducted with this study is often solely used to analyse the technological concept without fully considering that the same technologies might encounter different market applications. Nonetheless, when analysing sustainable greenhouse, multiple market applications must be considered. For the specific case of this study, three different market applications of sustainable greenhouses were revealed: sustainable greenhouses for tomato production, sustainable greenhouses for soft-fruits production and sustainable greenhouses for herbs production. Because the differences within internal components and running operations might lead to different obstacles to large-scale diffusion, the analysis requires the adaptation of the framework to develop a singular Building Blocks analysis for each market application.
In addition, this research focuses on examining the process of international technology transfer from the Netherlands to Morocco. The motivation behind this transfer is the increasing impossibility of European countries in growing food during winter due to the war in Ukraine. Previously, profit margins were limited, and now with higher energy prices, they are almost non-existent. Consequently, there is a strong push from the Netherlands to transition local production to Morocco by implementing Sustainable greenhouses. This study employs stakeholder analysis and a two-sided perspective to shed light on the varying opinions of researchers, growers, technology providers, and governmental actors from both countries. What is more, it uses, for the first time, the TIS framework in the case of international technology transfer to assess the barriers and influencing factors affecting the large-scale diffusion of three different types of sustainable greenhouses.
The research continued, with the development of tailored Niche introduction strategies per each market application. These strategies aim to overcome the barriers and prepare sustainable greenhouse companies to diffuse on the Moroccan national level. To illustrate, there have been identified multiple barriers to large-scale diffusion such as the high initial investment costs, the lack of a skilled workforce, the potential customers sceptically and the lack of a final product market. To overcome these obstacles, this study proposed to Moroccan companies some niche introduction strategies. For instance, the development of a network of knowledge share and knowledge development through showing or the establishment of a shared long-term vision from the government.
The paper progresses by reflecting on the analytical capacity of the adapted Building Block framework and gives some suggestions for improvements. It firstly reflects on the use of the adapted framework for the evaluation of the different barriers per market application. Then it discusses the implementation of a yardstick of judgement to develop an objective guideline for the future framework users so that the building block/influencing conditions status evaluation would be more impartial. To conclude it highlights the possibility of another useful adaptation could be the implementation of another building block, specific for sustainability as the lack of it could be an obstacle to diffusion and a key element to be considered toward the ecological transition.
The paper concludes by discussing the findings for each research question, and how the evidence, from the study, shows that there is an international push toward the adoption of more advanced horticultural technologies in Morocco. Similarly, from the gathered data, it is perceived that prevalently foreign countries would be benefiting from Morocco’s technological shift. The technology adoption is facing an increased push after the war in Ukraine and the subsequent shrinkage in the profit margin for local food production. These findings raise the question of whether the introduction of Sustainable greenhouse technology is sustainable as it appears to be or whether this technological implementation might just be another example of green colonialism. Finally, the study addresses its limitations and gives some recommendations for further research.","","en","master thesis","","","","","","","","","","","","Industrial Ecology","Master Thesis",""
"uuid:137d1609-d239-44ce-8883-25d71767400c","http://resolver.tudelft.nl/uuid:137d1609-d239-44ce-8883-25d71767400c","Characterising emission plumes of individual aircraft operations using low-cost sensor nodes","Goudswaard, Rik (TU Delft Aerospace Engineering)","Dedoussi, I.C. (mentor); Simons, D.G. (graduation committee); Borst, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Aircraft emissions can pose a health threat by degrading air quality. The actual pollution footprint of aircraft is however not well understood. This research therefore explores the potential of performing near-runway measurements with low-cost sensor nodes to analyse the plume composition and pollutant contribution of individual aircraft. The results showed significant differences in pollutant concentrations between departing- and landing aircraft and among species. The majority of CO plumes of landing aircraft and most NO and NO2 plumes of departures could be analysed while SO2 did not yield meaningful signals. Analysis revealed the cumulative NOx plume concentration of departures to be 3.5 times higher for large aircraft than for medium-sized ones, which could not be observed in terms of CO for landing aircraft. Between sensor nodes the cumulative plume concentrations differed ~8 - 37%. The results of this work demonstrate the use of low-cost sensors for better understanding the emissions footprint of individual aircraft.
This work focuses on how PV solar panels can be directly coupled to a modular alkaline electrolyzer, without grid-based buffering or the use of an inverter. Literature research revealed that hydrogen technology has seen little exploration in on-grid Hybrid Renewable Energy Systems (HRES) and no exploration in off-grid HRES. To appropriately investigate whether a directly-coupled HRES would be technically feasible, a megawatt-scale system was modelled and simulated. All elements of the HRES were modelled, duly accounting for physical limits and constraints. Components were sized and configured to complement one another, optimizing for maximum hydrogen production. To experimentally verify the validity of the proposed HRES, a 5 kW pilot system was constructed. To control the HRES, a new algorithm was developed using the Incremental Conductance maximum power point tracking algorithm as a basis. Within the new Maximum Hydrogen Production (MHP) algorithm, the step sizing was discretized and a variable step size was implemented which can be applied to any target slope. This allows for the system to target operational points which optimize hydrogen yield instead of electricity yield. Furthermore, the addition of tracking bias helped adjust for the asymmetric nature of the interaction between electrolyzer stacks and the PV solar park.
Simulation results in The Netherlands demonstrated that the feasibility of the HRES is dependent on the configuration of the PV solar park and on the number of electrolyzer stacks in the system. Compared to industrial and research benchmarks, the proposed HRES increased hydrogen production by 14.9% and 4.2%, respectively. Dynamic 'm-tracking' of the MHP algorithm goal increased hydrogen production by 0.8% in months of high irradiance. Months with a lower average irradiance experienced an artefact in the MHP algorithm, resulting in prolonged periods of zero power output. An experimental setup confirmed the simulation results, showing that it is possible to control a system of PV solar panels directly coupled to a modular alkaline electrolyzer. Experimental results revealed the need for moving average filtering to prevent fluctuations due to changing conditions of the electrolyzer and the weather from causing poor algorithm tracking ability. The low performance of the experimental setup can be attributed to a low iteration and measuring frequency, which increase the likelihood of a tracking error due to rapidly changing operating conditions. Economic analysis of the proposed HRES yielded an LCOH of €3.44 per kg, 20% and 13% lower than industrial and research benchmarks, respectively. Therefore, an HRES featuring PV solar and modular alkaline electrolysis is technologically and economically viable without the use of charge controllers and inverters.","hydrogen; Renewable Energy Technologies; Sustainable Energy; Energy infrastructure; Hybrid renewable energy systems; Solar photovoltaic; Maximum Power Point Tracking; Energy Systems","en","master thesis","","","","","","","","2025-07-10","","","","Mechanical Engineering","","52.100046668570556, 6.065424693312411"
"uuid:f711ca88-972a-4550-8a61-6571eaba1802","http://resolver.tudelft.nl/uuid:f711ca88-972a-4550-8a61-6571eaba1802","Determination of key design parameters of a scooter-walker hybrid in scooter mode","Giesen, Lucas (TU Delft Mechanical, Maritime and Materials Engineering)","Vallery, H. (mentor); Riener, Robert (mentor); Esser, Adrian (mentor); Verbiest, G.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","A new type of mobility device that functions as both a walker and a scooter is being developed to address some issues of current mobility solutions. Some key design parameters for the scooter mode of this scooter-walker hybrid must be optimized. Through literature, a number of simulated ""tests"", mostly about safety and manoeuvrability, are developed, and 2100 different possible configurations for the device are generated, with each configuration being rated on the performance for each test using the Analytic Hierarchy Process. Some design parameters tend to affect the test outcomes more, particularly the width of the front of the device and the length. In general, larger dimensions lead to a higher stability, but a lower manoeuvrability. A set of optimized key design parameters is identified, but the methodological framework developed is a useful tool by itself as well.","Mobility; mobility scooter; Walker; design parameters; optimization","en","master thesis","","","","","","","","","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:611a7ec2-534f-436c-aa0d-3cc6e9a5a99a","http://resolver.tudelft.nl/uuid:611a7ec2-534f-436c-aa0d-3cc6e9a5a99a","A new Framework for assessing “The Value” of an Amateur Weather Station: Enabled by Web bots","Ayi, Adil (TU Delft Civil Engineering & Geosciences)","Schleiss, M.A. (mentor); Lindenbergh, R.C. (graduation committee); Bogaard, T.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","A personal weather station (PWS) is a set of weather measuring instruments that is owned and operated by an individual, club, association, or business. Even tho these stations might be less advanced as the professional stations, the amount of amateur stations that are active is much higher. With these PWS networks in full effect and still growing, the potential to use PWS data for weather forecasting or research purposes has gained popularity in the scientific field for its potential to ”fill data gaps”. However, since the stations are managed by “amateurs”, the “usefulness” and “value” of these stations are under questions. In this thesis, we tried to solve this by proposing a new way of assessing the “Value” of an amateur weather station in a citizen network. We utilized bots to extract all information about stations in the Netherlands and for the first time did a national analysis of PWS networks. Significant progress has been made in developing a framework to assess the value of the Dutch PWS network. The research process involved overcoming numerous obstacles, which have provided valuable insights and lessons for the future development of a more refined PWS network assessment system.","Citizen Science; Personal Weather Station; Framework","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:a6304b02-0ac9-47e2-bd7d-f138408ca5ee","http://resolver.tudelft.nl/uuid:a6304b02-0ac9-47e2-bd7d-f138408ca5ee","Where the Salmon walks up the Staircase","Schleier, Bastian (TU Delft Architecture and the Built Environment)","Vink, M.G. (mentor); Kousoulas, Stavros (mentor); Hehenkamp, E.W.M. (mentor); Delft University of Technology (degree granting institution)","2023","Architecture as a discipline is deeply involved in a constant material entanglement with a large number of entities. Yet across the discipline, nature-culture, subject- object distinctions are still being forcefully being held up, with the consequence of invasion of many territories, inhabited by other species and the destruction of their environments. In order to overcome such damaging differentiations, it is necessary to reconsider tour concepts of ontological hierarchies and their outcomes in architecture. This may allow us to create new affective environments, considerate of the constant set of relations that we find ourselves entangled in.","cosmos; Bodies; Memories","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:d3df30f7-6273-49ad-8ef6-247410fb471c","http://resolver.tudelft.nl/uuid:d3df30f7-6273-49ad-8ef6-247410fb471c","Design guidelines that improve the online retail experience of smart lighting products","Liu, Yu (TU Delft Industrial Design Engineering)","Wijntjes, M.W.A. (mentor); Schneegass, C. (mentor); Velasco Montañez, Marisol (mentor); Delft University of Technology (degree granting institution)","2023","This report outlines the key challenges faced by customers when purchasing smart lighting products through different online presentations. With the user-centered approach and the report serves as an initial step in exploring the design aspects of online retailing pages for light products. By focusing on the user and light experience, this report aims to provide valuable insights into enhancing the design of these pages to better meet the needs and expectations of customers.
This project is guided by the following research questions: (1) Which aspects and how affect the use online experience? (2) How do they influence? (3) How to better present smart light products online?
In a quest to answer the above questions, this project conducted different user tests and studies and ended with a guidance. Based on the difference between the online and offline lighting scene presentation, the researcher invited 10 participants and started the first user test in which the following questions were studied:
How does the experience with the hue online representation differ from the real-world experience (regarding lighting and overall user experience)?
Which aspects affect the user’s perception of online and offline experience? Images’ style, quality, interaction way or other aspects?
From the first user test, the researcher learned that the difference between offline and offline experience is that offline can show more light levels and provide users with a sense of more control. According to the results of the questionnaire and interviews, users also put forward their requirements in terms of content, interaction and light experience.
Based on the first user test, the researcher developed four hypotheses to explore how different factors affect the experience and how design can be used to enhance the online product exhibit experience. After receiving 20 valid results, in a second user study it was found that (a)higher interactivity of the prototype, better user and light experience, (b) abstraction stimulate the user's imagination of the product use scenario.
Based on user testing and analysis, the researcher summarized guidance that help designers have design direction when they start designing, or review existing designs. The guidance focuses on these three directions:Better visual content
Interactive, product display, Immersive light vibe
To ensure optimal fit and functionality, these orthoses are custom-made to provide the best fit for the lower leg and foot of each individual. Currently, the manufacturing process for these orthoses involves labour-intensive carbon composite layering techniques, which require significant effort and expertise.
An alternative AFO concept was designed, which aims to replicate the behaviour of existing carbon dorsal leaf spring orthoses using SLS-3D printing. This direction was explored as additive manufacturing excels in one-off production and eliminates the need for manual labour, offering cost-effective and efficient production of personalized items. This case is therefore carried out for the companies Parts on Demand, a selective laser sintering (SLS) 3D-printing company, and Livit Ottobock Care, an orthopedics company, to further investigate the feasibility of such an orthosis.
This study involved multiple design iterations, primarily focused on the stiffness behaviour of the AFO to create a novel SLS printable design that exhibits similar stiffness characteristics and gait influence compared to the existing carbon dorsal leaf spring AFOs produced by Livit Ottobock Care, whilst maintaining comparable weight and cost.
A model was created to parametrically refine SLS printable AFOs based on scanned lower leg and foot data for repeatable results using different feet. Subsequently, prototypes were fabricated using this model to validate the quantitative stiffness behaviour and qualitative correction of user gait resulting in an orthosis with a comparable function to the baseline carbon dorsal leaf spring orthosis.
Initial results seem promising for the feasibility of SLS printing PD-AFOs, but requires further validation, as many aspects related to their longevity were excluded from this study. These factors include its fracture resistance over longer periods of time, whether stiffness fatigue will occur, or how the AFO will behave mediolaterally. Nonetheless, producing an SLS-printed orthosis can provide benefits in the long run which for example include not only customized and well-fitting orthoses but also tailored stiffness characteristics for each individual, enhancing the function of the ankle and foot during walking. However, it is important to note that research in this area is currently insufficient.
How can smart, personal or decentralised ventilation improve the ventilation system design to make it more COVID-19-proof while not negatively impacting the comfort of the occupants in an educational environment and being both practical and cost-efficient?
The report begins with a comprehensive literature study to establish a theoretical foundation and identify research gaps. It then proceeds to design and experimentation, which include testing four distinct situations: baseline, decentralized, SMART, and personal ventilation. Each situation aims to test the location under different conditions and gather data about how utilisation of the ventilation system and different parameters could affect the infection risk. First, the baseline is established, which serves as the reference point for comparison. Which is then followed by the other designs of the decentralised-, SMART- and personal ventilation. Simulations are primarily used, supported by measurements and surveys when possible. This was then followed by a discussion, where the results are examined, their implications and significance are compared to the literature.
In conclusion, the results from the simulations and measurements indicate that certain systems demonstrate more potential in mitigating infection risks and improving indoor conditions during airborne pandemics, specifically the SMART and PV systems when their design are optimally utilised. In contrast, the decentral ventilation unit proved to be less effective overall. Additionally, the findings underscore the importance of increasing ventilation rates and optimizing the location and distance of supply and exhaust units to minimize the spread of airborne viruses. Therefore, it can be concluded that while ventilation rate and strategic component placement are crucial in ventilation system design, a nuanced approach is necessary to strike a balance between reducing infection risks, meeting comfort requirements, and considering practical and economic factors.
The primary objectives of this research are to optimize the battery system, ensure effective energy system operation, and establish a strong business case. Specifically, the study explores the feasibility of retrofitting a DP-2 vessel that operates in the North Sea and Taiwan with a battery system to create a hybrid system.
To determine the optimal sizing of the battery energy storage system, 12 different battery solutions from two European battery suppliers considering three different fuel price scenarios are analyzed. These solutions encompass a variety of battery technologies, such as High Power or High Energy Li-ion batteries or a combination of both.
The integration of BESS into vessels offers several operational benefits to operators, including the ability to operate diesel engines at higher or more efficient points of operation to maximize their performance. Battery systems can also act as ”virtual generators” during dynamic positioning (DP) mode for DP-2 vessels, reducing fuel consumption, lowering diesel engine ON-time, and decreasing maintenance costs.
However, hybridizing vessels involves more than just integrating an optimally sized battery system.The existing power management system (PMS) and energy management system (EMS) must also undergo upgrades to ensure effective operation. To address these challenges, the BOOSTER (Battery Optimization for Optimal Sizing and Throughput Energy Regulation) methodology is proposed, which incorporates the operation of an optimized management system based on fuel prices and the throughput energy cost of the battery system.","battery degradation; Optimization; Vessel; Fuel; Lithium-ion","en","master thesis","","","","","","","","2025-01-10","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:78759404-f351-4f46-bb6b-1c5d4c587dc1","http://resolver.tudelft.nl/uuid:78759404-f351-4f46-bb6b-1c5d4c587dc1","Personal Air Filter: A purpose-designed air purifier to reduce the spread of airborne transmissive diseases in offices","Vonk, Renzo (TU Delft Industrial Design Engineering)","Hoftijzer, J.W. (mentor); Hoekstra, G.P.M. (mentor); Witte, Maarten (graduation committee); Delft University of Technology (degree granting institution)","2023","The COVID-19 pandemic has highlighted the importance of indoor air quality in preventing the spread of airborne transmissive diseases. Offices are some of the largest hotspots for disease transmission. To address this issue, a joint venture between FLEX/design and Euromate, called ‘X-Lair,’ aims to design an air purifier specifically targeting the reduction of airborne disease transmission.
This project focuses on developing an air purifier solution that not only effectively reduces the spread of airborne diseases but also considers user experience and implementation in office environments. While primarily designed for placement above desks, the proposed solution has the potential to be applicable in various contexts.
Extensive literature research, user tests, empirical studies, interviews, and mind- mapping techniques have been employed to explore the research domain, identify design drivers, and establish a list of requirements. An iterative design approach, including tests with smoke and computational fluid dynamic analysis, have guided key design decisions. Additional tools such as Midjourney and virtual reality have facilitated the elaboration and visualization of ideas in their intended environment.
The final design proposal of the Personal Air Filter features a suspended configuration above desks, providing a large capture area to effectively filter the user’s breath. Plume propagation is considered by locating the air intake at the edge of the hood. An integrated lamp ensures comfortable ambient lighting, enhancing the device’s aesthetic appeal in office settings and improving employee well-being. A user interface, including a smartphone application, allows for convenient control of ventilation speed and lighting intensity. Smart features like the ‘smart mode’ enable autonomous operation, while interconnectivity between multiple devices enhances overall effectiveness and reduces noise.
A functional prototype was developed to validate the device’s efficacy and user experience. Smoke tests demonstrated the Personal Air Filter’s effective smoke capture at both 100 and 200 m3/h, indicating the potential for downsizing the device without compromising its performance. Special attention should be paid, however, to ensure that the Personal Air Filter operates at an acceptable noise level below 45 dB, taking into consideration the need for a quiet and comfortable office environment. Furthermore, user tests emphasized the need for a smartphone application and adjustable height for the Personal Air Filter.
In summary, the design of the Personal Air Filter represents a significant advancement in improving indoor air quality and promoting the well-being of office employees. The Personal Air Filter has the potential to become a unique and effective solution for mitigating the spread of airborne transmissive diseases.","Personal Air Filter; Aerosols; Air purifier; product design; airborne transmission; Computational Fluid Dynamics; Office; User-centered design","en","master thesis","","","","","","www.renzovonk.com","","","","","","Integrated Product Design","",""
"uuid:3e6f280f-d990-4624-b85e-25abd73eb1cd","http://resolver.tudelft.nl/uuid:3e6f280f-d990-4624-b85e-25abd73eb1cd","Development of short bamboo fiber reinforced recyclable epoxy composites","Shahane, Varad (TU Delft Aerospace Engineering)","Masania, K. (mentor); Kumru, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Poor recyclability and high carbon footprint have presented a major hurdle to the adoption of thermoset composites . Natural fibre composites offer a reduced CO2 route to reinforcing fibres whilst recyclable epoxy chemistries might lead to reinforcement recovery at end-of-life. Here, short bamboo fiber reinforced epoxy composites are manufactured and recycled to achieve resusable resin and fibers. Structure property characterisation before and after recycling reveal fibre preservation whilst more than 80% of the resin can be recovered and used in the form of a low property thermoplastic epoxy. Overall, this thesis contributes a step towards development of truly sustainable and recyclable composites.","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:87a49504-a457-4532-8aaa-26f7e3e20b42","http://resolver.tudelft.nl/uuid:87a49504-a457-4532-8aaa-26f7e3e20b42","Train travellers as station manager?: Trade-offs in the distribution of space for access & egress facilities - A case study at station Nijmegen","de Waal, Olaf (TU Delft Civil Engineering & Geosciences)","Mouter, N. (mentor); van Oort, N. (mentor); Annema, J.A. (mentor); Ton, D. (mentor); Delft University of Technology (degree granting institution)","2023","Train stations are developed by rail companies, for the benefit of travellers. Choices and trade-offs must be made. However, there are no guidelines on how to approach these trade-offs. This study aims to find out to what extent consulting train travellers from the station developer’s perspective contributes to making trade-offs in the development of train stations. We investigate how train travellers make a trade-off from the perspective of the station developer in comparison to professional station developers. Therefore, a consultation method is established using a qualitative and quantitative survey. The first part involves a trade-off in which the respondents have to distribute 100 points, representing the total available space, over eight access/egress facilities. It appears that both train travellers and station developers assign an increase or decrease in space to the same facilities. At the same time, we gain insight into how respondents approach the trade-off. Also, insight has been gained into a possible consultation method by which the making of trade-offs by train travellers and station developers can be investigated. For follow-up research, it is recommended to explore this approach for other trade-offs and in other contexts.","Trade-offs; Train Station; Access Egress; Train traveller; Station development","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:750a96fc-cee5-4971-926c-22411299aa04","http://resolver.tudelft.nl/uuid:750a96fc-cee5-4971-926c-22411299aa04","Strategies for decarbonization of heavy-duty road freight: Social-economic feasibility study on the hinterland of the port of Rotterdam","Otten, Janske (TU Delft Technology, Policy and Management)","Annema, J.A. (mentor); Stougie, L. (graduation committee); Tavasszy, Lorant (graduation committee); de Waard, Pieter (graduation committee); Delft University of Technology (degree granting institution)","2023","Heavy-duty road transport needs to make a transition to become climate neutral in 2050. Not considering the negative impact on the environment, road transport remains a competitive way of transportation in the hinterland of maritime ports. Policymakers and strategists of the Port Authority have a lack of knowledge to decarbonize heavy-duty transportation in the hinterland, without deteriorating the competitive position of a maritime port as a transit node. Various studies are made about the transition in the heavy-duty road transport segment. However, a social cost-benefit analysis that assesses social-economic welfare effects of energy carriers to decarbonize heavy-duty road transport in the hinterland of maritime ports remains unaddressed in the literature. This resulted in the following main research question: What is the socio-economic feasibility of strategies for decarbonization of heavy-duty road freight transport in the hinterland of maritime ports towards 2050? In the literature review promising energy carriers and technologies to decarbonize heavy-duty freight were: battery electric vehicles that drive on renewable electricity, a catenary electric road system that supplies more direct electricity, fuel cell electric vehicles that drive on green hydrogen and conventional trucks that use e-diesel. Considering the availability and the total cost of ownership of these energy carriers and technologies, strategies are developed in which the usage is mandated or stimulated by subsidies. The strategies are compared with the continuation of diesel (the zero-alternative) in a social cost-benefit analysis. The costs and the benefits included are the direct, indirect effects and the societal costs from a tank-to-wheel scope and external effects from a well-to-wheel scope in order to ensure that the emissions do not shift to another part of the chain. The results show that at the current CO2-valuation, all proposed strategies are not welfare enhancing and have severe losses of tax on fossil fuels. However, at an increased CO2-valuation of 500 euro per ton emitted CO2, the strategy in which battery electric vehicles are stimulated by subsidy would be feasible from socio-economic perspective. The social cost-benefit analysis provides insights for infrastructure investments in port areas.","Heavy-duty road transport; decarbonization; social cost-benefit analysis","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:d543d9e6-5e0e-4065-b7cd-f31f1c0488c1","http://resolver.tudelft.nl/uuid:d543d9e6-5e0e-4065-b7cd-f31f1c0488c1","Is under-base filling necessary?: Assessing behaviour of partially installed suction caisson","Sharma, Astha (TU Delft Civil Engineering & Geosciences)","Gavin, Kenneth (mentor); Cabrera, M.A. (mentor); Zhang, Weiyuan (mentor); ter Horst, Erik (graduation committee); Kementzetzidis, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the past decade, suction caissons have emerged as a preferred offshore foundation solution for wind turbines due to their silent installation process and potential for recyclability. However, there has been growing speculation regarding the necessity of under base filling, which involves filling the gap between the top plate of the suction caisson and the seabed. Some experts have suggested that under certain conditions, this under base filling may not be required at all. Furthermore, concerns have been raised about the efficacy of under base filling in achieving full contact between the top plate and the seabed, as it has been observed that gaps may persist even after the filling is applied. Consequently, doubts have been cast on the overall need for under base filling. However, there is limited research focused on understanding the behavior of water plugs in the absence of under base filling, at different loading conditions ( Compression , tension , cyclic etc.). This knowledge gap motivates this thesis study, which aims to investigate the behavior of water plugs specifically in dense sand samples, as sand is considered more critical compared to clay in terms of its variability in drainage conditions that can influence the foundation’s performance. To achieve this, a series of centrifuge tests were conducted on suction caissons that were partially installed and some fully installed. The results of the experiments shed light on the role of under base filling in different loading scenarios. Under monotonic compressive loading at higher rates, it was observed that under base filling played no significant role in the load transfer . Both the caissons with and without under base filling exhibited similar load transfer mechanisms, indicating that filling the gap may not be necessary in such loading conditions. Additionally, under tension loading, it was found that under base filling had little to no effect on the development of tensile capacity. By expanding our understanding of the necessity and effectiveness of under base filling, this study contributes to the ongoing discussion surrounding suction caisson design and installation practices for offshore wind turbine foundations.","Suction Caisson; Offshore structures; Centrifuge modelling; monotonic load","en","master thesis","","","","","","","","","","","","Geo-Engineering","",""
"uuid:3eb5ac2f-eec6-4829-92af-ff9e9efcbde4","http://resolver.tudelft.nl/uuid:3eb5ac2f-eec6-4829-92af-ff9e9efcbde4","Fragility Curves for Dikes in the Western Scheldt: Assessing the Applicability of Typology-Based Fragility Curves in assessing Dike Reinforcement Cost for Coastal Dikes","van Woudenberg, Jaïr (TU Delft Civil Engineering & Geosciences)","Kok, M. (mentor); Mai Van, C. (mentor); Rutten, M.M. (mentor); Drost, M. L. (mentor); Fiolet, D. G. (mentor); Delft University of Technology (degree granting institution)","2023","The sea level is rising, possibly by one meter, by the end of this century. The Netherlands is preparing for this scenario through Kennisprogramma Zeespiegelstijging (KP-ZSS). One of the goals is to determine the hydraulic effects of sea level rise (SLR) on the current system. For the flood risk analysis within
KP-ZSS, the current strength of the flood defences needs to be described. A set of typology-defined fragility curves based on river dikes in river areas describes the current strength of all the primary flood defences in the Netherlands. However, it is unknown if these fragility curves are applicable to sea dikes
due to shorter high water and differences in soil parameters in sea/tidal areas. The research described in this thesis aims to evaluate if site-specific fragility curves for sea dikes result in significantly different predictions of future reinforcement cost for sea dikes compared to the typology-defined fragility curves. The analysis is centred around three dike sections in trajectories 29-3, 30-3 and 32-4 in the Western Scheldt. Initially, an evaluation is conducted on the fragility curves related to the geotechnical failure mechanisms of macro-instability and backward erosion piping. Subsequently, the height requirement is taken into account during the cost calculation. The typology-defined fragility curves underestimate the strength of macro-stability in two out of three
cases with a factor 104 and factor 102, while underestimating it for one section with a factor 105. For the piping failure mechanism the typology-definde fragility curves overestimate the strength in two out of the three cases with a factor 103 and factor 102, but underestimates it for one section with a factor 103.
The pre-overburden pressure appeared to be the most important factor influencing the failure probability of the macro-stability failure mechanism, with higher occurring values for the sections in the Western
Scheldt. The failure mechanism of piping was influenced most by the hydraulic conductivity, where the
encountered soil in the Western Scheldt consisted of finer soils with lower hydraulic conductivity.
From the results, it can be concluded that the use of site-specific fragility curves resulted in a decrease of 13% in net present value, averaging over all SLR scenarios for an analysis until 2200. Considering the most probable SLR scenario resulted in a 12% decrease. If the height requirement is included in the cost calculation, the use of site-specific fragility curves results in a 7% decrease in net present value on average and a 12% decrease for SLR scenario low. For the low SLR scenario, the cost of relocating the road infrastructure in and around the expansion zone of the dike is dominant. With increasing SLR scenarios, the increase in crest height becomes the most important factor, with the revetment the dominating factor cost-wise, leading to minimal differences in reinforcement cost between the fragility
curve approaches.","Fragility curves; Sea level rise; Dike reinforcement; Macro-stability; Piping; Kennisprogramma Zeespiegelstijging; OKADER; Reliability analysis; Flood risk","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:e8c39f78-9097-4fa5-b719-d68816a97949","http://resolver.tudelft.nl/uuid:e8c39f78-9097-4fa5-b719-d68816a97949","The Redefined Social: Production in and of an eco-social Condition","Mishra, Pooja (TU Delft Architecture and the Built Environment)","Alkan, A.S. (graduation committee); van Lierop, J.P.M. (mentor); Eckardt, H.F. (graduation committee); Delft University of Technology (degree granting institution)","2023","The thesis challenges conventional notions of the social and explores the intricate relationship between humans and the imminent commons. It confronts the consequences of neglecting the imminent commons (water, earth, air) in urban environments, urging a re-evaluation of design principles. The research reveals ecological risks from prioritizing human desires in land use and proposes innovative solutions in the agri-food system to bridge rural-urban divides with the main research question how can architectural intervention through productive landscapes bridge the gap between city and land, people and food? Advocating for sustainable cities, it highlights the reliance of London on an unsustainable global food network. The project reimagines the Newham city farm, which happens to be a part of the Beckton Park redevelopment Plan. By challenging commodity-driven perspectives, the design explores the intrinsic eco-social value of land and the detrimental impact of unsustainable agriculture and food miles as it focuses on the interplay of material and immaterial flows in our daily lives, with design shaping our interactions with the environment.
The design aims to reconnect the social and ecological aspects through productive landscapes as it establishes three pillars: productive landscapes as social spaces, restoring the experiential connection to land, and fostering eco-social production, paving the way for a sustainable future.","Heterogenous City; London; productive landscape","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Design Crossovers","Heterogeneous London","51.51333, 0.04035"
"uuid:760caf54-3542-44d0-8225-d25f44b5d645","http://resolver.tudelft.nl/uuid:760caf54-3542-44d0-8225-d25f44b5d645","Spatial adaptability in the context of multifunctional timber high-rise building","Chmielarski, Przemysław (TU Delft Architecture and the Built Environment; TU Delft Architectural Engineering)","van de Pas, R.R.J. (mentor); Jennen, P.H.M. (mentor); Stoutjesdijk, P.M.M. (graduation committee); Cuperus, I.J.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The sustainability of the building industry and the increasing demand for new buildings creates an opportunity where materiality and adaptability of the build environment needs to be reassessed in order to answer to the following issues. The research paper delves into the subject of adaptability in the built environment and checks the influence of materiality on such topics. The research scope touches on spatial adaptability in addition to the high-rise oriented case study where mass-timber buildings with potential of altering its function in the future. The result of the research will provide a quantifiable score of spatial adaptability for each case study that will indicate the degree of spatial adaptability.","adaptability; timber-based structure; spatial adaptability; Open Building; , multi-scenario building; Mass timber high-rise","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:7565315d-a05e-4309-acca-6b1aa7295a98","http://resolver.tudelft.nl/uuid:7565315d-a05e-4309-acca-6b1aa7295a98","The living barriers: The architectural adaptation of existing flood barriers on Zeeland’s new biodiversity synergy","Wongnitchakul, Aphitchaya (TU Delft Architecture and the Built Environment)","Offermans, T. (mentor); Jennen, P.H.M. (graduation committee); Iuorio, Luca (graduation committee); Delft University of Technology (degree granting institution)","2023","The acceleration of the sea level rise will have an immediate global impact, especially on the Delta works. This projection increases the challenge for flood protection strategies and the existing flood barriers that currently lack adaptation for the higher water level. As an opportunity from the negative outcome of climate change and the most extensive maintenance period of the Delta works. This design research initiates by considering the further innovative stage of the flood defense system in Zeeland. Either way of strengthening the hydraulic systems, removing the flood barriers for environmental respect, or adapting both directions with the surrounding and biodiversity concerns.
This paper studies three crucial phasing investigations about the Delta works: the principle and life cycle, the alteration of the biodiversity, and multi-functionality. The research indicated two sides of the outcomes, leading to the methodology of the integration between the typology and methods of dam, locks, and storm surge barriers to create diversity in the specific context of Oosterscheldekering. Together with the multi-functionality of the new architecture, that could be a solution for the future adaptation of existing flood barriers.
Starting from this conceptualization of care, this graduation project is a speculative exploration of how the forest and forestry could be utilized to imagine a more caring relationship between human and landscape within the Zwischenstadt of Parkstad in Southern Limburg (NL). Forestry here is employed due to its multiscale and multidimensional characteristics – it has spatial, environmental, ecological, socio-cultural, and economic benefits. While the Zwischenstadt is chosen as a test case due to its ubiquity in the European, as well as global context. This dispersed type of urbanization is furthermore in need of alternative development strategies and trajectories, which careful and caring design can answer to. By working out a territorial forest strategy and masterplan, as well as localized design projections, the aim is to understand how forest infrastructure can revitalize the Zwischenstadt as an agent of care.
The result is a design proposal that reimagines the whole territory – from residential areas to industrial terrains etc.- as a forest, which can take on a variety of ecological and spatial forms. This forest becomes the principal agent of development for the Zwischenstadt, not only transforming the region environmentally and ecologically (Repair), but also reframing it spatially (Relate), while providing alternatives for the current shrinking condition (Reduce). Through this “all forest” approach the project questions supposed distinctions between landscape and city, the nature of urbanization and urbanity, the human commitment to landscape and ecosystem in the 21st century, as well as representation methods in (landscape) architecture.
This research has found that men and women have different user patterns and perceptions when it comes to their presence in urban environments. This impacts the way they use and perceive the city. In this study, these differences have been translated into design principles that can positively impact women’s use and perception of the urban environment. These design principles were used to analyse the current state of gender inclusivity in urban environments, through the locations of Hillesluis and Zuidwijk, a pre- and post-war neighbourhood in Rotterdam Zuid with a high cultural diversity and a low socio-economic status. The analysis generated a set of spatial elements that either negatively or positively influence a woman’s perception of space. After testing these spatial elements through a survey, the findings were combined with the design principles, to design a proposal on how the current urban environments could be improved to be more gender inclusive. Guided by these end products, a universal framework was created, that can test all urban environments in their gender-inclusivity.
Narrowing down the scope of the thesis to the adoption of Robotic Process Automation (RPA) within the recruitment industry allows the research to be manageable within the constraints of a master's thesis. A literature review is used to investigate the knowledge base of the topic of the thesis. Additionally, semi-structured interviews with managers and employees are used as a means of collecting data from the environment. Combining these inputs, this research designs a model that explains the role of managerial communication in the effective adoption of IT automation. The model consists of four main components: managerial communication, motivation & vision, impact awareness, and the effective adoption of IT automation. It explains the constructs that were found relevant in this process and how they relate to each other. Several root constructs are used as a basis to create actionable guidelines to facilitate an effective adoption process. Together with the explanatory model, these guidelines form the managerial communication framework. The main goal of the framework is to bridge the gap between the manager's and the employee's views on the nature of the change. This study finds that managers often view the change as a process optimization, whereas employees view it as an organizational change. The managerial communication framework allows to identify these differences and act upon them.
This study not only designs the communication framework, but also evaluates its defined objectives with several experts. The results of these evaluation interviews demonstrate the validity and usability of the research conducted and the design artifact. Future research can extend the framework to other domains & technologies and tailor it to specific problem cases. Furthermore, the framework can be researched and evaluated in practice by conducting a case study.","Managerial communication; IT automation; RPA; Adoption; Technology adoption; Job insecurity; Performance","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:5d3f5432-3801-4b5b-9dcf-6db1ce2cb150","http://resolver.tudelft.nl/uuid:5d3f5432-3801-4b5b-9dcf-6db1ce2cb150","Safe Flight Envelope Prediction and Experimental Validation of Multirotor UAV: An Analysis on the Effects of Longitudinal Center of Gravity Position and Actuator Dynamics on Quadcopter Flight Envelopes","Kaffa, Lauren (TU Delft Aerospace Engineering)","de Visser, C.C. (mentor); Delft University of Technology (degree granting institution)","2023","Loss of control (LOC) is the primary cause of failure of Unmanned Aerial Vehicles (UAV). The safety of these systems can be largely improved by facilitating techniques to prevent LOC to occur, such as Flight Envelope Protection, enabling controllers to keep the system within the Safe Flight Envelope (SFE).
The aim of this work is to examine the behaviour of the global SFE of a quadcopter subjected to varying system dynamics, including the effects of longitudinal center of gravity displacements and actuator dynamics.
The analysis has been split into the forward reachable set (FRS) and the backward reachable set (BRS). The FRS is estimated through an optimized Monte-Carlo (MC) simulation approach. Verification shows that the system specific optimized MC simulation approximates the true reachable sets with high accuracy, while exceeding performance on both accuracy as well as computation time compared to the Level-Set method.
The BRS is derived from the FRS directly using a minimum-time optimal control (MTOC) routine including actuator dynamics. This approach guarantees that the BRS is contained within the FRS and bypasses the need to simulate the dynamics backwards in time. Both methods exploit the control affine system structure from which it can be derived that the MTOC for both the FRS and BRS is bang-bang control, which drastically reduces the sampling space and optimal control complexity.
The results show that both the location of the centroid of the FRS and the return time distribution of the BRS are a function of the offset position. A large decrease in the FRS area is seen for larger center of gravity offset positions. Furthermore, the actuator dynamics reduce the FRS by 85%, irrespective of center of gravity location, while the BRS without actuator modelling shows impractical return times as a result of unfeasible instantaneous rotor speed changes.
A novel experimental validation procedure on the quadcopter FRS has been performed. The results show a general overestimation with respect to the flight data, which is expected when comparing an open-loop simulation with closed-loop performed flight maneuvers.
This situation is even worse for elderly people. According to a CNN Health survey, the older you are, the worse the hospital is for you. But on the other hand, Germany is even entering a super-ageing society. According to the United Nations Health Organi-sation, 27.6% of the population in Germany is over 60 years old, the second highest population in the world after Japan.1 And in the German healthcare system, more than 60.5% of patients are older than 60. Geriatric medicine department’s average length of stay of 15.2 days is twice the average, ranking first among all departments.
All the facts prove that hospitals, which have remained unchanged for decades, need a revolution. The best future hospital is NOT hospital, at least not the way it is now. This article studies the body perspective to provide an excellent healthcare experi-ence for elderly patients. Filling the gap between society, hospital and home, ena-bling the revolution from hospital to house.","hospital design; Elderly care; Healing space","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:34127103-3661-425c-9d27-5f2b139ef461","http://resolver.tudelft.nl/uuid:34127103-3661-425c-9d27-5f2b139ef461","Navigating the modular shift:: Insights from real estate developers in the Netherlands","Müller, Thijs (TU Delft Architecture and the Built Environment)","Chan, P.W.C. (mentor); Visscher, H.J. (mentor); Delft University of Technology (degree granting institution)","2023","The productivity and sustainability issues in the construction industry are leading to the consideration of systemic innovations such as modular construction. The industry's complex and fragmented structure resulting in an established practice of aligning knowledge with specific tasks impedes the adoption of such innovations. This phenomenon, known as the 'mirroring trap,' obstructs businesses from identifying opportunities for adjusting their limits or restructuring their sector. Recent studies primarily examine integration strategies that facilitate the breakout from this trap. However, these studies predominantly emphasize the involvement of the main contractor and architect, while there is a noticeable lack of research on the role of real estate developers in this context. This lack of attention to real estate developers is unexpected, given their significant role in housing development within the Netherlands. The research aims to investigate how real estate developers integrate modular construction in their business. The research methodology employs a balanced approach as an iterative process between literature and empirical research. Six organisation structures were analysed with eleven expert interviews– Decentralized modular cluster; Collaborative modular clusters; Virtual project-based companies; Spin-off factory; Core-periphery platform; Integrated hierarchical firms. This thesis presents three approaches for integrating modular construction; 1) Project-based strategies incorporate modular construction via supply chain integration or through formal supplier networks, 2) Hybrid-based strategies blend industrialized construction techniques with a flexible, project-centric organizational structure via Integrated Project Delivery (IPD) and 3) product-based strategies concentrate on strategically breaking mirrors to achieve integrated organizational structures. Integration mechanisms, drivers, and challenges are identified for each. The strategies show that real estate developers play a crucial role in the integration of modular construction, and understanding the wide spectrum of strategies and tailoring them to specific contexts of the company can lead to more successful integration of modular construction. The thesis concludes with a discourse on how pinpointing and detailing integration strategies for strategic partial mirroring or breaking the mirror enhance the comprehension of integration in modular construction. It highlights the industrialization of construction development as a recurring theme and includes the current business structure's constraints. Moreover, it provides seven pragmatic suggestions for property developers to ponder over when assimilating modular construction.","Modular Construction; Integration Strategies; Real Estate Developers; Mirroring hypothesis; Organizational Structures","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:aae0ee05-12e3-4cc5-b1c7-898c2f99bd00","http://resolver.tudelft.nl/uuid:aae0ee05-12e3-4cc5-b1c7-898c2f99bd00","Deep Reinforcement Learning for Aircraft Landing: A study on the use of Deep Reinforcement Learning techniques for automatic control of aircraft landing","Herren Aguillar van de Laar, Thomas (TU Delft Aerospace Engineering)","van Kampen, E. (mentor); Delft University of Technology (degree granting institution)","2023","","Reinforcement Learning (RL); Deep Reinforcement Learning; Landing System; Flight Control; Fault-tolerant Flight Control","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:b701fe3b-ccbb-4621-a8db-99ddfbc63ea7","http://resolver.tudelft.nl/uuid:b701fe3b-ccbb-4621-a8db-99ddfbc63ea7","Improve the optical neural network structure by using neural architecture search for visual classification tasks","Hu, T. (TU Delft Mechanical, Maritime and Materials Engineering)","Norte, R.A. (mentor); Bessa, M.A. (mentor); Aragon, A.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research explores the integration of Neural Architecture Search with Optical Neural Networks to optimize the efficiency and performance in traditional visual image classification tasks. The study introduces a new approach that applies Neural Architecture Search, a technique traditionally used to optimize the performance of Artificial Neural Networks, to the field of Optical Neural Networks.","Neural architecture search; Optical Neural Networks; Mach-Zehnder interfereometer","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:80d4fa79-eb85-4d3a-a527-5a09a48f8293","http://resolver.tudelft.nl/uuid:80d4fa79-eb85-4d3a-a527-5a09a48f8293","First Deployed DAO with True Full Decentralisation","Planje, Brian (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pouwelse, J.A. (mentor); Franken, L.J.N. (graduation committee); Liem, C.C.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Blockchain technology has allowed for the emergence of a new type of organization, the Decentralized Autonomous Organization (DAO). They have gained significant traction in recent years, reaching market capitalizations of up to 60 billion USD in 2021. These organizations coordinate economic activity by an unbounded group of people within an adversarial environment. However, despite their potential, currently deployed DAOs face notable challenges related to centralization in governance and infrastructure. This work addresses these limitations by proposing a novel architecture for a fully decentralized DAO with no compromises. We introduce a scalable governance protocol utilizing multi-signature schemes to manage shared assets effectively. To demonstrate the feasibility of our approach, we implement, deploy, and evaluate a real-world DAO called Music DAO. Music DAO serves as a compelling use case, enabling listeners to collectively invest in and listen to their favorite artists. This research represents a significant advancement in the field of decentralized organizations, with the potential to revolutionize the way people collaborate and organize themselves.","Decentralized Autonomous Organization; Blockchain; Digital Signatures","en","master thesis","","","","","","","","","","","","Computer Science | Data Science and Technology","",""
"uuid:bbbcf2ef-2b6b-4139-b683-2e27961a8bfa","http://resolver.tudelft.nl/uuid:bbbcf2ef-2b6b-4139-b683-2e27961a8bfa","A method for human-centered appraisal of façade design for serviceability","Oke, Sagar (TU Delft Architecture and the Built Environment)","Luna-Navarro, Alessandra (mentor); Overend, M. (mentor); Delft University of Technology (degree granting institution)","2023","In the wake of the climate crisis, the building industry faces a unique challenge - to strike a balance between global sustainability challenges and the high standards of human comfort. A building’s façade plays an important role in reducing operational carbon emissions in a building, while maintaining acceptable levels of indoor comfort. In doing so, contemporary façade solutions often lead to an increase in embodied carbon in a building. Further, strategies to reduce embodied carbon, such as use of recycled or reused glass fail to meet conventional standards. This thesis explores the potential of a material efficient approach in design of façade glazing by means of reduction in glass thickness.
Reduction of 1mm thickness of glass can save up to 3 kgCO2eq/m2 of façade area. However, reduction in glass thickness may lead to deformations in glazing well beyond serviceability limits. This may have a negative impact on glazing properties such as its mechanical performance, thermal performance, durability, optical performance, acoustic performance and overall occupant satisfaction. While effects of deformation on objective performance parameters can be calculated and mitigated, there has been no research on how acceptance of deformation in terms of occupant satisfaction can be measured. As a consequence, serviceability limits are mainly governed by objective criteria alone. Occupant acceptance towards deformation has always been assumed to be low and glass panes are designed to be more rigid than may be necessary. Without measurement of occupant acceptance thresholds of deformation it is not possible to perform a comprehensive assessment of limits on deformation, and the potential to reduce glass thickness in glazing.
A novel method has been designed in this thesis to determine occupant satisfaction towards level of deformation in glass; with the intention of arriving at acceptance thresholds comparable to those set by objective criteria. As the first step in research a state-of-the-art review on the subject of serviceability criteria and potential for material efficiency in glazing was conducted by means of a systematic literature review and a façade industry survey. Based on the findings from the review an experiment was designed and developed. The proposed method is designed to be conducted in an office environment or a laboratory with volunteers who are asked to indicate their level of satisfaction towards deformations in glass. The deformations in glass are created by varying air pressure inside the cavity of an insulated glazing unit (IGU) using an electro-pneumatic system designed for this experiment to replicate two common loading conditions related to serviceability – climate loading and wind loading. Preliminary tests were able to provide a sufficient proof of concept for the experimental setup.
Feasibility tests for the experimental setup were conducted wherein the mechanical behavior and optical behavior of glass under deformation was objectively measured. The deformation patterns in glass panes displayed geometrically nonlinear behavior in line with predictions of finite element analysis. It was also found that the static deformation (pillowing) does not have any perceivable impact on the view through the façade panel. However, deformation of glass is perceived through distortion of the reflected images, such as ceiling lights and reflecting objects. Further objective optical experiments must be conducted under dynamic loading conditions so as to compare these with results of subjective testing. Subjective testing of the experimental method with a few volunteers is required before conducting the final experiment.
A novel method was thus formulated for a human-centered appraisal of façade glazing deformation. A comprehensive understanding of impact of glazing deformation is necessary to explore the potential of material efficiency in façade glazing. The experimental setup was found to be versatile and scalable enough to conduct multi-objective experiments to assess the impact of deformations on mechanical behavior, thermal performance, acoustic performance and durability.","facade; glazing; serviceability; assessment; deformation","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:3c0ca6a9-f0aa-4ecd-be33-733f0b0be1c6","http://resolver.tudelft.nl/uuid:3c0ca6a9-f0aa-4ecd-be33-733f0b0be1c6","Happily Ever After: Mental Health Promoting Senior Living environments","Znamenskaya, Nadja (TU Delft Architecture and the Built Environment)","Jürgenhake, Birgit (mentor); van Deudekom, A.B.J. (mentor); van Andel, F.M. (mentor); Delft University of Technology (degree granting institution)","2023","Late life depression is a common problem among seniors. It is estimated that up to 25% of people over 75 experience depression. The consequences are not limited to a having a worse mental state, but include a variety of physical comorbidities. Therefore countering late life depression will not only help the individual, but it will also reduce pressure on the healthcare system. Depression should be treated with medication and psychotherapy, but since many seniors spend the majority of their day at home, their moods will be affected by their living environments. Currently senior housing typologies are notorious for being somber and institutional. Therefore this thesis aims to establish which design factors can contribute to the alleviation of late life depression in senior living environments? The factors have been derived through an interdisciplinary approach; findings from a literature review of Evidence Based Design were combined with psychiatric perspectives on late life depression. Finally housing preferences of Dutch seniors were considered, since meeting their needs might contribute to feelings of life satisfaction. In order to illustrate how these design factors could be implemented in architectural design, case studies have been performed of state-of-the-art psychiatric hospitals and retirement homes. From these methods eighteen mental health-promoting Design Factors have been derived. These Design Factors can be categorized into three groups:
1)Environmental Design Factors include: Central Location, Views of Nature, (Morning) Light, Noise and Air Quality.
2)Building Design Factors are comprised of: Social Spaces, Intergenerational Relationships, Physical Activity, Dementia Spaces, Positive Distractions, Staff Spaces, Appropriate Size, Outdoor Spaces and Home Ownership.
3)Finishing Factors include: Accessibility, Privacy, Control and Homelike Environment.
Integrating these factors into the designs of senior living environments might contribute to the prevention or alleviation of late life depression.
In the next part of the thesis, the report takes a higher-level perspective and examines the decision-making process of Unifix Care when approaching and investigating expansion opportunities. It highlights the limitations of the current approach, which is deemed non-strategic and unsustainable in the long term. The section discusses the significance of making structured decisions and proposes a suggestion to proactively predict market potential to avoid investing time and resources into unprofitable opportunities. A recommendation is proposed to prevent this by implementing a quickscan that forecasts market conditions in advance. This approach aims to stay focused on the most important activities. Moreover, this thesis promotes the application of an effectual approach in conjunction with proactive prediction methodologies and theories that align with the causation paradigm.
This project delivers:
A study on the adoption of excessive Western second-life surgical instruments in Kenya, analyzing the potential impact by means of a triple constraint analysis.
A recommendation for Unifix Care to enhance decision-making effectiveness and adopt a more strategic approach for future opportunities.
A decision support model that facilitates strategic decision-making for Unifix Care.
A strategic opportunity framework that aids Unifix Care in prioritizing its actions based on opportunity landscapes.","Strategy Design; start-up growth; Medical equipment; Kenya","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:2f46c846-4637-431f-b543-6d60b736b028","http://resolver.tudelft.nl/uuid:2f46c846-4637-431f-b543-6d60b736b028","Digital Platforms for Industrial Metaverse Applications: A Framework to Identify Data Quality Insufficiencies","Biermann, Niklas (TU Delft Technology, Policy and Management)","Ding, Aaron Yi (mentor); Korevaar, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","The metaverse is one of the most disruptive technologies to evolve from the digital transformation. While the potential use cases of creating an immersive virtual world are numerous, the vision of an industrial metaverse is only recently emerging as a concept from the technology. In the automotive sector, manufacturers are starting to use simulation, digital twin technology and Building Information Modelling (BIM) to build virtual factories in an industrial metaverse. The benefits of this innovation are believed to significantly boost production flexibility and efficiency, which is why manufacturers set up data-driven digital platforms to enable an industrial metaverse that interconnects multiple actors. How-ever, technical barriers still hamper the implementation of such platforms whose dependence on flawless data grows with the number of use cases for an industrial metaverse. Accordingly, quality insufficiencies of spatial data and the absence of automatic quality assessments to identify these insufficiencies are one of the most decisive barriers to a widespread adoption of industrial metaverse applications. This thesis examines this problem and investigates how data quality insufficiencies in an industrial metaverse en-vironment can be identified and overcome at the example of an automotive manufacturer that uses the Nvidia Omniverse digital platform to create virtual factory models. A design science approach is pursued to create an extension to the Omniverse software that identifies the most critical data quality insufficien-cies, derives key performance indicators (KPIs) and proposes preventive measures to induce a sustained data quality improvement. Thereby, this thesis lays the groundwork for future research emerging around the concept of an industrial metaverse and the remaining obstacles of digital platforms to enable its applications. The pursued DSRM approach to overcome such barriers is capable to serve as guidance for future research projects that pave the way for a gradual enablement of further industrial metaverse use cases in other industries.","Data Quality; Digital Platform; Metaverse; Manufacturing","en","master thesis","","","","","","","","2025-07-07","","","","Management of Technology (MoT)","",""
"uuid:83d29308-831b-4b0a-8bc2-41c17d0a93e3","http://resolver.tudelft.nl/uuid:83d29308-831b-4b0a-8bc2-41c17d0a93e3","From Waste to Embrace: Driving Circular Strategies through Inclusiveness in Dutch Circular Area Development.","Oemrawsingh, Arishta (TU Delft Civil Engineering & Geosciences)","Reijtenbagh, Q.A.M. (mentor); Schraven, D.F.J. (graduation committee); Ersoy, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The pursuit of global sustainability goals has triggered a shift in national strategies, with countries like the Netherlands adopting innovative policies to realize circular economies by 2050. Circular area development, a novel approach, is emerging as a key strategy in this transition. It focuses on optimizing resources within specific geographic zones, considering their entire lifecycle, to minimize waste and establish sustainable loops. This study addresses the underexplored dimension of inclusiveness in Dutch circular area development, aiming to formulate guiding principles through literature review and semi-structured interviews. These principles offer a roadmap for policymakers, stakeholders, and practitioners to promote circular strategies while ensuring inclusivity. The research question guiding this study is: How can inclusiveness principles facilitate and advance the implementation of circular strategies in Dutch Circular Area Development? By exploring themes like stakeholder engagement, education, access, sustainable practices, and local adaptation, the research offers a comprehensive framework for integrating inclusivity into circular strategies. The study emphasizes the importance of trust, communication, and equitable participation, highlighting their role in fostering successful circular area development. Ultimately, the identified principles pave the way for a more inclusive and sustainable circular economy.","Circulair economy; Circularity; Inclusiveness; Circular area development","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:2dba25b7-6f20-47e8-a69f-46d27f3b1c3c","http://resolver.tudelft.nl/uuid:2dba25b7-6f20-47e8-a69f-46d27f3b1c3c","Antwerp Unearthed: The capacity of the historic canal network beneath Antwerp in mediating future urgencies for environmental and social infrastructures.","Wiersma, Jolt (TU Delft Architecture and the Built Environment)","Verschuure, G.A (mentor); van den Burg, L.P.J. (mentor); Delft University of Technology (degree granting institution)","2023","The performance of a city is dependent on the performance of its infrastructure. As a result, the surface-level world is inseparable from the subsurface world. However, cities around the world are facing a shortage of available space both aboveground and belowground. The existing urban fabric has over time become unfit for the addition of new infrastructure systems that are required for the future. Spatial conflict problems are arising between necessary urban infrastructures, in Antwerp specifically regarding green, blue, place, and memory systems. Nevertheless, Antwerp has an opportunity: a decommissioned canal network that lies beneath the city as tunnels that can act as a spatial mediator. Establishing this potential, the main research question asks: how can the reappropriation of the decommissioned underground canal system in Antwerp spatially mediate the city’s urgencies regarding environmental and social infrastructure? While the research question calls for the implementation of four infrastructural themes (green, blue, place, memory), an exploration of background theories on urban infrastructure implementation indicates a research gap regarding a systems-thinking approach. In this case, the Environmental Maximization Method (BOOM-Duijvestein, 1998), with its five design phases (inventory, analysis, maximization, optimization, integration), is useful. Together, the four infrastructure themes and the five design phases form a matrix that offers an appropriate design framework in the context of Antwerp. Antwerp Unearthed, as a plan, provides two answers to the research question of this report. The decommissioned underground canal system in Antwerp spatially mediates the city’s future urgencies regarding environmental and social infrastructure by pairing external problems with internal potentials and by forming a singly functioning infrastructure system. Next to this, the plan is embedded in other aspects of the urban fabric. The findings are societally relevant because they show how to work toward adaptivity and resiliency in the context of climate change and densification. The findings are scientifically relevant because they show how to work toward a justifiable and balanced implementation of different infrastructure systems. The findings are professionally relevant because they show how to work toward integral and interdisciplinary design principles for multiple infrastructural themes.","infrastructure; space shortage; green; blue; place; memory; maximize; underground; tunnel; landscape architecture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","51.260197, 4.402771"
"uuid:53fc4bff-1f21-4911-bf2c-80d29904fe01","http://resolver.tudelft.nl/uuid:53fc4bff-1f21-4911-bf2c-80d29904fe01","Improving the consistency of external breast prostheses with voxel-based 3D printing","Reinoso Hayashi, Yuka (TU Delft Industrial Design Engineering; TU Delft Sustainable Design Engineering)","Doubrovski, E.L. (mentor); Kermavnar, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","Eighty percent of women after mastectomy wear an external breast prosthesis either permanently or during the period before breast reconstruction. External breast prostheses replace the removed breast and aim to recreate the original body shape. However, while each woman has a different chest wall and scar topology, most commonly used are standard commercial prostheses, which often fail to provide comfort and symmetry to the wearer.
Given the risks and costs associated with elective reconstruction surgery, women who decide to use external breast prostheses should be offered options that are as well developed as their surgical alternatives.
This project envisions an external breast prosthesis design method that allows the efficient manufacture of personalized forms. 3D scanning and 3D printing are suitable techniques to create solutions tailored to each person’s necessities. 3D scanning allows having a digital twin of the chest wall topology that helps create the geometry that perfectly matches an individual woman. 3D printing, on the other hand, eases the fabrication of patient-specific products. For this reason, this project considers 3D printing as a suitable technology for the production of personalized external breast prostheses.
Research has been conducted with breast care experts and women after mastectomy to explore the pain points associated with existing external breast prostheses, which helped identify several design opportunities. This project aims to achieve a specific main goal: To provide a realistic consistency of external breast prostheses to touch. Current solutions tend to be too stiff and hard, which makes them uncomfortable for the user.
Polyjet 3D printing offers the possibility to print with rigid, flexible, liquid, and gel-like materials. Furthermore, owing to the droplet-based working principle of this technology, it is currently possible to mix different materials with full control of the proportions and the design of the material distribution droplet by droplet. This allows achieving a mixture that works as a homogeneous material at a macroscopic scale and having tailored mechanical properties. This project approaches the challenge of external breast prosthesis redesign by exploring the possibilities and limitations of voxel-based Polyjet 3D printing; specifically, the different mixtures of liquid and gel-like materials encapsulated in an outer cover made with flexible material.
Extensive prototyping is performed throughout the project and compression tests are conducted to obtain quantitative data regarding the softness of prototypes and its similarity to a natural breast. Besides the objective information obtained from these tests, a user evaluation is conducted with ten participants to compare the objective data with human perception, which is ultimately more relevant for this topic due to the subjective nature of tactile experiences.","3D printing; Breast prostheses; Voxel-based additive manufacturing","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:5fb0d82f-dede-49e7-a4c0-eae279ca740e","http://resolver.tudelft.nl/uuid:5fb0d82f-dede-49e7-a4c0-eae279ca740e","Multimodality Forum Jungfernheide: A park-and-ride train station that encourages motorists to use green mobility to get to Berlin’s city centre.","ter Schure, Steven (TU Delft Architecture and the Built Environment)","Mateljan, M. (mentor); Söylev, Y. (mentor); Bergsma, A.C. (mentor); Delft University of Technology (degree granting institution)","2023","Train stations are becoming an increasingly important part of urban life. They are the connection between different transport hubs, a social interaction platform, and part of a culture or daily routine. However, many train stations are designed to meet only the first demand, “transporting people and making the city accessible”. Many stations in Berlin are designed with these thoughts and are therefore unattractive to many passengers travelling to and from the city, leaving other modes of transportation dominant.
The design for a new train station in Berlin will primarily respond to creating a unique traveller experience to enhance the user experience. Digital media and automation technologies play an essential role in this, allowing the station user to configure their own experience at the station according to their needs. The station within which these technologies can serve the user will also have to change its character.
Adding features related to service and experience should ensure that a new platform is created that encourages travellers to use the public transport network. Moreover, adding these themes in a station reduces travel time and enhances the user experience.
The design extends the standard train station by integrating automation technologies that allow travellers to perform daily actions at the station faster. For instance, car and bicycle parking in the station is automated, eliminating parking operations. Moreover, the station will also feature service cores. Integrated into these cores are automated food and package services and digital media that can provide users with necessary travel information, daily news and weather, exhibition display and events.
Besides the addition of automation technologies, the building will also have various functions related to the traveller’s daily routine, facilitating social activities and providing work and study places. Through interactive screens in the station or the telephone, travellers can pre-select the desired functions they will use at the station. The station configures its layout using this data to make the required space available.
Finally, in addition to classifying their travel experience at the station, users can configure their space in terms of spaciousness and climate. By applying these new functions and techniques, the station will no longer be a monotonous building for the user but will be able to react to needs and adapt to current and future use.","Train Station; Berlin; Jungfernheide; Multimodality; Complex Projects","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","Complex Projects Graduation Studio: Bodies and Building, Berlin","52.530368, 13.299746"
"uuid:5135b7b8-3c4c-46cf-a0cc-e2fbf6da5fff","http://resolver.tudelft.nl/uuid:5135b7b8-3c4c-46cf-a0cc-e2fbf6da5fff","Neuro-evolution learned neuromorphic control for a vision-based 3D landing","Lodder, Erwin (TU Delft Aerospace Engineering)","de Croon, G.C.H.E. (mentor); Stroobants, S. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:63d9b851-d15b-40cb-93ec-5eff8092cdbd","http://resolver.tudelft.nl/uuid:63d9b851-d15b-40cb-93ec-5eff8092cdbd","Beyond the wrapper: Uncovering the effect of explicit and implicit packaging design cues on consumer perception and sustainable disposal behaviour","Chen, Xi (TU Delft Industrial Design Engineering)","van den Hende, E.A. (mentor); Granato, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","In pursuit of a more sustainable future, more and more firms are seeking alternative sustainable packaging and corresponding packaging communication strategies to signal its eco-friendliness and stimulate ecological consumer behaviour. Despite the majority of research focusing on the pre-purchase stage of the packaging life cycle, limited attention has been given to enhancing post-consumption packaging disposal. This study examines the effect of both implicit (design strategy: imitation vs. differentiation), and explicit (packaging visualization and claims: long vs. short supply chain) packaging design cues on sustainability perception and disposal behaviour. Results from a laboratory study demonstrate that packaging following a differentiation strategy (vs. imitation) has a positive effect on both perceived sustainability and disposal behaviour. Furthermore, the length of the supply chain, manipulated through on-packaging visualization and claims, significantly influences disposal behaviour, with a significantly higher proportion of consumers correctly disposing of the packaging when the supply chain is depicted as short (vs. long). The findings contribute to environmental psychology and packaging design literature, providing valuable insights to designers, marketers, and policymakers in formulating effective and sustainable packaging design interventions.","packaging design; implicit cues; explicit cues; connectedness to the origin of the supply chain; sustainability communication; sustainability perception; disposal behaviour","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:a280fe93-4b17-4421-b9bb-a5bc7a17b6a7","http://resolver.tudelft.nl/uuid:a280fe93-4b17-4421-b9bb-a5bc7a17b6a7","Verification of multi-axial damage in Glass Fibre-Reinforced Polymer laminates by progressive failure analysis for Civil Engineering applications","Ahmed, Junaid (TU Delft Civil Engineering & Geosciences)","Pavlovic, M. (graduation committee); Veer, F.A. (mentor); Christoforidou, A. (mentor); Koetsier, M. (mentor); Karpenko, O. (mentor); Tromp, Liesbeth (mentor); Delft University of Technology (degree granting institution)","2023","The use of Glass Fibre-Reinforced Polymer as a building material in structures or structural components is on the rise. Standards such as CUR96, DNV and JRC provide a basis of design with the material. However, there is a lack of confidence in the design phase with structures made of Glass Fibre-Reinforced Polymer, resulting in the use of large safety factors causing the components to be bloated in size. At the time of writing this report, the technical committee, CEN/TC 250 (responsible for developing structural Eurocodes), establishes a technical design specification for Fibre-Reinforced Polymer (FRP) structures. This technical specification describes a simplified and linear criterion to determine the capacity of a GFPR Laminate, in addition to being open for the use of Progressive Failure Analysis (PFA). However, the simplified and linear criterion is overly conservative, whereas there is a lack of faith in the use of the PFA considering the failure theories and degradation models that are currently in use. This report discusses the PFA, a non-linear, 5-step, advanced 2D analysis model, that can predict the static strength of in-plane stress dominated Glass Fibre-Reinforced Polymer laminate, with an arbitrary lay-up composition, based on existing knowledge and experiments, including the damage development under multi-axial stress states and stress redistribution. The research is limited to in-plane behavior, under tensile and compressive stresses. The static material response is characterized on a unidirectional ply level based on principal directions and based on experimental results obtained from the OptiDat program. The response predicted by the PFA for both tension and compression was in reasonable agreement with the experimental results. However, depending on the failure theory and degradation model used, there is potential for optimistic predictions of the laminate stress capacity. For future work, it is recommended to continue the research on a larger variety of laminate lay-ups and include more failure theories and degradation models.","Glass Fiber-Reinforced Polymer; Progressive Failure Analysis; Strength prediction","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:879af7ac-4703-4c72-a8ee-0192cb472608","http://resolver.tudelft.nl/uuid:879af7ac-4703-4c72-a8ee-0192cb472608","Making architecture part of a Flood Risk Management strategy through the incorporation of the Dutch water narrative","de Wijs, Melvin (TU Delft Architecture and the Built Environment)","Hooimeijer, F.L. (mentor); Smit, M.J. (mentor); Tomesen, P.L. (mentor); Delft University of Technology (degree granting institution)","2023","Around the world, the Netherlands has a respectable reputation when it comes to water management, with other countries applying best practices to their own water related challenges. This reputation of the Netherlands has been a result of a decade long tradition of living with challenging water conditions. This has resulted in a highly cultured polder landscape that is iconic to the Dutch identity. This research report will explore how this iconic landscape and its buildings will need to adapt to changing climate conditions and how more awareness could be formed for the country’s special relationship to surrounding water. Focus on this transition is important, as the reclaimed land lies several meters under NAP and is also the location that most housing projects in the Dutch Randstad will be situated on. The ultimate aim of the paper is to create an architectonical tactic for Flood Risk Management (FRM) that can add value to Dutch polder areas by increasing awareness regarding the Dutch relationship to water.","Flood Risk Management; Dutch water narrative; Climate awareness","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","Redesigning Deltas","51.95635, 4.84776"
"uuid:5e88d119-146d-496b-8c1b-cec4c77a960d","http://resolver.tudelft.nl/uuid:5e88d119-146d-496b-8c1b-cec4c77a960d","Google Computer Science Faculty: Education Space of Fluidity","Lai, Hongrui (TU Delft Architecture and the Built Environment)","Smidihen, H. (mentor); Heijman, M. (mentor); Hehenkamp, E.W.M. (mentor); Mulder, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the help of information technology, people can not only store the massive knowledge formed over thousands of years in various network databases but also present the process of knowledge production in different spaces at the same time. At this time, education will present a new ecology that can be learned everywhere and all the time. The physical boundaries of peer communication, work, and learning become blurred or even disappear completely, and the fluidity of educational space arises at a historic moment. It can be seen from recent experience that the traditional model of space and ownership (space owned by colleges and departments) is changing to a more diversified and flexible shared space. Success depends not only on the type of space provided but also on how to connect different types of space through circulation and create interactive activities.
This project, Google Computer Science Faculty, based on Google's plans and ambitions to enter the higher education market, tries to create fluidity in the next generation of educational spaces by focusing on the design of circulation areas.","University; Fluidity; Circulation; Education architecture; Google; Computer Science; Berlin","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","Complex projects | Bodies and Building Berlin","52.492350, 13.466346"
"uuid:95ecf13d-3c5f-4bc4-be42-09394176ddb7","http://resolver.tudelft.nl/uuid:95ecf13d-3c5f-4bc4-be42-09394176ddb7","Comparison of the Geomechanical behavior of sandstones from different reservoirs in northern Europe and North Sea","Perez SAlgado, Barbara (TU Delft Civil Engineering & Geosciences)","Barnhoorn, A. (mentor); Pluymakers, Anne (graduation committee); Martinius, A.W. (graduation committee); Delft University of Technology (degree granting institution)","2023","Following the 2015 Paris Agreement, many countries are switching from fossil fuel to a more renewable energy supply. However, the CO$_2$ concentration in the atmosphere keeps increasing which makes difficult to accelerate the transition to a net-zero emission. In 2020, a new record of CO$_2$ concentration at 412.5 ppm was achieved, the highest concentration seen in the last 800,000 years. For this reason, several technologies have been in the focus to help reducing the amount of CO$_2$ in the atmosphere as well as keeping it from increasing.
One effective technique in the mitigation of these emissions is called Carbon Capture and Storage (CCS). It is not a new technology, in fact, it has been used in the Oil \& Gas industry since the early 1970s for the purpose of Enhanced Oil Recovery (EOR). In very general terms, when CO$_2$ is mixed with petroleum in the subsurface, its viscosity decreases making it easier to extract more oil. In addition, some of the CO$_2$ gets trapped in the rock which introduced the idea to use the mechanism to reduce CO$_2$ concentrations in the atmosphere.
However, this technique is not absent of risks and it is one of the main drawbacks experienced with activities that involved the subsurface, the surrounding uncertainties are too great sometimes. Some of the risks that could be encountered when producing, injecting or drilling a well in the subsurface are: under or over pressurization, leakage, uplift or subsidence, induced seismicity, and fault reactivation. In order to reduce the mentioned risks, geomechanical studies help with the understanding of the rock behavior and their response to applied stresses.
In this thesis, UCS and Triaxial laboratory experiments were carried out to test the geomechanical behavior of different rocks coming from Norway, Denmark and Germany. For the triaxial tests, five different cycles at different and increasing $P_c$ were performed. Doing so, some main insights were obtained: the modulus of elasticity increases with depth and confining pressure more significantly with $P_c$ lower than 30 MPa, and plateauing at higher $P_c$; the Young's modulus decreases with increasing porosity and increases with increasing cohesion; the P and S waves velocities change when the yield point is surpassed; with low porosity and high cohesion the acoustic velocities are not dependent on the $P_c$, and vice versa; the SYM and DYM follow similar trends; and the elastic modulus is negatively dependent on the Poisson's ratio.
The information and data obtained from this geomechanical study will be of use to model predictions involving CCS projects to avoid reaching the risks factors mentioned. An example of the lack of knowledge and understanding about rock behavior could be the induced seismicity caused by the extraction of gas from the Groningen gas field. These seismic events acted as a turning point, sparking a necessary shift in perspective and paving the way for a more informed and balanced perception of activities involving the use of the subsurface.","Gemoecanics; Laboratory experiments; Samples; Triaxial tests; UCS; Acoustic waves","en","master thesis","","","","","","","","2024-12-31","","","","Civil Engineering","SHARP",""
"uuid:a9fbadfc-2e3e-474b-9f78-164a1f5edfa1","http://resolver.tudelft.nl/uuid:a9fbadfc-2e3e-474b-9f78-164a1f5edfa1","gunkspace","Snow, Josh (TU Delft Architecture and the Built Environment)","Offermans, T. (mentor); Jennen, P.H.M. (graduation committee); de Krieger, J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Urban and agricultural lands occupied more than 40% of all land surface globally in 2022. Most of these areas are managed through industrial processes that deplete the soil, pollute the water, annihilate biodiversity, and contribute to runaway carbon emissions. It’s all anthropogenic sprawl. Large scale ecological and hydrological restoration, by way of transforming anthropic land use, is essential if we hope to prevent further climate catastrophe.
Focusing specifically on soil health would have implications that reverberate throughout the entire ecosystem. Soil stores more carbon worldwide than is contained in all plant biomass above ground. Healthy soil prevents erosion and mitigates drought and flood due to its ability to absorb and store high quantities of water. Soil is a living composition of multispecies entanglements that determines the viability of plant and animal life above ground. Humans are agents in soil production as much as ants, worms, bacteria and fungus, and thus locate our place within these systems of exchange, rather than without.
Gunkspace is a methodology that demonstrates how agroforestry principles that promote decentralized modes of soil care infrastructure can be integrated into urban and agricultural areas with the hydrological basin serving as a scaling device that zooms in to the narrowest street and all the way out to the entire planet: it’s all connected! What’s more, it can all be done within the existing frameworks that govern much of our anthropocentric space today — in the interstices where gunk already accrues or where it could.
The first case study is the Matanza-Riachuelo river basin in Buenos Aires, Argentina, which is the most polluted waterway in the country. In other words, if this basin can be restored, any basin can be.
Frozen orbits were successfully employed for some of the mission phases of the OSIRIS-REx mission, and past research has greatly focused on the investigation of frozen orbits around Apophis and other small bodies through the use of analytical and numerical methods. However, no prior research has addressed the design of frozen orbits that can survive the close approach in 2029 without orbital correction manoeuvres. The aim of this research is thus to investigate the stability of control-free frozen orbits around Apophis during the 2029 Earth flyby.
To fulfil this goal, both analytical and numerical methods are employed. The analytical analysis involves averaging of Lagrange's Planetary Equations including perturbations from solar radiation pressure and Apophis' zonal gravity up to degree four in combination with a Lyapunov stability analysis and a comparison to numerical simulations. Assuming an argument of periapsis and longitude of the ascending node of +-90 degrees, the analytical method identifies two main solution families: near-equatorial heliotropic/anti-heliotropic orbits and near-polar Sun-terminator orbits. However, the stability analysis predicts only half of the sampled solutions to be stable. The comparison to numerical simulations shows that both analytical techniques fail to identify stable, frozen orbits. The stability index correctly identifies stability for 66.67% of the results that reach the end of a numerical propagation without surface impact or orbital escape. More significantly, 42% of the results are identified as false positives. The variations in eccentricity and argument of periapsis for the solutions that reach the end of a 28-day simulation are approximately 0.69 and 600 degrees respectively for the
near-equatorial solutions and, at best, 0.89 and 215 degrees for the near-polar solutions, which are too large to be considered frozen orbits.
In the numerical analysis, the frozen orbit problem is defined as a multi-objective optimisation problem with two objectives: minimisation of the maximum variation in eccentricity and argument of periapsis. Trajectories with different orbital injection parameters are simulated to find the optimal initial state leading to a frozen orbit. First, the results are focused exclusively on the pre-flyby period with no constraint on surviving the flyby. The best solutions lead to a maximum variation in eccentricity and argument of periapsis of approximately 0.047 and 66 degrees respectively over a 28-day period. However, these orbits all eventually collide with the asteroid at the time of the flyby. Imposing a constraint on survival increases the maximum variation in eccentricity and argument of periapsis to ranges of 0.08-0.21 and 103-110.5 degrees in the pre-flyby period. The behaviour post-flyby is stable for some of these solutions but no longer corresponds to the frozen configuration. In both cases, the solutions are categorised under the near-circular, near-polar, Sun-terminator frozen orbit family, the same type of orbit employed for the frozen orbit phases of the OSIRIS-REx mission. Despite the limitations of this work, the numerical pre-flyby results exhibit robustness against uncertainties in modelling parameters and orbital injection inaccuracies.","Frozen Orbit; Stability; Orbit Design; Mission Design; Space Exploration","en","master thesis","","","","","","","","2025-07-07","","","","Aerospace Engineering","",""
"uuid:5d4d5185-8273-4a93-8b03-2dadf4e263ea","http://resolver.tudelft.nl/uuid:5d4d5185-8273-4a93-8b03-2dadf4e263ea","(Dynamic) hedging of a mortgage portfolio: Investigating margin and value stability","de Vries, Lisa (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Applied Probability)","Papapantoleon, A. (mentor); Barsotti, F.B. (mentor); Parolya, N. (graduation committee); van Pelt, Nick (mentor); Delft University of Technology (degree granting institution)","2023","Banks issue mortgages with an embedded option for borrowers to prepay a part of the loan. However, this behaviour poses a risk to banks as it disrupts the level and timing of mortgage cash flows. From an earning perspective, when interest rates decrease, customers are financially incentivised to prepay their mortgages, resulting in a decrease in the bank’s income when the cash proceeds are reinvested at a lower rate. Conversely, from a value perspective, with an increase in interest rates, reducing the financial incentive to prepay, cash flows are moved further ahead in time, thereby increasing the duration of the mortgage. These two scenarios highlight the instability in the bank’s margin and value caused by prepayments. To address this risk, banks employ hedging strategies to mitigate the prepayment risk and achieve margin and value stability. This research aims to identify an effective hedging strategy that can accomplish both.
The research utilised the one-factor Hull-White model to simulate various interest rate scenarios, while an interest rate-dependent logistic prepayment model provided monthly prepayment rates based on the mortgagors’ refinancing incentives. Ten different hedging techniques were explored, including the internal funding, a static and dynamic notional hedge, and a static and dynamic value hedge. Additionally, a calibrated receiver swaption was included in each of these five hedging approaches. Subsequently, each of these hedging approaches was assessed for its margin stability, measured by the variance of the net interest margin, and its value stability, evaluated through the variance of the net present value, the average basis point value, and the NPV-at-Risk in ±200 basis point shocked interest rate scenarios.
The analysis indicated that relying solely on internal funding performs poorly in terms of both margin and value stability. Dynamic hedges were found to generally outperform their static counterparts, due to their ability to respond to market changes. Furthermore, the notional hedge demonstrates superior margin stability, while the value hedge exhibits the best value stability. Additionally, the analysis revealed that the incorporation of a receiver swaption significantly improves the NPV-at-Risk but has limited impact on the other risk metrics. Based on the conducted research, it is concluded that for a bank aiming for both value and margin stability, the most effective hedge strategy is the dynamic value hedge without the utilisation of a swaption. However, it should be noted that the ultimate choice for a hedging strategy depends heavily on the risk appetite of each bank. If a bank prioritises attaining margin stability, the recommended choice would be the dynamic notional hedge without the incorporation of the receiver swaption. On the other hand, for a bank that prefers value stability over margin stability, the dynamic value hedge without the inclusion of a swaption should be considered. Moreover, the final decision may also be influenced by mandatory requirements imposed by financial regulators, such as the European Central Bank.","Prepayment risk; Hedging; Value stability; Margin stability; Swaptions","en","master thesis","","","","","","","","","","","","Applied Mathematics | Financial Engineering","",""
"uuid:f29f1580-0ca0-4c38-8045-a7aea2a8d8fb","http://resolver.tudelft.nl/uuid:f29f1580-0ca0-4c38-8045-a7aea2a8d8fb","Simultaneous drone localization and communication using visible light","Lut, Jasper-Jan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Zuniga, Marco (mentor); Xu, M. (graduation committee); Wang, Q. (graduation committee); Delft University of Technology (degree granting institution)","2023","Drones that perform complex autonomous movements require a perfect estimate of their current position. However, internal measurement unit (IMU) errors introduce drift in this estimate, leading to significant discrepancies between the predicted and actual location. Various solutions have been proposed to calibrate the IMU, including methods involving cameras and humans in the loop. This thesis suggests implementing a previously developed technique that involves projecting a precise static light polarisation grid into a room. Although this pattern is invisible to the human eye it can be observed using a polariser and colour sensor combination. A drone equipped with such a sensor setup can recalibrate for IMU drift by utilising the perceived polarisation patterns as optical landmarks.
The system design is further developed by exploring the potential of visible light communication (VLC) as an alternative to traditional radio frequency (RF) links for drone control. By leveraging the existing infrastructure used for the projection of the polarisation grid, a VLC link is integrated into the system. With the addition this work strives to fuse polarisation-based localisation and VLC,
setting the first steps in creating a fully visible light-based drone platform.
To validate the system, a prototype is created that achieves real-time simultaneous localisation and communication on an embedded drone. This is accomplished through machine learning based classification, a drone motion model, an optimised polarisation pattern enabling fast localisation and a noise-resistant VLC link. Experiments show a median 2D tracking error of 10cm using only light-based methods and a VLC link range of up to 2.5 meters under various conditions.
progress in the 1950s; the European Parliament in Strasbourg symbolized the openness and democracy of the newly created European Union in the 1990s. If we believe that our buildings shape us - as Winston Churchill once said - what parliament are we seeking now? What comes next?
The ambition of this project is to reflect on the powerful symbolism of the parliament as a tool for an emergent and extremely relevant global challenge: sustainability.","Sustainability; Architecture of power; Parliament building; Bundesrat; Governmental building; Berlin; Platz der Republik","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","52.518620, 13.372674"
"uuid:99d90fae-ec9b-400a-9f89-4b6e94590d64","http://resolver.tudelft.nl/uuid:99d90fae-ec9b-400a-9f89-4b6e94590d64","Euroterminal Berlin: A high-speed trainstation driven by the future","Smit, Pim (TU Delft Architecture and the Built Environment)","Heijman, M. (graduation committee); Smidihen, H. (mentor); Delft University of Technology (degree granting institution)","2023","Euroterminal Berlin is an international high-speed terminal located in Südkreuz, Berlin. The design explores the impact of digitalization on the typology of train stations and subsequently its users.","Trainstation; High-Speed Rail; Complex Projects; berlin; Data-driven; International rail","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:08cb4b5e-3801-46c4-81a3-5427d09d1a8a","http://resolver.tudelft.nl/uuid:08cb4b5e-3801-46c4-81a3-5427d09d1a8a","Wave Effects on Power Mismatch Losses in Offshore Floating PV","Tiwald, Philipp (TU Delft Electrical Engineering, Mathematics and Computer Science)","Ziar, H. (mentor); Colomes, Oriol (mentor); Alcañiz Moya, A. (mentor); van Swaaij, R.A.C.M.M. (graduation committee); Lavidas, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Global warming represents the most significant threat to humankind, making the need for renewable energy more crucial than ever. However, in densely populated areas near the coast, electricity production faces competition from various sectors such as agriculture, housing, and tourism. To address this challenge, one viable solution is to explore offshore electricity production.
Building upon this context, this research delves into investigating the wave-induced effect on power mismatch losses along a PV string in offshore floating photovoltaic (OFPV) systems. OFPV offers a promising solution for generating electricity in unused marine areas, complementing offshore wind energy. Although OFPV holds great potential, our understanding of its complexities remains limited, particularly regarding the impact of wave-induced power mismatch losses. To bridge this knowledge gap, a comprehensive approach is taken. A floating structure is modeled using the Bernoulli-Euler beam theory, while the fluid domain is analyzed using potential flow/linear wave theory. Structural behavior is examined in the frequency domain through the application of a FEM with the package Gridap in Julia. The wave amplitude spectra are determined using the Jonswap sea spectrum, with consideration given to four distinct sea states based on the Douglas sea scale: slight, moderate, rough and very rough. The optoelectrical modeling is conducted in pvlib in Python.
The results reveal that monthly energy losses due to power mismatch are negligible during summer months for all sea states studied. However, in winter months, monthly energy losses exceed 1%, with daily losses reaching up to 6%. Additionally, the orientation of the PV string is identified as a crucial parameter for minimizing losses. Finally, the findings indicate that using either a thick structure with a stiff and dense or a thin structure with a flexible and lightweight material can help reduce energy losses caused by power mismatch.","Offshore Floating Solar; VLFS; Floating PV; Power Mismatch Losses; OFPV","en","master thesis","","","","","","","","2025-07-07","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:e2651828-8686-4dd3-99c6-dceb5350569b","http://resolver.tudelft.nl/uuid:e2651828-8686-4dd3-99c6-dceb5350569b","Het effect van ontwerpmaatregelen bij trein-geïnduceerde trillingen in woongebouwen","Westerink, Daan (TU Delft Civil Engineering & Geosciences)","Steenbergen, M.J.M.M. (mentor); Rots, J.G. (graduation committee); Schuurman, A.C.B. (graduation committee); Korswagen, Paul A. (graduation committee); Robbemont, A.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Dit onderzoek richt zich op het verminderen van trillingshinder in CLT- en betongebouwen, zodat ze voldoen aan de richtlijnen en er meer naast het spoor gebouwd kan worden. De centrale vraag van het onderzoek is hoe specifieke ontwerpmaatregelen kunnen leiden tot een vermindering van trillingshinder in overeenstemming met de richtlijnen. Een voorspellingsmethode is ontwikkeld om trillingshinder te voorspellen op basis van trein-geïnduceerde trillingen, waarbij voorwaarden gesteld worden aan de trilling en het frequentiespectrum. Ontwerpmaatregelen zijn bepaald voor zowel CLT (variërende dikte, totale vloerdikte, overspanning, permanente belasting) als beton (variërende vloer- en wanddikte, overspanning, stramienconfiguraties, starre vloerverbindingen). Analyse toonde aan dat ontwerpmaatregelen met betrekking tot vloer- en wanddikte en overspanning het meeste effect hadden op de eigenfrequentie van de vloer. De methode omvatte ook het gebruik van een eindig elementen model voor dynamische analyses, waaronder een frequentieresponsanalyse en een lineaire tijdsafhankelijke analyse. De methode werd toegepast op een casus in Arnhem en vergeleken met de SBR richtlijn-B voor het bepalen van hinder voor personen in gebouwen gedurende de nachtperiode. De methode bleek effectief in het voorspellen van trillingshinder bij verschillende ontwerpmaatregelen, hoewel er een onderschatting was bij maatregelen met resonantiepieken rond 10 Hz en 12 Hz. Voor zowel het CLT-model als de betonmodellen waren vergroting van de overspanning en toepassing van doorlopende tussenwanden effectieve ontwerpmaatregelen om aan de richtlijnen te voldoen. Verder onderzoek op meerdere locaties wordt aanbevolen, waarbij het gebruik van een spectrum met de volledige frequentie-inhoud van trein-geïnduceerde trillingen wordt aanbevolen en het middelen van de frequentie-inhoud wordt afgeraden.","","nl","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:2b3bcda1-668a-4e14-8071-c52f8f814acb","http://resolver.tudelft.nl/uuid:2b3bcda1-668a-4e14-8071-c52f8f814acb","Messe Performance Cube: Performing Arts Center Berlin","Scharringa, Edwin (TU Delft Architecture and the Built Environment)","Smidihen, H. (mentor); Heijman, M. (mentor); Hehenkamp, E.W.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Messe Performance Cube, complements the cultural heart of the Messe Berlin exhibition grounds, situated next to one of the busiest transportation nodes of Berlin. It is a centre for a wide range of performing arts and stands among the global frontrunners in the field of innovative theatre experience. The Cube transcends the traditional organization of theatre buildings, adopting a new approach in its design and layout. The design pushes the boundaries of performance spaces, resulting in a robust structure that can accommodate countless forms of performances. Due to its versatile design, the Messe Performance Cube extends beyond the confines of music, theatre or spectacle. It can also serve as a space for exhibitions, events, festivals and studios and can be played by artists and curators as an everchanging instrument.","Performing arts center; Theater design; Stage; Audience; Seat; Complex Projects; Berlin; Opera; concert hall","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","Bodies and Building Berlin","52.507500,13.279900"
"uuid:1418bc01-4806-4647-9353-9bbd59700b63","http://resolver.tudelft.nl/uuid:1418bc01-4806-4647-9353-9bbd59700b63","Revitalizing the urban life: Design a three-dimensional public space system by combining top-down and bottom-up approaches through serious gaming in Mong Kok, Hong Kong, China","Ruan, Zhihao (TU Delft Architecture and the Built Environment)","Verschuure, G.A (mentor); van Bueren, Ellen (mentor); Delft University of Technology (degree granting institution)","2023","","public space; right to the city; top-down approach; Bottom-up approach; Serious game; Hong Kong","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","22.3204,114.1698"
"uuid:fcf5683d-d01b-4c38-950e-cdb0e46eda1e","http://resolver.tudelft.nl/uuid:fcf5683d-d01b-4c38-950e-cdb0e46eda1e","Engaging Dutch citizens in the decision making of district heating networks: Comparing the effects of a Public Value Evaluation and a survey","van den Berg, Joost (TU Delft Civil Engineering & Geosciences)","Hoppe, T. (graduation committee); Mouter, N. (graduation committee); Goes, K. (mentor); Spruit, S.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Dutch government is committed to transitioning to a low-carbon economy. District heating networks are considered essential for achieving this transition. This research aims to compare two different methods of involving citizens in decision-making and development related to district heating networks. The novel Participatory Value Evaluation (PVE) method is compared to a general survey to explore differences in the results of these two. The research approach encompasses multiple components, including 12 stakeholder interviews, the design and use of a Participatory Value Evaluation (PVE) and survey and subsequent analysis. The survey and PVE were even and randomly distributed across 2700 citizens of the neighbourhood Blijdorp in Rotterdam. This led to 138 completed PVE’s or surveys. As a last step the results of the two different consultations were analysed in terms of reaching the stakeholders goals. The research findings indicate that a Participatory Value Evaluation and survey have different results in incorporating the goals of the involved stakeholders. The PVE was able to create more detailed input for the stakeholders and was better in creating understanding of the complexity of the problems the stakeholders are facing. However, it is important to acknowledge that respondents in the PVE took longer to complete the evaluation and the PVE was rated more challenging than the survey. As a result, the response rate for the PVE was only half as much as the survey. This research contributes to the field of research into public participation in multiple ways. Firstly, the PVE has been applied in a new context. It has been shown that a PVE can be utilised to address the challenges of engaging citizens in the establishment of heat networks. Secondly, most studies on a PVE as a participatory method lack comparative research. This study demonstrates that a PVE and survey have distinct advantages and disadvantages. The identified advantages and disadvantages have been compared to findings from other studies on PVE. While some align with existing research, others present contrasting results.","PVE methodology; District Heating Network; Public Participation","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:de5fd4af-db36-4f15-a461-f38d132b7116","http://resolver.tudelft.nl/uuid:de5fd4af-db36-4f15-a461-f38d132b7116","Cosmic Troubleshooting: Designing supervised autonomy for astronaut – robot coaction in space","Filthaut, Lilly (TU Delft Industrial Design Engineering)","Murray-Rust, D.S. (graduation committee); Lupetti, M.L. (mentor); Leidner, Daniel (graduation committee); Delft University of Technology (degree granting institution)","2023","Space exploration has historically driven technological innovation, resulting in significant advancements with applications in various industries on Earth. The exploration of Mars has emerged as a crucial objective, offering opportunities to search for signs of life and gain insights into planetary evolution. However, working on Mars presents numerous challenges, including the lack of a breathable atmosphere, different gravity, extreme temperatures, and the need for infrastructure development. Robots have proven resilient on the Martian surface but still require real-time control and decision-making from ground operators. To address this challenge, a promising solution involves astronauts in orbit around Mars controlling the robots, utilizing high-bandwidth communication techniques and autonomous capabilities.
This work focuses on the Surface Avatar project, led by the DLR and ESA, which involves the humanoid robot, Rollin Justin. The project aims to gain valuable insights into the efficient control of robots in future space missions, particularly through collaborative exploration and construction tasks. Rollin Justin, equipped with autonomous capabilities, features a user interface that allows manual controls through various input devices and autonomous operation through interface commands.
While the concept of an astronaut-robot pairing shows promise, several challenges remain. Error handling during teleoperation poses a significant issue, as error messages often lack specificity, leaving astronauts confused and without immediate assistance due to the distance between Earth to Mars and the associated communication delays. Limited situational awareness, unfamiliarity with robot constraints, and a large time gap between training and usage further complicate astronaut interactions with the robot. Addressing these problems is critical for optimizing astronaut-robot cooperation and reducing cognitive workload during Mars missions.
To address the challenges, this work adopts a research-through-design approach, specifically focusing on user experience research and design. Extensive initial research including sessions at the DLR and literature review, was conducted to identify key issues impacting error-handling capabilities. Based on the research findings, conceptual solutions were developed to address the identified core issues. These concepts were evaluated for feasibility and desirability, considering expert input. Selected concepts were further developed, drawing inspiration from game cues and elements for user interface design. High-fidelity prototypes were created to represent the refined concepts accurately: A third-person perspective including game elements to allow for better situational awareness and a debug page that guides the user through potential error reasons in the moment of an occurring planning error. The prototypes underwent evaluation using various methods, including user sessions at the DLR and a comparative study.
The results for both prototypes reveal important enhancements in user experience and a reduction in cognitive workload compared to the existing system. The findings led to informed recommendations for further improvements in the interface design, the robot’s camera setup and the communication of errors to enhance error-handling capabilities for astronauts in future missions. ","Space Teleoperation; robot Teleoperation; Error Handling; error Communication; Space Robotics; UX Design; Interface Design; Game Design","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:623033d3-2e9b-475c-b421-7c0661987cdd","http://resolver.tudelft.nl/uuid:623033d3-2e9b-475c-b421-7c0661987cdd","Water Resources Optimization using Receding Horizon Control and a Weather Generator: A Case Study of the Elqui Basin, Chile","García Grez, Felipe (TU Delft Civil Engineering & Geosciences; TU Delft Water Management)","Abraham, E. (mentor); Hrachowitz, M. (graduation committee); ten Velden, Corine (graduation committee); Faneca, Marta (graduation committee); Delft University of Technology (degree granting institution)","2023","The integrated management of river catchments is a challenge to be addressed by many water authorities, where some of them even today do not yet incorporate the aquifers’ status in decision-making. This situation has led to the depletion of many aquifers, deeply affecting the drinking water supply, agriculture, and industries. This challenge is combined with the difficulty of decision-making under highly uncertain long-term weather forecasts.
This thesis research proposes a new water management methodology for the Elqui River basin in Chile by using an optimization model aligned with the water authorities’ main objectives and additionally incorporating the aquifer criteria. The optimization model is validated by comparing the results obtained over the 2010–2020 period with the water management practices employed during the same period.
Furthermore, an analysis of the performance of the model using different moving window lengths is executed by the implementation of a Receding Horizon Control (RHC) methodology, evaluating how well the solution is by comparing it with the historical simulation over the same period. The latter is done by looking at the performance of the key optimization goals and using a RMSE and R2 analysis.
Finally, a weather generator was used to randomly generate weather data, based on the 30-year period between 1990 and 2020. The random weather conditions are incorporated in a hydrological model to translate weather data into water volume into the reservoir. Making use of the optimization model, the RHC methodology, and the weather generator, the proposed methodology is tested, enabling the simulation of the decision-making processes. The results are again compared with the water management practices employed over the simulation period.
The research concludes that the proposed methodology brings significant benefits to the aquifers’ status, with neglectable impact on the Desmarque values. Receding horizon (RH) length plays a crucial role, with a balance between achieving optimal results and avoiding computational delays, recommending a RH length of 360 days for best results. The stochastic weather generator effectively replaces unpredictable forecast data, yielding comparable results to real future weather conditions, with temperature and accumulated snowpack playing important roles.
Extensive research papers have been dedicated to discussing various aspects of WIS control, modeling, and identification. Although simulations can yield valuable insights, it is essential to validate the results in real-life systems due to certain factors that cannot be precisely estimated, such as leakages along the channels. To address this need, a scaled-down version of a WIS at TU Delft has been developed, which effectively emulates the behavior of a real water irrigation system. Through continuous refinement and design enhancements, the simulator has proven to be a valuable tool.
Working with the scaled-down WIS has presented significant challenges, but a dedicated controller has been developed, yielding satisfactory results. The approach to controlling the WIS is simple yet effective, employing a decentralized controller that is applied to both the nonlinear simulator and the real setup. Remarkably, this approach successfully meets the predefined reference points, even in the face of applied disturbances to the system.
Recycling of steel components has become common practice over the years. Reusing steel components is less common. Reusing structural steel components can reduce overall emissions. This is because it excludes the highly impactful manufacturing phase (Yeung et al., 2016). Structural steel is suitable for reuse because members are often connected by reversible connection principles. Additionally, the steel industry has a high level of standardization and prior to reuse the structural integrity can be easier guaranteed through testing or available certification in comparison to concrete (Fivet & Brütting, 2020). When talking about the efficient use of materials also the gridshell topology is interesting to mention. Because of the double-curvature a gridshell is able to span large areas with less structural mass (Schober, 2015). Both the use of gridshell topologies and the reuse of steel are combined in this research. The question this research tends to answer is formulated as follows:
“How can computational optimization contribute to the design of gridshell structures consisting out of a finite stock of reclaimed steel beam members with the goal to improve the eco-performance calculated in embodied greenhouse gas emissions?”
From the literature different forms of structural optimization methods were found that related to the gridshell structural topology. In the literature sizing-, shape-, and topology optimization are mentioned (Li, 2018). Sizing- and topology optimization are most relevant within the scope of this research. Within this research sizing optimization is limited to stock-constrained optimization. This form of optimization optimizes according to a finite stock. Topology optimization can be divided into rationality-based optimization and structural-based optimization. Within the research of Brütting (2020) optimization of structures out of a finite stock is conducted according to the scenarios of deconstructing and reusing steel and the new production of steel. From additional research another scenario was identified. This is a scenario where a third party or a party via a material database offers their stock. Within this research this scenario is called the stockpile scenario...
However, other major risks include the disenfranchisement of Chamarajpet Maidan’s everyday existence, and by extension, of its everyday stakeholders. A failure to understand these tensions will exacerbate the megacity’s existing infrastructural and social woes. This study explores how public space could address religious communalism. It has been found that, in addition to exploring the religious and ritual meanings of the maidan through analytical cartographies, an analysis of its ‘worldings’ - through media representations and physical-social realities - were invaluable to produce a countercartography of the maidan. These countercartographies were possible with reference to books, journal articles, news articles, historical maps, site observations and interviews. Public architecture could address religious communalism if the maidan’s religious conflict is addressed alongside its everyday social needs. A longterm view necessitates the integration of (unanticipated) stakeholder uses and clear governance for infrastructural resilience. Politicised religions, which normalise a Muslim versus Hindu antagonism, is becoming ever more rampant in India. To understand its spatial ramifications is a first step in addressing a nation-wide problem.","public space; communalism; Rituals; Everyday; maidan; countercartography","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Transitional Territories","","12.959769, 77.562675"
"uuid:4e1c7edb-ab99-4d6a-bc34-75e53e269e04","http://resolver.tudelft.nl/uuid:4e1c7edb-ab99-4d6a-bc34-75e53e269e04","Optimal Stop Location Analysis for Urban Tram Systems: A Multi-Objective Optimisation Model for Minimising Social, User and Operator Costs","de Ridder, Tim (TU Delft Civil Engineering & Geosciences; TU Delft Transport and Planning)","van Oort, N. (mentor); Farah, H. (graduation committee); van Arem, B. (graduation committee); van der Stok, Hans (graduation committee); van den Berge, Johan (graduation committee); Delft University of Technology (degree granting institution)","2023","Enhancing and expanding public transportation is becoming a crucial solution to the high costs of congestion and the escalating environmental impacts of car-centric transportation systems observed in numerous cities today. One approach to enhance the quality of public transportation, and thereby boosting its ridership, is through the intelligent design of stop locations. Strategically locating stops can increase coverage of transit and reduce overall trip times. However, selecting stop locations is a relatively intricate task as it involves striking a balance between two competing objectives; accessibility and efficiency.
In this thesis, a multi-objective optimisation model is presented to make the trade-offs between several factors influencing stop locations explicit. The model enables a comprehensive assessment of transit objectives by evaluating alternatives, determining demand and running times in detail, and examining network effects. Relevant factors such as sociodemographic characteristics within catchment areas, travel patterns, transit alignments, and transfer locations are modelled for different areas of the system.
The developed model is employed in a case study that focuses on the tram network of The Hague in the Netherlands. Various objectives are evaluated to determine the optimal stop locations and the factors that affect them. The results reveal that the ideal stop locations are contingent on the objectives established. Despite this, the number of stops in the system of The Hague is reduced by at least 6% for all considered objectives. When the user costs are minimised, an increase in ridership of 4% can be achieved, whilst saving 3% of operator costs. Moreover, it is concluded that optimising the network for either the operator or society results in 17% fewer stops, and a subsequent estimated cost saving of 9%, without any negative impact on ridership.","Mathematical Optimisation; Stop Locations; Public Transport; Tram; Objective","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:359e60c6-a434-49be-9ec1-b49e94c29095","http://resolver.tudelft.nl/uuid:359e60c6-a434-49be-9ec1-b49e94c29095","Successful Scale-Up Support for Start-Ups in Industrial Biotechnology: A Multiple Case Study on Scale-Up Support Ecosystems","Brouwer, Gijs (TU Delft Applied Sciences; TU Delft Technology, Policy and Management)","Ortt, J.R. (mentor); Delgado Medina, Fátima (graduation committee); Cuellar-Soares, Maria (graduation committee); Delft University of Technology (degree granting institution)","2023","Industrial biotechnology is labelled by the European Commission as one of the six key enabling technologies to fight climate change. Industrial biotechnology is a sector where biocatalysts (cells or enzymes) are used to convert renewable feedstocks (e.g. sugars) or even waste into valuable compounds such as renewable chemicals or food (ingredients). Unfortunately, the road from invention to commercial production is long in industrial biotechnology, and most biotechnologies that look promising after laboratory development fail to cross the Valley of Death and reach industrial scale. Industrial biotechnology has a long technology development time before being commercialised, is capital intensive, has economies of scale, and usually produces bulk products with low profit margins requiring large industrial-scale production for economic viability. Scale-up support can facilitate scale-up towards industrial scale. Scale-up support was, for example, offered with the Bioprocess Pilot Facility at the Biotech Campus Delft, before its bankruptcy in November 2022. This bankruptcy occurred while being fully booked. This has left the Planet B.io - Biotech Campus Delft scale-up support ecosystem with a lot of potential. In an attempt to scientifically address this scale-up support problem, a knowledge gap was found on the verge of technical scale-up, industrial biotechnology, and scale-up support ecosystems. This led to the main research question: How can a scale-up support ecosystem for industrial biotechnology be best organised and operated?
To answer this, a multiple case study was conducted on the scale-up support ecosystems of Planet B.io - Biotech Campus Delft, Copenhagen, and Brightlands Chemelot. This case study was performed through desk research and semi-structured expert interviews with 3 different types of experts (ecosystem, technical scale-up and start-up expert) per ecosystem, resulting in 9 interviewees. This case study applies the Technological Innovation System (TIS) framework to a novel context and integrates it with the four identified scale-up support elements (technical facilities \& services, funding \& business services, network formation \& coordination, and knowledge \& talent) offering a framework to study scale-up support ecosystems. This study identified the scale-up support requirements for industrial biotechnology. These scale-up support requirements are, among others, a flexible and fully-serviced shared piloting facility up until TRL 6 ($\approx$ 2000 L bioreactor), a lab- to pilot- and industrial-scale technical support service, investment planning service and help with raising funding. These should be offered within a scale-up support ecosystem using milestone-based billing as a preferred revenue model, whereas a government voucher system should be set up to pay for the lab- to pilot- and industrial-scale technical support service. Also, the most important stakeholders for a scale-up support ecosystem were identified, including multiple large corporations, government institutions, universities (and other types of education), suppliers, and service providers. Based on the findings, a roadmap for the development of the Planet B.io - Biotech Campus Delft scale-up support ecosystem was proposed, focusing on strengthening the network, knowledge, talent, and funding before offering a piloting facility and business services. This study contributes to the field with a framework to study scale-up support ecosystems as well as with practical recommendations for scale-up support ecosystems in industrial biotechnology and similar industries, identifying the scale-up support requirements, its business models and required stakeholders.","Scale-up Support Ecosystem; Industrial Biotechnology; Valley of Death; Piloting; Technological Innovation System (TIS); Business Models; Stakeholders; Roadmap","en","master thesis","","","","","","","","2024-07-13","","","","Management of Technology (MoT)","","52.018397, 4.340845"
"uuid:3dba9581-5b15-4b62-9f54-6eb222c59dff","http://resolver.tudelft.nl/uuid:3dba9581-5b15-4b62-9f54-6eb222c59dff","Mobility injustice: focusing on individuals' everyday mobility experiences and capabilities (case study for a vulnerable neighbourhood in the Hague Southwest)","Meulepas, Jolien (TU Delft Civil Engineering & Geosciences)","van Wee, G.P. (mentor); Annema, J.A. (graduation committee); van Binsbergen, A.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research deepens the understanding of issues of mobility injustice, related to accessibility, in context of vulnerable neighbourhoods in peri-urban areas. Through the use of a case study of a vulnerable neighbourhood in the Hague Southwest, this paper aims to add to the understanding of the mechanisms underlying the causes (accessibility barriers) and consequences (on the opportunities to access valued activities) of accessibility issues from a residents’ perspective, in the context of vulnerable neighbourhoods. This research adopts the Capabilities Approach as a technical tool, which has been gaining attention in considering issues of mobility injustice. Central to this approach is that it puts the emphasis on individuals’ opportunities to access valued activities (capabilities) instead of focusing on realised behaviour. Everyday mobility experiences can be used as an analytical tool to describe the relationship between mobilities and capabilities; it creates understanding in how mobility contributes to, or impedes, individuals’ opportunities to access valued out-of-home activities, and thereby affects one’s well-being. The focus on everyday mobility experiences and capabilities contributes to a deeper understanding of the nature of the problem from the perspective of a group for which currently very little is known. This is considered vital information for the effective design of solutions/policy instruments countering mobility injustice, relevant to accessibility.","Mobility injustice; Transport poverty; Capabilities Approach; Vulnerable neighbourhoods","en","master thesis","","","","","","","","","","","","Transport, Infrastructure and Logistics","",""
"uuid:8363a92e-6560-456e-b864-9b736ee490d7","http://resolver.tudelft.nl/uuid:8363a92e-6560-456e-b864-9b736ee490d7","Hybrid Adaptability","Bomben, Benjamin (TU Delft Architecture and the Built Environment)","Snijders, A. (mentor); Stoutjesdijk, P.M.M. (mentor); Jennen, P.H.M. (mentor); Delft University of Technology (degree granting institution)","2023","There is a significant problem with how we conceive our built environment, the traditional nature of the construction industry lags in innovation compared to nearly every other industry. As a result, the industry is unable to quickly respond to changing social, environmental and economic contexts. Requiring new methods of construction and ways of design thinking in order to meet the demands of the present and anticipate change in the future. Within the context of TU Delft Campus, there is a great need to accommodate an increasing student population and subsequently need to maximize the use of space throughout campus. The research paper aims to explore what combination of prefabricated 1D, 2D and 3D engineered timber systems generates the most optimized use of engineered timber for a hybrid building. Essentially creating a timber kit of parts system based on the proportions of the parking lot to be able to generate site specific responses throughout Delft Campus. With the ease of assembly and disassembly of prefabricated timber systems, a designed kit of parts can transform the underused parking lot locations throughout Delft Campus.","Modularity; Kit of Parts; hybrid architecture; Circularity; TImber; Sustainability","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","","52.00227185104653, 4.373754798230015"
"uuid:f60d071c-2e68-476d-9fd9-2d56277c2396","http://resolver.tudelft.nl/uuid:f60d071c-2e68-476d-9fd9-2d56277c2396","Cooperative Transformation for Sustainable Densification: Creating a catalyst for a circular ecology in the postwar neighborhood","de Beun, Martin (TU Delft Architecture and the Built Environment)","Snijders, A. (mentor); Stoutjesdijk, P.M.M. (mentor); Jennen, P.H.M. (graduation committee); Nottrot, R.J. (mentor); Delft University of Technology (degree granting institution)","2023","Increasing density of housing in postwar neighbourhoods can simultaneously help in reducing housing shortages, address social-economic issues and enable a 2000-watt-society. Shifting household compositions have seen household sizes reduced and floor space usage increase, which corresponds to higher energy and material use. Reducing floor space usage per capita is a prerequisite for enabling sustainable housing. Usage of efficient principles from cooperative housing, such as sharing functions and spaces can allow for greatly reduced floor space usage per capita, without reducing quality of dwellings. The application of these principles in the postwar neighbourhood through transformation of existing buildings can be key in ensuring that they become suitable for a circular economy by 2050.","Cooperative; Transformation; Densification; Postwar neighborhood; 2000-watt society; Circularity","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","","52.36480007338415, 4.663645116600813"
"uuid:6e40aece-b9f2-40b9-8400-ab437df8799b","http://resolver.tudelft.nl/uuid:6e40aece-b9f2-40b9-8400-ab437df8799b","Beyond a food container: Enhancing the relationship between ultra-processed sustainable food products and consumers through multisensory packaging experience","Huo, Shicheng (TU Delft Industrial Design Engineering)","Schifferstein, Hendrik N.J. (mentor); Huisman, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Consumers encounter many food choices in the supermarket, including ultra-processed food. Observing in the context and reflecting on the daily interactions, these products often present with product identities visually, and the food does not transparently show on the packaging. Consumers can see images of dishes, contextual visuals, labels, product claims, rendering pictures, ingredient depictions, and various product representations in many styles on the packaging of different ultra-processed food. The lack of transparency reduces the intuitive communication of the food’s authentic attributes. More challenges are shown in the context, including consumers’ habitual decision-making, bias brought by labels and product claims, and market trends of relying on visual representations. These are insights revealed from literature research, observations, and context analysis.
In the spectrum of ultra-processed food products, sustainable food is relatively new, with large growth potential compared to other well-established ultra-processed food categories. The mentioned challenges add potential obstacles to sustainable food in effectively communicating its unique food identities and values. Recognizing these challenges, the project focuses on ultra-processed sustainable food, as this category faces less effectiveness in communicating food attributes and values and can improve on establishing a stronger connection with consumers.
To foster the relationship between consumers and ultra-processed sustainable food, the project leverages multisensory packaging design as an opportunity to enhance the experience in a future context. The project developed the idea through multiple design exploration sections combining multisensory design and traditional design methods to implement the vision. During the explorations, the concept development is based on deconstructed food-related messages (e.g., food textures, tastes, associations, and values), transforming them into design elements (e.g., packaging materials, patterns, structures, and shapes) that can be effectively conveyed through sensory stimulations. Interactions are involved along the process to ensure the harmonies, intuitiveness, and other main qualities for the holistic experience. The final results of the multisensory packaging design apply to a meat alternative and a dairy alternative product. The innovation transformations in the packaging design empower consumers to uncover food messages through various sensory modalities. Furthermore, the concept showcases the distinct values of sustainable food. The final concept demonstrates a multisensory packaging experience that utilizes design elements in message delivery in a cohesive, meaningful, and immersive way.","Multisensory experience design; Food packaging; Sustainable food","en","master thesis","","","","","","","","","","","","Design for Interaction","",""
"uuid:0c0c6122-f6f4-4f99-85f9-0a6bc06f7c62","http://resolver.tudelft.nl/uuid:0c0c6122-f6f4-4f99-85f9-0a6bc06f7c62","Going with the Flow: A study on the impact of stratified North Sea coastal currents on energy consumption in dredging projects","Schless, Stefan (TU Delft Civil Engineering & Geosciences; TU Delft Hydraulic Engineering)","de Boer, G.J. (mentor); Pietrzak, J.D. (graduation committee); van Koningsveld, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The goal of achieving net-zero emissions by 2050 requires innovative ways to reduce energy consumption in all sectors. This thesis presents an in-depth analysis on the effect of coastal currents on energy consumption of sailing dredging vessels and the potential to make use of these currents to minimize energy consumption in dredging projects. To model the highly dynamic and time-dependent currents of the North Sea, an analytical solution for the tidal-induced currents and residual density-driven currents was used to create a synthetic 3D flow field, respectively derived by Prandle and Heaps. To quantify the energy consumption of dredging vessels sailing through a 3D flow field, a python-based model was developed. This model utilizes the Holtrop and Mennen method to calculate the sailing speed corresponding to a desired engine power, with a modification to account for current-induced drag resistance on the rudder caused by currents perpendicular to the sailing direction. The model was validated with sensory vessel data of Van Oord containing information of a dredging project in the North Sea, in combination with measured data for the currents at the location of the project. The validated model was used to explore a dredging strategy for a sand nourishment project that minimizes energy consumption by waiting for favourable marine currents before sailing. A sand nourishment project was chosen because the primary cross-shore movement of the vessel interacts with the bi-weekly occurrence of alternating cross-shore currents. Two cases were simulated, a hypothetical case in which the vessel does not consume energy when waiting, and a realistic case in which the vessel's energy consumption continues due to utilities such a lighting and heating of on-board accommodations. The hypothetical case showed a reduction of energy consumption of 3\% when sailing with the cross-shore currents as opposed to neglecting these currents, which is at the lower boundary of the energy reduction due to voyage optimization predicted by IMO (1\% - 10\%). However, the energy reduction was outweighed by energy consumption during waiting in the realistic case, making this strategy unsuitable for conventional dredging vessels. The model developed in this thesis can be of significant value for both dredging companies as well as researchers. These stakeholders can use the model to plan and optimize dredging activities, reduce environmental impact, and identify areas for innovation and improvement in the dredging industry.","","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:1598a304-ddf3-4359-82d2-8957fe02b6b1","http://resolver.tudelft.nl/uuid:1598a304-ddf3-4359-82d2-8957fe02b6b1","Sustainable business model dynamics of technology-based start-ups: The role of external factors in the Dutch PV sector","Günther, Roland (TU Delft Technology, Policy and Management)","Hartmann, L. (graduation committee); Khodaei, H. (mentor); Kamp, L.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Research on the effect and influence of external factors on the sustainable business model elements of technology-based start-ups in the Netherlands operating in the PV sector. This resulted in research findings demonstrating that external factors exert a substantial influence on the business model dynamics of Dutch technology-based PV start-ups. Understanding and adapting to these external influences is essential for the sustained success of these start-ups, emphasizing the need for strategic responsiveness and alignment with the evolving external environment. It also shows that the findings of this thesis are not limited to Dutch technology-based PV start-ups but can also be applicable to start-ups in the renewable energy sector, or even start-ups in general.","business model dynamics; start-up; external factors; Business model innovation; Pestel framework","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:dde0535e-0b8d-4b54-b605-32e339f6c9a1","http://resolver.tudelft.nl/uuid:dde0535e-0b8d-4b54-b605-32e339f6c9a1","Social Quandaries: understanding the quirks and features of London’s post-war social housing architecture","de Haas, Lucas (TU Delft Architecture and the Built Environment)","Kuijper, J.A. (mentor); van der Meij, A.M.R. (mentor); Fokkinga, J.D. (mentor); Delft University of Technology (degree granting institution)","2023","In social quandaries is an analysis of three council housing estates dating back to the 1960s, all situated within the Greater London area. The analytical framework was informed by the book “Paris Haussmann: A Model’s Relevance,” which extensively examines the Haussmann plan in Paris, employing various mediums such as writing, drawing, and photography to explain its characteristics across different dimensions.
Drawing upon the research, my study concludes in several recommendations that form the foundational basis of my research-based design. These principles have been derived from a qualitative analysis of the estates, incorporating insights from two books that cover various factors that are crucial in establishing architectural and urban spatial quality. The principles are: facilitating interaction and vegetation, the incorporation of pedways and walkways, densification, mixed typologies, a multifunctional plinth, and the inclusion of courtyards.
Consequently, the design process that has been undertaken centers around these guiding principles, using them as primary elements to create architectural and spatial quality within the redevelopment of a London council estate.
By doing this I try to complete my design objective, which is to demonstrate the value present in the social housing stock of 1960s London, emphasizing the importance of preserving or redeveloping these buildings rather than resorting to demolition.","social housing; London; redevelopment strategy; Social inclusion; 1960s London social housing; Reuse","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Design Crossovers","Heterogeneous London","51.55133849457881,-0.1555299442800151."
"uuid:992d1c6a-4ce4-46c3-b416-c79a5133fb37","http://resolver.tudelft.nl/uuid:992d1c6a-4ce4-46c3-b416-c79a5133fb37","Evaporative cooling of a bioreceptive concrete facade","Verhoeven, Cas (TU Delft Civil Engineering & Geosciences)","Ottele, M. (mentor); Jonkers, H.M. (graduation committee); Veeger, M.I.A. (graduation committee); Schipper, H.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","The urban heat island effect is a well-known and pressing problem in cities today related to urbanisation. It is the phenomenon of an increased ambient air temperature in cities compared to the surrounding rural areas. The use of nature-based solutions has been proposed as a way to help solve this problem. These interventions use nature and biodiversity to improve urban sustainability. By replacing materials that accumulate a lot of heat, the urban heat island effect is tackled. One way of doing this is by making use of so-called bioreceptive concrete on our facades, which allows for the biological growth of mosses to take place on the concrete substrate itself, without requiring any additional systems or maintenance.
This master thesis aimed to quantify the evaporative cooling effect of these moss-covered concrete facades on the urban environment. The research objective was to measure and model the amount of cooling resulting from the evapotranspiration of moss, by analysing water uptake and release, as well as the temperature decrease of a façade surface as a result of evaporation.
Laboratory experiments were conducted to examine the evaporation rates of various moss species under controlled ambient conditions. Bryum capillare displayed the highest water uptake, water retention, and drying time, with the ability to take up 32.4 g of water per 83 x 83 mm surface area. This is equivalent to 4703 g of water per square meter or 470 kg/m3 volumetric weight. Pleurocarpous mosses showed a range of 27 to 27.4 g of water uptake, corresponding to 3919 to 3977 g of water per square meter or 392 to 398 kg/m3. The concrete itself absorbed 21.1 g of water, which is equal to 3063 g of water per square meter or 204 kg/m3 for the 15 mm thick sample that was tested.
Evaporation rates were found to be higher immediately after a watering event, with variability observed between different moss species. Highest variability was 5.56 g/h for Eurhynchium striatum versus 1.86 g/h for Syntrichia ruralis. However, after a certain time, most mosses exhibited similar evaporation rates. The exception was Bryum capillare, which consistently maintained a higher evaporation rate. This moss, growing in cushion form, demonstrated enhanced drought avoidance due to a reduced surface-to-volume ratio and additional capillary spaces for water retention. The highest levels of evaporation were observed in all moss species under conditions of high temperature (25°C) and low relative humidity (50% RH).
Field testing involved monitoring the temperature of two bioreceptive concrete façade panels, one covered in moss and the other left bare. On sunny days, when panel temperatures exceeded 15 to 20 °C, and the panels were dry, the moss panel temperature was 0 to 5 °C lower compared to the bare concrete panel. This temperature difference was attributed to the lower albedo of the moss (0.07 – 0.11) compared to the concrete (0.10 – 0.40). The moss absorbs more solar radiation and prevents it from reaching the surface beneath it. Infrared camera measurements confirmed that the dry moss surface temperature was about 2 to 3 °C warmer than the concrete panel surface due to lower albedo of the moss. On rainy or cloudy days minimal temperature differences were observed between the panels. Additionally, the moss acts as an insulation layer, trapping the air between its leaves, keeping the material behind it cooler. The potential implications of this additional insulation layer on top of the facade remain to be investigated. Based on its specific heat capacity and heat conductivity, it could help with heat gain in winter and cooling gain in summer. It is hypothesised that moss has a lower thermal mass than concrete, potentially facilitating quicker heat dissipation at night and contributing to reduced building surface temperatures.
Watering the panels significantly reduced surface temperatures, with the moss panel being 2 to 5 °C cooler than the concrete panel when wet. The moss exhibited higher water absorption and longer water retention compared to the porous concrete, enabling more evaporation resulting in lower façade temperatures. This cooling effect persisted for approximately two and a half hours after a 40 ml watering event, equivalent to a 1 mm rain event at low wind speeds. These findings indicate that applying moss on a concrete facade cools the surface temperature of a façade compared to a bare concrete façade.
A non-stationary model based on the energy balance of the facade system was calibrated using field testing data. This model provides accurate temperature profiles under different weather conditions. Evaporation modelling was calibrated using water events but could be improved by implementing a water balance. By computing the irrigation, precipitation, evaporation, throughflow and runoff in a dynamic balance, the evaporation and temperature profiles would become more accurate.
The model is used to simulate a heat wave and the thermal behaviour of the façade after rain events with different intensities. A 1 mm rain event decreases the surface temperature of the façade with a few degrees but the effect does not last for a very long time. A 10 mm rain event decreases concrete surface temperature up to 10 °C initially, and the effect lasts for a few hours. The length and intensity of cooling can be extended through the application of moss on a façade. Then, for a 10 mm rain event, the initial temperature reduction is equal to 15 °C. To increase this effect, the pore volume of the concrete could be increased. Also, a moss species should be cultivated on the concrete which grows in cushion form, is desiccation tolerant, and has natural protection against UV light.
The thesis concludes that applying bioreceptive concrete on facades has the potential to mitigate the urban heat island effect by maintaining cooler temperatures for an extended time following rain events depending on their severity. This approach replaces materials that accumulate and radiate excessive heat. To quantify the effect of bioreceptive concrete as a mitigation strategy more accurately, a computational fluid dynamics (CFD) model could be made to predict the ambient temperature decrease of the air in front of a bioreceptive facade.
By investigating and quantifying the evaporative cooling effect of moss-covered concrete facades, this thesis contributes to the understanding of nature-based solutions. The findings highlight the potential benefits of incorporating bioreceptive concrete in building facades to mitigate the urban heat island effect and improve thermal comfort in urban areas.","Vertical greening systems; Bioreceptive; Concrete; Evaporative Cooling; Urban Heat Island","en","master thesis","","","","","","","","","","","","Civil Engineering | Building Engineering","",""
"uuid:769f1ce9-9d3b-4aa2-8f58-357bd7f9e11d","http://resolver.tudelft.nl/uuid:769f1ce9-9d3b-4aa2-8f58-357bd7f9e11d","The Common Foodscape: Improving the liveability of the city through urban agriculture","Speulman, Manon (TU Delft Architecture and the Built Environment)","Kuijper, J.A. (mentor); Medici, P. (mentor); van der Veen, R.J. (mentor); Delft University of Technology (degree granting institution)","2023","Food is a vital part of our lives and throughout history it has shaped our cities. However, our current agricultural practices exhaust our natural environment and are threatened by climate change. Next to that, the design of our food system is highly susceptible to global instabilities. With the population only increasing and more people living inside cities, the pressure on the food system is only growing. This increases food insecurity and further planetary urbanization.
Urban agriculture is seen as a possible method to transform the food system into a sustainable system. In research, the qualities of urban agriculture and its effect on the food system are discussed. Yet, the effect on the direct surroundings are mostly ignored. At the same time, when these effects are reviewed, little differentiation is made between different types of urban agriculture. Hence, this paper focuses on the question: How can urban agriculture be implemented into cities to improve the liveability of the city? This is done using literature review, case study analysis and design experiments.
The research shows that the effect of urban agriculture on liveability is highly dependent on the strategy that is being implemented. Liveability consists of six dimensions: Stability, education, healthcare, facilities, social cohesion and physical environment. No direct effects can be measured on stability education and healthcare. Nevertheless, literature suggests there might be indirect positive effects. Facilities, social cohesion and physical environment can be improved through urban agriculture. Here, the strategy that is being implemented determines which dimension of urban agriculture is improved. There is not one strategy that improves all aspects of liveability, instead each strategy has its own strength. Other aspects that influence the liveability are the production system, activity, area, location, product and destination. Altogether, there is not one design in urban agriculture that can improve liveability, some aspects of urban agriculture might decrease liveability. Hence, a balance needs to be found between changing the food system and creating a qualitative living environment.
We propose a moral sentence embedding space, which can encompass moral differences, through the state-of-the-art Contrastive Learning framework. We evaluate the moral embedding space both intrinsically and extrinsically via three tasks: classification, moral similarity, and visual analysis. We show that our moral embedding space understands the characteristics of each moral value. Our results also highlight that moral rhetoric is seldom explicit in the text, emphasizing the necessity of additional information such as moral labels.","Contrastive Learning; Moral Foundations Theory; Sentence Embedding","en","master thesis","","","","","","","","2025-01-01","","","","Computer Science","",""
"uuid:ec8559c3-9e2a-4fbc-aa29-8c5c2d995b00","http://resolver.tudelft.nl/uuid:ec8559c3-9e2a-4fbc-aa29-8c5c2d995b00","Conservative nature of Architecture in the Historical City center of Saint Petersburg and its’ influence on the architectural development of the city: Bridges - how to bring together Architects and Local citizens","Shishkina, Anna (TU Delft Architecture and the Built Environment)","van de Pas, R.R.J. (mentor); Kousoulas, Stavros (graduation committee); Hehenkamp, E.W.M. (graduation committee); van der Meel, H.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Saint Petersburg is a second-largest city in Russia, having a population over 6 million people. Located in the northern part of the country on the edge of the Baltic Sea it was founded by tsar Peter the Great in 1703 as a future capital for Russian Empire. Tsar was wishing the new capital to become the most beautiful city in Europe and the city was built with an intention to open up Russia towards western traditions and western European world. Today the first core of the city – its` historic part built through 18-19th centuries – is world known as Northern Venice and conquers the hearts of millions visitors. But through 300 years of its’ history the city turned from being one of the most progressive cities in Russia into the city with the prejudice to anything new. Today the city is trying to keep its’ “historical appearance”, to preserve how it looked like when it was envisioned by one of the greatest tsars in Russian History, but this intension is leading the historic city centre of Saint Petersburg to its` devastation.
In this Research and Design project I was reflecting on how to approach the consvervativist thinking about applying contemporary architecture in historically important areas of the city. In my research I explored what are the origins of conservatism among the citizens of Saint Petersburg and the reasons behind historic centre of Saint Petersburg being captured in its` past. I aimed to understand how to re-interpret the gaps in the historic fabric of the city and how to use them to bridge the gaps in-between progressive intentions of the architects and conservative view of the citizens of Saint Petersburg. As a result of this research I was designing a small scale architecture university on the edge of the historic city centre, which main aim is to be as open to the public of Saint Petersburg as possible to invite people for inspiring observations, thoughtful discussions and unexpected discoveries about the approaches that are used in the realm of contemporary architecture today...","Conservative treatment; Saint Petersburg; Russia; University Design; historic area; public design","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","",""
"uuid:c0740943-6fbd-407c-ac98-544691a50f6e","http://resolver.tudelft.nl/uuid:c0740943-6fbd-407c-ac98-544691a50f6e","Hydrochemical facies analysis for large coastal groundwater model validation","Krantz, Justus (TU Delft Civil Engineering & Geosciences; Deltares)","Heimovaara, T.J. (mentor); Rongier, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Variable density groundwater models are essential for managing coastal groundwater resources. However, their practical applicability can be questioned due to limited validation opportunities on long timescales associated with the development of fresh-saline distributions. This study addresses this challenge by applying upscaled metamodeling techniques to a state-of-the-art variable density groundwater model (the original model) for the Meijendel-Berkheijde drinking water reservoir. Model validation is performed using a Hydrochemical Facies Analysis (HyFA) conducted by Stuyfzand (1993) in the same area. The primary objective of this study is to enhance validation techniques for variable density groundwater models by incorporating the HyFA. Unlike traditional snapshot-based validation, the HyFA enables validation of groundwater pathways calculated by the model. The applied metamodeling approach significantly reduces calculation times by implementing an upscaled horizontal grid size, parameter rescaling, and linear boundary conditions, thereby enhancing computational efficiency. Although the original model lacks long-term salinity validation, it has not been invalidated based on the similarity of metamodel outputs to the HyFA. However, in the northern part of the study area, a potentially excessive conductance term may result in higher infiltration rates. Incorporating the HyFA into the metamodel is straightforward by adding a ""species"" dimension in the SEAWAT structure. This validation technique proves valuable for assessing variable density groundwater models on shorter timescales, particularly in areas affected by extensive human interventions. This study contributes to collaborative efforts by Dunea, Deltares, and Arcadis (2021), aimed at advancing efficient modeling for the coastal groundwater reserve of Meijendel-Berkheijde. Transparent documentation of detailed model scripts ensures reproducibility and provides a valuable resource for future research. The insights gained from this study have implications for global advancements in coastal groundwater management. Keywords: Hydrochemical Facies Analysis, variable density groundwater modeling, upscaled metamodeling, coastal groundwater management.","Hydrochemical Facies Analysis; variable density groundwater modeling; upscaled metamodeling; coastal groundwater management","en","master thesis","","","","","","","","2023-07-06","","","","Geotechnical Engineering","",""
"uuid:56ec0247-355d-4876-8d3d-b6ed92ed5a03","http://resolver.tudelft.nl/uuid:56ec0247-355d-4876-8d3d-b6ed92ed5a03","The Subsurface as a Collective Geography: Designing Underground Space for Urban Systems Integration","Shisodia, Akhilesh (TU Delft Architecture and the Built Environment)","Harteveld, Maurice (mentor); Hooimeijer, F.L. (mentor); Delft University of Technology (degree granting institution)","2023","Urban areas project high demand for urban spaces to accommodate a wider range of functions associated with social, economic, and physical development, given the rising rate of urbanization and over two-thirds of the human population projected to be urban dwellers by the year 2050. Consequently, cities are rapidly developing into complex and sprawling infrastructure reservoirs, limiting the capacity of vital natural ecosystem services. Development projects face several time-based constraints to find space for public access. In recent decades, brownfield development has directed its focus towards the subsurface, with the onset of initiatives like “net zero land take by 2050” set by the European Union. Expanding the public realm in cities through brownfield underground development can help close the gap between demand and supply of habitable land within cities, especially in urban areas housing contextual heritage.
There are various socio-cultural agents to be accounted for, which influence the experience of the subsurface. With appropriate structuring of documentation and design methods, underground built environments can potentially link diverse uses like transit, work, recreation, and more. The lack of development strategy inclusive of underground spaces poses risk of exploitation by private sector eventually resulting in super-basements that are value-centric. Underground spaces need a strategic spatial vision where the subsurface ecology is considered and developed in coherence with public life, integrating it with existing infrastructure networks.
The Thesis explores the subsurface uses and their potential to supplement demand of public/mobility space from surface in the city of Amsterdam. The applicability of urban underground functions in a delicate Dutch Landscape presents an opportunity to test and benchmark the suitability of subsurface realm for a range of functions. This is done by generating a guiding methodology for context-specific design interventions, followed by their integration with existing underground resources to form a holistic subsurface network that supplements the surface. The research considers the current technological and urban transitions to utilize them tools for developing underground spaces as collective, feasible, and transformative spaces. Research-by-design approach is used to investigate essential parameters of subsurface design at different scales to contextualize prototypical interventions for Amsterdam.
A method has been developed that enables a human-robot team to co-learn the handover of an object from the robot to the human. Five design requirements were composed to address the challenges of human-robot co-learning in physically embodied environments. The method is based on a Q-learning algorithm that was adapted and extended to meet these requirements. An experiment was conducted with six participants. For every human-robot team, each design requirement was qualitatively evaluated. Interdependent co-learning was identified in three of the six teams. The limitation of the design, and how this method can be improved further, was discussed. The method, presented in this paper, demonstrates how human-robot co-learning and interdependence can be enabled in physically embodied tasks.","Co-Learning; Human-Robot Collaboration; Reinforcement Learning (RL); Handover; Interdependence; Human-Robot Interaction; Q-Learning","en","master thesis","","","","","","","","","","","","Mechanical Engineering","",""
"uuid:c9b8e9ad-3410-4d93-8a4c-b09b3313f0ad","http://resolver.tudelft.nl/uuid:c9b8e9ad-3410-4d93-8a4c-b09b3313f0ad","A Model-Based Systems Engineering Framework for developing Knowledge Based Engineering Applications","Mendes Fernandes, Fábio (TU Delft Aerospace Engineering)","la Rocca, G. (mentor); Raju Kulkarni, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Knowledge Based Engineering (KBE) is a particularly relevant technology for addressing the increasing complexity of engineering systems, the need for rapid time-to-market, and the need for achieving reductions in the costs of product development. KBE applications can be effective means of automating repetitive engineering design tasks, enabling engineers to enhance their designs through optimization and innovation. However, the current development process of KBE applications can be improved, as it has shortcomings that limit a wider adoption of KBE technology. Currently, two primary approaches are employed in the development of KBE applications. The first approach involves directly coding the engineering knowledge within the application itself, while the second approach entails modeling the engineering knowledge outside the application and subsequently converting it into executable code, manually. Both approaches result in applications that are perceived, to varying degrees, as “black boxes”. This makes it challenging to understand how the application reaches its conclusions, which can hinder the end-user's trust in the application and limit its acceptance. To date, a suitable methodology to effectively support KBE app development is lacking, which has considerable implications on the time required for application development, as well as the quality of the applications in terms of traceability of requirements and domain knowledge within the KBE application code, the applications' maintainability and scalability, and (eventually) the ability to preserve and efficiently reuse engineering knowledge.
To address the outlined shortcomings of the current KBE app development process, this thesis proposes a novel framework for the development of KBE applications, based on Model-Based Systems Engineering (MBSE) concepts, to model domain knowledge and requirements, and to support (semi-)automatic generation of KBE apps through visual editing, as opposed to standard coding. The key objectives of this framework are to improve knowledge capture and formalization, requirements traceability, and knowledge reuse in KBE applications. In the proposed framework, the knowledge required for developing a KBE application is first captured in a formal knowledge model that uses the industry-standard Systems Modeling Language (SysML). Source code is then automatically generated for the targeted KBE system (ParaPy) using a model-to-code tool developed in this research. Traceability of requirements onto the various elements of the KBE app architecture is also provided, thereby reducing the typical black-box effect of KBE applications. Furthermore, the framework allows to reuse knowledge from previously generated knowledge models, enabling effective project-to-project knowledge transfer.
This thesis presents the development of three distinct KBE applications using the proposed framework, with the aim of evaluating it in terms of ease of modeling, development time, and quality of the automatically generated (skeleton) code. Preliminary results show that the learning curve to modeling is intuitive and easy enough to learn; the time required for generating the knowledge models is lower than current modeling processes; the automatically generated code is error-free, well-structured, and complies with existing coding standards, providing a correct starting point for further app development, while resulting in time savings in the development of the app skeleton.
The building envelope, as the protective layer of a building, plays a critical role in maintaining indoor comfort. Therefore, it is essential to focus on facade strategies that not only reduce energy usage but also ensure user comfort. This thesis explores the development of passive facade strategies aimed at reducing energy consumption and maintaining thermal comfort during the cooling season. Through a systematic literature review, existing passive facade strategies employed to reduce cooling loads were examined, revealing a gap in considering occupant interaction.
The thesis investigates the potential of occupant-facade interaction as a passive strategy to reduce energy usage and maintain thermal comfort. Occupant behaviour models are identified and implemented to assess their impact on indoor comfort and air quality. The research seeks to provide insights into the benefits of occupant involvement and how it influences thermal comfort during the cooling season. The objective is to determine whether occupant behaviour alone can effectively maintain comfort and indoor air quality without relying on external mechanical systems.
By studying the relationship between occupant behaviour and the facade, this research aims to contribute valuable information on the role of occupants in reducing energy consumption and ensuring thermal comfort. The findings will shed light on the potential of occupant-facade interaction as an effective passive strategy in building design, with the ultimate goal of designing energy-efficient buildings that prioritize occupant comfort and well-being during the cooling season. Additionally, the findings of this research can serve as a foundation for the development of strategies that promote occupant interaction with the facade, leading to further reductions in energy consumption. By understanding the impact of occupant behaviour on thermal comfort and air quality, designers and building professionals can devise innovative approaches to optimize occupant-facade interaction, thereby minimizing the reliance on energy-intensive mechanical systems.
In an innovative approach towards mitigating the negative effects of confirmation bias on web search on debated topics, we propose implementing a boosting intervention aimed at enhancing Intellectual Humility (IH) – an individual’s ability to acknowledge the fallibility of one’s own beliefs and the limits of one’s knowledge while remaining open to learning from others’ perspectives even if they differ from their own viewpoint. While previous research has highlighted the potential benefits of boosting IH as a means to mitigate confirmation bias, its impact on users’ search behavior has yet to be explored.
Our work bridges this gap through two randomized preregistered user studies, gaining valuable insights into the effectiveness of IH-boosting interventions in mitigating confirmation bias. In the first study, we assessed the effect of three boosting interventions with different levels of complexity on users’ context dependent IH. In the second study, we examined the effects of these interventions on web search behavior.
The first experiment successfully demonstrated the effectiveness of the interventions in boosting participants’ IH across all three treatment groups. However, applying these interventions to web search, no significant differences in search behavior were observed. Our exploratory findings reveal that both individual and environmental factors, including occupation, personal viewpoints, and search results order, shape the impact of IH-boosting interventions on online search behavior, with varying effects observed across different debated topics. We hope this study inspires, and is an initial basis for continued efforts to explore the multifaceted relationship between IH, information-seeking behavior, and responsible opinion formation, ultimately promoting a more informed and unbiased online discourse.","Intellectual Humility; Confirmation Bias; Web Search; Debated Topics","en","master thesis","","","","","","","","","","","","Computer Science | Web Information Systems","",""
"uuid:566556d3-8e12-4b1f-8283-c037feb4952b","http://resolver.tudelft.nl/uuid:566556d3-8e12-4b1f-8283-c037feb4952b","Local poly-SiO𝑥 carrier-selective contacts deposited through a hard mask: Simplified fabrication technique for local poly-SiO𝑥 finger formation","de Goede, Marnix (TU Delft Electrical Engineering, Mathematics and Computer Science)","Isabella, O. (mentor); Yao, Z. (mentor); van Swaaij, R.A.C.M.M. (graduation committee); Vollebregt, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Passivating contacts in the front-rear contacted c-Si solar cells enhances the device performance by quenching the contact recombination and enabling sufficient carrier transport. Tunneling oxide passi- vating contact (TOPCon) which consists of an interfacial oxide and a poly-SiO𝑥 layer is one of the most promising contact techniques in passivating both surfaces of the silicon wafer. However, the full area poly-SiO𝑥 layer is absorptive when it is applied at the front side which has become an efficiency limiting factor. In this project, an advanced local poly-SiO𝑥 passivating contact architecture is proposed and presented. The local poly-SiO𝑥 passivating contacts, so-called “poly-SiO𝑥 finger”, is deposited through a hard mask at predefined locations which will be only placed underneath the metal contacts. The formation of poly-SiO𝑥 finger and its application in the solar cells are discussed.
First, a silicon wafer hard mask is fabricated by a lithography process followed by a KOH wet chemical etch-back process. Specified openings down to 38.7 𝜇m are established which isolate sections of the substrate for the poly-SiO𝑥 finger formation. P+ doped a-SiO𝑥 :H is deposited using PECVD with SiO𝑥 p+ diffused emitter commercial Cz wafers through the hard mask. By investigating the influence of deposition parameters during the PECVD, specifically the power and pressure, decent uniformity of the finger deposition is achieved. An optimized deposition condition of the doped a-SiO𝑥 :H is established for the poly-SiO𝑥 finger formation: an RF power source at 15 Watt, a chamber pressure of 1.5 mbar, and a substrate temperature of 180 °C. A narrow poly-SiO𝑥 finger with a width of 40.0 𝜇m has been demonstrated.
Second, the passivation properties of the symmetrical samples are examined by varying the poly-SiO𝑥 and Al2O3 thickness for the hole carrier selective contacts. A full area p+ TOPCon and Al2O3 symmetric samples were coated on the textured diffused p+ surface with an n-type c-Si substrate to investigate the process parameters on the passivation properties. The optimal 𝑖𝑉𝑜𝑐 of p+ TOPCon samples is 662 𝑚𝑉 and a corresponding 𝐽0 of 156 𝑓 𝐴 𝑐𝑚2 which is obtained with a poly-SiO𝑥 thickness of 50 nm when annealed at 850 °C. The optimal thickness for the Al2O3 passivation layer is achieved by depositing a 20 nm thick layer followed by FGA, with an 𝑖𝑉𝑜𝑐 of 692 𝑚𝑉 and a 𝐽0 of 22.4 𝑓 𝐴/𝑐𝑚2.
Finally, a process flowchart has been created to fabricate c-Si solar cells with the local p+ TOPCon on the front p+ diffused emitter and a full area n+ TOPCon on the rear side. A localized polished area is made by a poly-etch process for the alignment between the substrate and the pattern in the lithography mask. Using the ascertained parameters during the optimization, NAOS-SiO𝑥 combining with 50 nm-thick doped p+ a-SiO𝑥 :H fingers are applied on the p+ diffused surface and 100 nm-thick n+a-SiO𝑥 :H on the rear side. A annealing step is performed for the crystallization. A 20 nm-thick Al2O3 followed by a 75 nm SiN𝑥 layer are deposited on the front side and 100 nm SiN𝑥 layer is applied on the rear side. Lithography, wet chemical etch-back together with Al/Ag(front/rear) evaporation are utilized for solar cells metallization. The fabricated c-Si solar cells with poly-SiO𝑥 fingers has a champion efficiency with an efficiency of 7.91 %, with a 𝑖𝑉𝑜𝑐 of 562 𝑚𝑉, 𝑖𝑛𝑡 𝐽𝑠𝑐 of 34.7 𝑚𝐴 𝑐𝑚2 and fill factor of 40.5 %. The limited performance originates from the poor passivation in the poly-SiO𝑥 local contact area and severe 𝑖𝑉𝑜𝑐 drop after the metallization process. For further improvement, good performing c-Si solar cells by minimizing the recombination in the poly-SiO𝑥 local fingers contacts area and optimizing metallization steps are expected to be fabricated .
Places such as Romford have seen an enormous growth turning a rural town into a metropolitan area in less than 100 years. This results in a fragmented urban landscape where small historic fabric collides with large infrastructure orientated typologies tied together forming one blurred cityscape. This graduation project presents a potential solution to this contemporary challenge, which involves strengthening the identity of a place while accommodating contemporary paradigms.
The proposed strategy involves the preservation, refurbishment and addition of urban elements that reinforce the identity of the place, thereby enhancing its overall legibility. By embracing the interplay between historical and modern architectural elements, it aims to create a harmonious and distinctive urban environment.
This research not only offers practical insights into Romford's transformation but also presents a broader perspective on how cities can retain their unique character in the face of uncontrolled growth. Moreover it contributes to the ongoing discourse on urban development and offers tangible steps towards reconciling the past with the present, creating cities that are both visually captivating and deeply rooted in their historical identity.
This thesis investigates the effectiveness of vertical TMDs combined with a TLD by analysing three barge-turbine structures. The first system is the undamped structure, which works as a control. The second system combines vertical TMDs with a TLD. These damping systems are not directly linked. The third system interdependently combines vertical TMDs with a TLD by stacking the damping systems. This makes the TMD displacement determine the shape of the TLD tank, which causes the system to be significantly nonlinear.
The analysis of the three systems begins with the development of a program that creates the frequency response function for each of these systems. The basis for these programs is a linear modal analysis. The nonlinearity of the third system is accounted for by Newton iteration.
The effectiveness of each damping system is determined by the performance index, which is based on the integral of the frequency response function.
The damping parameters of the independent TMD and TLD are optimized. Due to computational difficulties no parameter optimization is performed for the interdependent damping system. In order to judge possible performance improvement for this system, a rough sensitivity study is performed on the damping parameters of this system.
The results of the optimization and sensitivity study show that the independently damped system performs best of all three systems when using these particular parameters. The interdependently damped system has unknown damping potential.
It is not possible to definitively conclude from this research whether the TMDs and TLD damp more effectively when they work either separately or interdependently. Both damped systems are able to perform better than the undamped system with the correct damping parameters.
The developed programs give reasonable frequency response spectra for all three systems. From this it is concluded that the programs of the three systems work as intended, including the nonlinear part.","Damping; Optimization; floating wind; dynamic systems","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:2a22fac1-f628-4fe4-b810-f732620f43d5","http://resolver.tudelft.nl/uuid:2a22fac1-f628-4fe4-b810-f732620f43d5","The Acceleration of Chaos: Architecture as a strategy in the aftermath of ruinous events.","Meijer, Renée (TU Delft Architecture and the Built Environment)","Kuijper, J.A. (mentor); Hanna, J.M.K. (graduation committee); Ensor, James (graduation committee); Delft University of Technology (degree granting institution)","2023","This master thesis answers the following research question:
How can architecture enable communities to rebuild and thrive in the aftermath of ruinous chaos, by rapidly implement simple, sustainable design solutions, whilst acknowledging the chaotic situation and leveraging the receptiveness to change?
The research assumes the inevitability of chaos and explores the events following ruinous chaos, and how a community can be of risk to be exposed to an aid-gap; a period of lacking resources or synergy between stakeholders that prevents a community from recovering after ruinous chaos. A single case-study is conducted within this research, following the aftermath of the Beirut harbour explosion on the 4th of August 2020. It concludes what obstacles occur, two years post blast, and what strategies and prerequisites are promising. The information that is incorporated in this research stems for a multidisciplinary approach, connecting historic, economic, urban, humanitarian and governance viewpoints to the research.
The theoretical framework of this research is based on theories such as Antifragile, Nasim Taleb, Doughnut economics, Kate Raworth, or the transition model of D. Loorbachand. Furthermore, it uses frameworks set up by UN-habitat, The Green Building Council and BHP Foundation.
The findings from this research, including the theoretical framework and fieldwork in Beirut, indicate that an interdisciplinary approach will have a plausible and positive effect on sustainable development. Key approaches can be identified that stimulate and facilitate sustainable recovery and development. These approaches include decentralization, bottom-up approach, accountability and improving synergy between stakeholders by decreasing asymmetric knowledge and data sharing.
Several indicators are found to be highly important in the successful implementation of design interventions: Community ownership over the development, moving away from humanitarian aid towards development as soon as the situation allows, and addressing the psychological impact of the event, in this case, the explosion in the Beirut harbour area.
Extensive research has explored the benefits of CUIs and strives to improve their usability and adoption rate. However, while interacting with such CUIs, how to facilitate proper user trust for decision support is still under-explored. To address the research gap, we aim to test the impact of emotional expressiveness in CUIs on building user trust.
To analyze the impact of emotional expressiveness in CUIs to build user trust and whether voice-based CUI is more efficient in building user trust compared to text-based CUI. We implemented a conversational interface with varying emotional expressiveness that can serve six conditions: two text-based and four voice-based. Text-based CUIs are differentiated by lexical expressiveness. Voice-based CUIs are varying in both lexical expressiveness and prosodic expressiveness. Regardless of the modality and emotional expressiveness, each CUI serves as an interactive medium for users with the DSS, which supports them to find a suitable house given a scenario.
Through an empirical study (N = 151), the experimental results are insufficient to conclude the impact of prosodic expressiveness and lexical expressiveness on user trust and usability in CUIs. In addition, we did not find any statistically significant difference between text-based and voice-based CUIs in trust or perceived usability.
Our findings can potentially be explained by the uncanniness effect [46]: initially, increased emotional expressiveness in a chatbot could positively influence user trust, but over time this could turn into a negative impact. These results offer a potential way to explain the complex dynamics of trust in conversational DSS and some implications in chatbot design within the context of DSS. Our findings
can benefit the future design and development of conversational agents-based DSS by considering emotional expressiveness.","Emotional Expressiveness; Decision Support System; Trust; Conversational User Interface","en","master thesis","","","","","","","","","","","","Computer Science | Web Information Systems","",""
"uuid:00cd3e4e-4e12-401a-bfc2-dcc03301095d","http://resolver.tudelft.nl/uuid:00cd3e4e-4e12-401a-bfc2-dcc03301095d","Propeller Slipstream Effects on Longitudinal Static Stability: Effect of the Rethorst Correction at the Horizontal Stabilizer","Keesom, Thomas (TU Delft Aerospace Engineering)","Hoogreef, M.F.M. (mentor); Ruiz Garcia, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The potential for a correction by Rethorst for Vortex Lattice Methods (VLMs) exists to improve Longitudinal Static Stability predictions when applying the Rethorst correction to a slipstream engulfed horizontal stabilizer. The Rethorst correction has already proven to result in accurate lift predictions in VLMs for an isolated wing in a slipstream jet. The aim of this thesis is to investigate the applicability for the Rethorst correction at the horizontal stabilizer, by evaluating the derivation assumption and attempting to obtain an accurate prediction of the stabilizer lift using the correction. With this regard, this thesis has been unsuccessful. An overestimation in the average dynamic pressure and downwash are obtained at the stabilizer, which possibly result from modeling issues. The left over work necessary to successfully draw a conclusion is identified and involves investigating the possible modeling issues and the Rethorst correction application outside its assumption scope.","Vortex lattice method; Slipstream; Propeller; Aircraft design; Longitudinal stability; Fokker F-27; Propeller-wing interaction; Aircraft Performance","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:294d7df5-511c-4149-9507-21be6379375d","http://resolver.tudelft.nl/uuid:294d7df5-511c-4149-9507-21be6379375d","Organisational Maturity Assessment during the Paradigm Shift from Monoliths to Data Mesh: Design Science Research in Developing a Data Mesh Maturity Assessment Model","Jonkman, Christian (TU Delft Technology, Policy and Management)","de Bruijne, M.L.C. (mentor); de Reuver, G.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Incorporating big data into decision-making provides a substantial competitive advantage, leading organisations to increasingly adopt a data-driven strategy. However, the adoption by organisations often remains unsuccessful due to limitations associated with monolithic data architectures, such as data lakes and data warehouses. Data mesh is introduced as a decentralised socio-technical approach to alternatively manage data, aiming to overcome the limitations and gain the benefits of embracing a data-driven strategy. However, there is a lack of guidance on how to implement data mesh. The availability of generic and concrete data mesh implementation steps, including a maturity assessment, would be helpful for organisations. Consequently, this research proposes the design of a Data Mesh Maturity Assessment Model (DMMAM). In response to the main research question: ”How to assess the maturity of a data mesh implementation within an organisation?”, enabling the assessment of how mature a data mesh implementation is, by means of the DMMAM, would provide the guidance that is currently lacking for organisations. The qualitative Design Science Research Methodology is employed to structure the design process. Literature research, interviews, and cases are conducted to explore the contribution of, as well as design, demonstrate, and evaluate the DMMAM.
This research shows that the developed DMMAM evaluates data mesh based on four maturity levels, classified as Level 0: Non-Initiated, Level 1: Conceptual, Level 2: Defined, and Level 3: Achieved, and that data mesh is represented by five dimensions: A. Data Foundation & Organisational Change, B. Domain Oriented Decentralised Data Ownership & Architecture, C. Data as a Product, D. Self-Serve Data Infrastructure as a Platform, and E. Federated Computational Governance. These five dimensions are collectively represented by 54 characteristics. For each characteristic, labels for the People, Process, Technology (PPT) perspectives are assigned. Additionally, questions are formulated, and criteria and requirements are provided for all characteristics at each maturity level. This enables participants to self-assess their organisation’s maturity by individually rating 54 questions based on the current and target levels. Conducting the self-assessment yields various outcomes, including an overall data mesh maturity score, individual dimensional maturity scores, and maturity scores from PPT-perspectives. Moreover, the assessment helps to identify maturity gaps and allows benchmarking to compare results across organisations, providing organisations with guidance for improvement. The demonstration and evaluation of the DMMAM through maturity assessments for three organisations have demonstrated its applicability and usefulness. However, it is important to acknowledge that this research represents the first attempt to provide a comprehensive framework for assessing data mesh maturity in organisations and is not without limitations.
Future research is proposed to further refine and improve the DMMAM, supported by data mesh SME’s and practitioners, to ensure that the model remains up-to-date with the latest available research on data mesh. In addition, including additional guidance as an outcome of the maturity assessment would make the assessment more actionable and pragmatic. Furthermore, examining the optimal assessment structure will enhance the model’s reliability and validity. Moreover, expanding the benchmark functionality will enable statistical generalisations and comparisons for organisations within and across industries. At last, it is suggested to do further research about examining the overall contribution of data mesh as a strategy element towards becoming data-driven.","Big Data; Data-Driven; Data Mesh; Maturity Assessment Model; Design Science Research","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:36408bf2-5e03-4865-9b2e-5212018e70f3","http://resolver.tudelft.nl/uuid:36408bf2-5e03-4865-9b2e-5212018e70f3","Module+: Towards Affordable and Qualitative Student Housing","Andruszkiewicz, Paweł (TU Delft Architecture and the Built Environment)","Snijders, A. (mentor); Tomesen, P.L. (graduation committee); Stoutjesdijk, P.M.M. (mentor); Delft University of Technology (degree granting institution)","2023","This Master Thesis is an attempt to address the lack of a sufficient number of affordable and qualitative student housing by creating an experimental, universal design strategy called MODULE+, combining computational design and modular architecture. The strategy consists of three main elements - individually designed flexible timber modules, an algorithm that generates the functional layout of the building based on them and optimizes it in relation to selected objectives, as well as carefully selected further design directions that allow the transformation of the generated solutions into a qualitative living environment. The developed strategy became the basis for the design of a complex of residential buildings for students within the TU Delft Campus.","Affordability; Student Housing; Computational Design; Generative Design; Artificial Intelligence; Modular Architecture; Timber; Algorithm","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:c0a499d0-60bf-48d9-993a-b6f08e94b084","http://resolver.tudelft.nl/uuid:c0a499d0-60bf-48d9-993a-b6f08e94b084","Multigenerational Living for the Sandwich Generation","Liang, C. (TU Delft Architecture and the Built Environment)","Jürgenhake, Birgit (mentor); Oorschot, L.M. (mentor); van Deudekom, A.B.J. (mentor); Delft University of Technology (degree granting institution)","2023","Caring for the elderly has always been a topic of great discussion. Demographic changes in an aging society have resulted in the growing phenomenon of the Sandwich Generation. The middle-aged generation cares for their aging parents and children and is usually one of the groups providing informal care. The burden of caregiving gradually harms their health and eventually leads to a decline in the quality of care and life for both the caregiver and the elderly. In order to realize aging in place and solve the problem of insufficient nursing homes in Dutch society due to the shortage of healthcare professionals and the reduction of healthcare budgets by the government, it is necessary to focus on the needs of these informal caregivers, who are sandwiched between two generations, in addition to the elderly. Furthermore, to solve the problem of housing for the elderly, apart from intergenerational cohabitation (for people without blood ties), retirement housing, and kangaroo houses, which have been highly discussed in recent years, research has found that living together with family members who are related by blood may be one of the options.
This thesis aims to develop housing design principles that can meet the needs of caregiving and sandwich generation living together. Based on the spatial and neighborhood context of the home, the study investigates how to support the quality of life of the caregiver and the care recipient to achieve the possibility of aging in place. The research method comprises fieldwork, interviews, literature research, and case studies. The fieldwork and interviews are conducted to understand the needs of the elderly, literature research is done to obtain further objective knowledge, and case studies are used to analyze the spatial configurations, dimensions, and areas. From the results of the research methods described above, the findings show that for caregivers, adequate operating space and assistive aid help reduce the risk of injury, spatial proximity minimizes moving distances, sufficient light contributes to caregiving and health, reduced noise disturbance helps the quality of caregiver’s sleep, and good ventilation prevents deterioration of indoor air quality. The most important thing for seniors is preventing falls from prolonging mobility. Toilets must be visible and easily accessible, the threshold on the floor and the intersection of different floor materials must be no high difference, and adequate lighting and easy-to-reach light switches can reduce the risk of falls.
The study of neighborhood facilities found that easily accessible facilities (e.g., public transportation, supermarkets, a library, a community center), friendly walking spaces, and adequate seating along walking routes can increase the willingness and opportunities for seniors to socialize and thus maintain mobility. Furthermore, social interaction can improve the mental health of both target groups. In terms of facilitating social interaction, increasing the number of route intersections, atrium spaces, and communal spaces in the neighborhood is possible. Lastly, for families to live together while maintaining their independence and privacy, each person must have their room, and separate entrances and adjacent kitchens and doors can mitigate disruptions due to different routines. Apart from that, the vertical and horizontal spatial arrangement can also achieve spatial independence. Furthermore, in order to avoid being forced to move out from the original home because the space cannot meet the needs of the stage of aging, the generality of spatial adaptability provides the freedom to arrange the functions of the rooms, the flexibility can change the way the space is used through simple movable partitions and moving furniture, and finally, the elasticity can expand the size of the original dwelling unit.
To evaluate the system's performance, extensive experiments were conducted under different lighting conditions, PWM frequency and Rx-Tx distances. The experiments focused on achieving the maximum achievable throughput while maintaining a low Bit Error Rate (BER). Through careful optimization of the system parameters, a maximum throughput of 4.4kbps was achieved. Furthermore, the system consistently maintained a Bit Error Rate of less than 0.005\%, ensuring reliable and error-free data transmission.
In addition to data transmission, the impact of data transmission on the display's visual quality was investigated. The Delta E value, which quantifies the observed colour difference between two pixel values, was used as a metric for display quality. The data rates were calibrated such that the display operated with a Delta-E value of less than 1 in more than 90\% of the colour spectrum, indicating minimal colour distortion during data transmission.
This study contributes to the field of VLC by showcasing a practical implementation that combines scalability, visual quality, and performance. Future research directions could focus on expanding the display size and enhancing the data rate by means of more sophisticated hardware.","Visible Light Communication; VLC; RGB LED; LED; LED display; Data communication; LED matrix","en","master thesis","","","","","","","","2025-07-06","","","","Electrical Engineering | Embedded Systems","",""
"uuid:c61d6c66-5552-47a5-a432-eb9fe2e42cab","http://resolver.tudelft.nl/uuid:c61d6c66-5552-47a5-a432-eb9fe2e42cab","Influence of geometric imperfections and increasing turbine sizes on validity load transfer functions in bolted ring-flange connections","Korthals Altes, Ruth (TU Delft Civil Engineering & Geosciences)","Veljkovic, M. (mentor); El Bamby, H. (mentor); Sluys, Lambertus J. (mentor); Wesarg, B. (mentor); Delft University of Technology (degree granting institution)","2023","The global focus on climate change and the transition away from fossil fuels has highlighted the importance of renewable energy sources. Offshore wind turbines are being optimized and are therefore growing in size and power.
This research focuses on bolted ring-flange connections, a connection type that plays a crucial role in the design of offshore wind turbines, as they transfer the external force between parts of a turbine. The objective of this thesis is to analyze how the increasing dimensions from current to future offshore wind turbines and geometric imperfections impact the reliability of analytical approaches for load transfer functions (LTFs) for these connections. Two components of this objective are considered: examining the influence of different dimensions of ring-flange connections and analyzing the impact of various gaps between flanges on LTFs for 'current generation' and 'next generation' turbines. Analytical calculations are compared to results obtained with finite element analyses, which are assumed to represent an actual connection.
Based on the research findings, the following conclusions are made. Firstly, the widely used tri-linear approach by Schmidt/Neuper [18] for obtaining the LTF in bolted ring-flange connections is found to be unreliable for current and future turbine sizes. This method highly underestimates the forces in the bolts when initial gaps are present between the flanges. Calculations performed with this approach could lead to an overestimation of the turbine's lifetime compared to reality by multiple years, possibly causing more maintenance or early failure. Alternative approaches, such as a very new and not yet approved polynomial approach, show reliable results, providing accurate estimations of bolt forces for large connection diameters. Additionally, currently verified tolerances for gaps between flanges (1 mm over 30° and 2 mm over entire circumference) are outdated, and larger gap heights or smaller gap lengths are expected in practice, especially for future turbines. These gaps lead to a larger bolt force in practice, decreasing the fatigue resistance and lifetime of the structure. Even though very small gaps are expected to occur often, lower bolt forces are obtained compared to larger gaps, both with an expected height to length ratio of 𝑢𝑔𝑎𝑝/𝑙𝑔𝑎𝑝= 0.53 ∗ 10^−3. In analytical design calculations, it therefore is recommended to consider larger sized gaps with a gap length of approximately 1600 mm with its expected gap height.","Master Thesis; Offshore; Offshore energy; offshore wind; Offshore Wind; Windenergy; Structural Engineering; Civil Engineering; Sustainability; Steel design; bolted joints; FEM; FEA Simulation","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:071b3d21-aa4c-4297-beb1-2d3374304627","http://resolver.tudelft.nl/uuid:071b3d21-aa4c-4297-beb1-2d3374304627","Unclogging the grid: a study on how deep energy renovations can reduce the load on the electrical energy grid and create a self-sufficient building","Klijn, Dick (TU Delft Architecture and the Built Environment)","Offermans, T. (mentor); Tomesen, P.L. (mentor); Broersma, S. (mentor); Asselbergs, M.F. (graduation committee); Newton, C.E.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the rise of all-electric buildings the load on the electrical grid grows. This could slow down the energy transition and densification of existing neighbourhoods as the current grid is not capable to withstand a higher load. This research gathers literature on different peak shaving techniques to create a clear overview and investigates the impact on the load profile when techniques are combined. This new insight in combined techniques will provide a solid basis to develop a more detailed modelling tool to simulate the actual energy use and generation on a daily and annual basis. The load profiles of these techniques are combined with the existing energy demand curve to see how these techniques reduce peak loads that occur during the day. To asses the influence on the annual load curve the ZED-tool was used to simulate peak shaving techniques on a case-study building. The results show that a combination of outside insulation, sun shading and a heat pump flatten the daily and annual load profile significantly. However the implementation of east and west facing façade PV in combination with wind energy decreases the peak loads the most. Finally when all techniques are combined with a battery a building block can become not only energy neutral but almost self sufficient.
These research results were developed into a design for the renovation of a post-war appartmentbuilding in Haarlem Schalkwijk. In the new design other topics like densification, flexibility and climate adaptation were addressed as well to improve the post-war neighbourhood. This design is a base for a bigger renovation strategy that could be implemented in multiple post-war neighbourhoods in the Netherlands.
This study explores the potential value of ‘kluswoningen’ in expanding opportunities for first-time buyers in the owner-occupied market. Currently, housing associations are not actively involved in this provision, but this research aims to investigate whether they should play a more active role and how to implement it effectively. The study also examines the declining use of this instrument in recent years and aims to provide insights into its relevance in the current context for first-time buyers. As a result, this research focusses on the following main research question: “To what extent is the provision of ‘kluswoningen’ valuable (in terms of affordability, accessibility and empowerment) for widening the opportunities of first-time buyers on the owner-occupied market in the current political context from different actors’ perspectives?""
The literature study is complemented by an empirical study, using a mixed method. The empirical study consists of three parts. Firstly, a secondary data analysis is conducted to examine the housing preferences of first-time buyers. Furthermore, case studies and supporting interviews are conducted to provide insights into why housing associations want to sell, why they sell dwellings as ‘kluswoning’ and how this instrument can be implemented. These findings, combined with the results from the secondary data analysis, provide insights into whether the 'kluswoningen' product aligns with the housing preferences of first-time buyers. Finally, in-depth interviews have been conducted to identify the bottlenecks and opportunities of the use of this instrument. The research results conclude the following findings. Firstly, the provision of 'kluswoningen' enhances accessibility for first-time buyers by increasing the availability of housing options in their search field. Secondly, in terms of affordability, 'kluswoningen' are perceived as one of the most affordable options in the owner-occupied market. However, it should be noted that this housing option may not always fall within the limits of affordable owner-occupancy. Thirdly, the concept of 'kluswoningen' appears interesting due to its empowering nature, enabling individuals to personalize their dwellings and exercise choice in tenure through increased accessibility to owner-occupancy.
This thesis explores the changing meaning of water and the riverfront in London today, accompanied by an overview and understanding of the various waterfront conditions along the Thames. Based upon that knowledge a strategy was made to (re-) connect humans and rivers through the use of architecture as a riparian mediator. The combination of the “third generation city” theory by Marco Casagrande and the “oligopticon” theory by Bruno Latour provided a powerful framework for developing an architectural typology that focuses on connecting humans and the non-human, while simultaneously regenerating the ecosystem. As the architecture had to be further defined the strategy continued upon the idea of negotiating boundaries. Not only between humans and non-humans, as already established, but also between form and fluidity, between architecture and landscape, and between program and regeneration for humans and non-humans. This not only strengthens the concept of architecture as a mediator but also takes on the role of being an interdependent system. Therewith it becomes a much-needed and long-lasting protagonist in the re-establishment of the relationship between the water and the city. That has resulted in the architectural design of the five river rooms along the Thames.","Thames; waterfront; symbiosis; riparian architecture; mediator","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Design Crossovers","","51.3027342, 0.557682"
"uuid:9b7bdfcc-1e29-4487-af99-1939887698fd","http://resolver.tudelft.nl/uuid:9b7bdfcc-1e29-4487-af99-1939887698fd","Machine learning techniques for investigating the Coulomb friction and hysteresis in structural joints: A data driven approach for monitoring non-linearity in engineering systems","MAHAJAN, SAURABH (TU Delft Civil Engineering & Geosciences)","Cicirello, A. (mentor); Kavoura, Dr. Florentia (graduation committee); Lourens, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Structural joints influence the design strength, material requirement of a structure. Structural joints experience damping dissipation due to friction damping or hysteresis damping. Damping is often used for reducing the vibrations in a structure. However, large amount of energy dissipation leads to deterioration of the material used for constructing the joint. Hence it is important to identify the system parameters like stiffness, viscous damping, friction force as well as the hysteretic restoring force that cause the energy dissipation in the structure.
For identifying the uncertain system parameters like stiffness, viscous damping and magnitude of friction force, the SINDy algorithm is extended by using stick and slip temporal constraints. This is done by segregating the data of external forcing and response of SDoF system, applying the existing SINDy algorithm and applying the sticking and slipping conditions in the time domain. The proposed Extended SINDy approach estimates the system parameters more accurately compared to the existing SINDy algorithm.
For studying the hysteresis in the structural joints, a pinned column base-plate was considered in an elastic region. Further, the Dahl model with different slope parameter for each branch of moment-rotation hysteresis is employed. The correct values of parameters are estimated using the Bayesian Optimization technique. This procedure yields a functional form representing a resisting hysteretic moment-rotation behaviour in a structural joint with good accuracy.
This thesis therefore proposes a definition of the robustness of an initial stabling plan to changes in the stabling demand, such as changes in train lengths, as well as provide an assessment method of said robustness. The created Robustness Assessment Model (RAM) first generates an initial stabling demand and stabling plan, then performs a Monte Carlo simulation to generate a set of stabling plans created for variations of the initial stabling demand, based on changes in stabling demand such as train length. Finally, the RAM estimates the robustness of the initial stabling plan by analysing the differences between the initial and these generated stabling plan variations and how efficiently the initial plan is able to change to these plans to optimally facilitate the variations of the initial stabling demand.
Running this model across three locations, each with three capacity utilisation scenarios, has shown that the model is able to estimate the robustness of an initial stabling with acceptable confidence, and furthermore is able to give insight into how capable the stabling plan creation method is in generating a robust solution. Furthermore, the RAM can also be used to investigate patterns in stabling plans which could predict the robustness of said stabling plans. Current shortcomings to the RAM are its relatively long runtime, the simple stabling plan creation process used in the RAM, and that only one-sided stabling yards without servicing scheduling have been incorporated. Further research is therefore recommended for extending the RAM with other stabling yard layouts and the scheduling of services, as well as improve the stabling plan generation process to take more constraints into account.
The project investigates the balance between resilience and efficiency through a social housing development in São Paulo, Brazil’s largest city. Despite São Paulo not being a poor city, it has one of the world’s most inequitable distributions of wealth. One of the main symptoms of this inequality is a lack of sufficient housing. The project is in Grajau, one of the city’s periphery neighbourhoods. Heterogenous neighbourhoods, called favelas or irregular settlements, populate the district(Marques and Saraiva 2017, 21). Favelas often consist of dwellings that do not meet adequate housing standards according to Brazilian law. Still, these neighbourhoods provide an opportunity for impoverished families to have a house, presenting somewhat of a solution towards the Brazilian housing crisis.
The research concluded that Brazil’s large socio-economic gap and complicated political history are two of the primary catalyst for the country’s significant housing crisis. The Estatuto da Cidade (City Statute), developed after the fall of the dictatorship, acts as a legal framework to manage and improve the urban development of periphery neighbourhoods. The City Statute includes the Zones Especias de Interesse Social’ (ZEIS, Special Zones of Social Interest). ZEIS identifies areas where infrastructure and degraded properties can be improved, allocates space for public amenities and dedicates unused land for Social Interest Housing (HIS) and Low-Income Market Housing (HMP).
The central concern of the project is the lack of urban integration between Social Housing developments and their surrounding heterogeneous neighbourhoods (favelas and irregular settlements). A combination of fieldwork, theoretical research and comparative analysis, discussed throughout the report, was used to identify the problem. The project challenges the urban segregation between favelas and Social Housing through porous thresholds and careful boundaries. The approach is derived mainly from the work of German philosopher Walter Benjamin, Dutch architect Aldo van Eyck and Brazilian architect Hector Viglecca. The design applies porous thresholds and careful boundaries throughout all design scales, continuously considering the project’s socio-economic, managerial, and environmental impact.","boundaries; thresholds; Spatial segregation; Sao Paulo; social housing","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:5088946b-9078-44e3-8637-328eba90973d","http://resolver.tudelft.nl/uuid:5088946b-9078-44e3-8637-328eba90973d","Analyzing an Application of Neural SDEs in Finance and the Challenges in Synthetic Data Generation","Gargiulo, Gianmarco (TU Delft Electrical Engineering, Mathematics and Computer Science)","Papapantoleon, A. (mentor); Parolya, N. (graduation committee); Barsotti, F.B. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis investigates the application of neural stochastic differential equations (NSDEs) in financial modeling. It begins by presenting existing theoretical interpretation of NSDEs and investigates the properties of their solutions. By establishing a solid foundation, the thesis sets the stage for further explorations. A significant focus of the research is on the calibration and joint calibration of options using Markovian-type neural SDEs. This modeling approach is carefully chosen after conducting an extensive analysis that considers both the supporting evidence for the rough volatility hypothesis and the counterarguments in favor of Markovian volatility models. By undertaking a thorough study of these perspectives, the thesis aims to provide an in-depth understanding of the advantages and disadvantages associated with each modeling approach.
Additionally, the thesis addresses the challenge of data scarcity, a common issue faced in financial research. Recognizing the limited availability of real-world data and its potential impact on model development and testing, the research explores various existing methods for generating synthetic financial data.","","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:88bbfca7-36aa-47fe-a67e-8b56a5063d9e","http://resolver.tudelft.nl/uuid:88bbfca7-36aa-47fe-a67e-8b56a5063d9e","Redesigning Social Media: Limiting Self-Radicalisation caused by YouTube's Recommendation Algorithm","van de Vorstenbosch, Larissa (TU Delft Technology, Policy and Management)","Marin, L. (mentor); Dobbe, R.I.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","","Self-Radicalisation; YouTube; Recommender System; Design Alterations","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:659c190a-12e3-4f73-829f-3549b45c10c2","http://resolver.tudelft.nl/uuid:659c190a-12e3-4f73-829f-3549b45c10c2","Analysis of Entrepreneurship Competencies' development among students: The assessment of entrepreneurship compentencies’ using the EntreComp framework among students for Delft Centre for Entrepreneurship","Looij, Mitchell (TU Delft Technology, Policy and Management)","Scholten, V.E. (mentor); van Andel, E. (mentor); Wagner, Ben (graduation committee); Delft University of Technology (degree granting institution)","2023","In recent years, there has been a growing interest in entrepreneurial education among students, emphasizing the significance of an entrepreneurial mindset. Introducing the EnteComp framework in 2016 has provided a standardized approach for evaluating interdisciplinary competences in students. This study aims to address this issue by examining the optimal utilization and integration of the EntreComp framework in the educational practices of Delft Center for Entrepreneurship (DCE). By conducting a comprehensive literature review and analyzing data from a large-scale survey involving 119 questions among DCE students, the research investigates the most effective methods for measuring the development of entrepreneurship competences among students. The findings indicate EntreComp offers a shared language and a holistic approach for assessing entrepreneurial competences. The analysis also reveals a lack of consensus and practical implementation in utilizing the EntreComp framework. Therefore, it is crucial to focus on the longitudinal development of EntreComp competencies over time and to employ various survey types and statistical tests to make the use of EntreComp more common.
Guided by the literature review, three important interacting markets were identified for inclusion in the conceptual system dynamics model for the soybeans market: the market for storage, the cash market, and the futures market. The results show how interactions between these markets determine the behavior of spot prices. Furthermore, in the literature review, speculators were found to be trend-following, fast-reacting entities who contribute to strengthening of price expectations and spot prices. The quantitative system dynamics model shows how speculators are able to amplify the oscillation of spot price behavior, which can be compared to the forming and crashing of price bubbles in a commodity market. This amplification occurs because speculators react faster to changes in spot prices than other market participants. While the model is still relatively simplistic, it creates an understanding of the system structure of financial markets that determines spot price behavior.
The research describes how experts agree on the fact that some kind of policy intervention is required to limit the negative effects of speculation. Policy makers should pay attention to the level of transparency in setting position limits and focus on clarifying and explaining the classification of speculators and hedgers. Furthermore, it is recommended that only traders with an active and real interest in the commodity should be allowed to participate in the futures market.
This study addresses the problem of designing stable geotextile filters. The problem statement resolves around the assumption that geotextiles of natural fibers are considered ""open"" filters. Existing design criteria for open filters do not directly account for load conditions or the use of fibers in the fabric. Instead, they rely on the relationship of the geometrical properties of the base and filter material. To address this gap, a new approach is proposed based on hydraulic pressure gradients. This approach considers the actual gradient and critical gradient at the geotextile interface. To achieve this, the geotextile will be evaluated within the context of an open channel with uniform flow conditions.
The main research objective is to formulate a design method for geotextiles based on the principles of an open filter structures under a single granular filter layer. A comprehensive literature review has been conducted to investigate the hydrodynamic processes that define the actual gradient at the filter/base interface where the geotextile is positioned. The framework of linear wave theory proved to be a valuable tool for investigating and modeling these hydrodynamic processes. To define the actual gradient, the following hypothesis is proposed:
The actual gradient can be defined by adding the average gradient to a turbulent component derived from measured turbulent wall pressure spectra at the top of the filter layer. Based on spectral approach and linear damping, it is hypothesized that these turbulent components undergo damping within the filter layer, resulting in a diminished turbulent component at the filter/base interface.
From the literature review, it can be inferred that the dimensionless turbulent rough wall pressure spectra developed by Blake (1970) offer the most appropriate representation for the relevant hydraulic conditions (rough horizontal bed, uniform open channel flow). In a turbulent wall pressure spectrum, outer scaling variables and inner variables relate to different length scales within the flow, representing large-scale and small-scale fluctuations, respectively. In this hypothesis, it is assumed that the low-frequency region is scaled by the average velocity and water depth, while the scaling variables for the high-frequency region are presented in terms of the shear velocity and nominal diameter of the filter material. By combining both spectra, converting the pressure spectrum to the gradient spectrum, and transforming the spectral domain to the spatial record, the fluctuating gradient can be determined.
The hypothesis was verified using measured data from experiments conducted by Van de Sande (2012) and Wolters & Van Gent (2012). By incorporating Van de Sande's measured dataset into the scaling parameters of the dimensionless pressure spectra and determining the stability parameter for open filters, it was concluded that qualitatively the results align with Van de Sande's findings, indicating stability or instability of the filter layer. However, in some test cases, the hypothesis suggested instability while the experiments indicated stability.
This discrepancy may be due to the fact that while the stability parameter may indicate an unstable filter, it does not necessarily correlate with significant base material transport through the filter layer. In a quantitative analysis, the amount of dimensionless transport of base material was compared to the stability parameter. According to the data presented in figure 4.12, it can be observed that the stability parameter exhibits a value that is three times larger then the stability parameter defined by Schiereck (2012), while not inducing any significant transport of base material. This finding aligns with previous experimental investigations, such as the study conducted by Wolters & Van Gent (2012), which similarly demonstrated that the stability parameter could reach values that are two to three times larger, while still not resulting in notable base material transport.
A direct quantitative comparison was conducted between the measured dataset of Wolters & Van Gent (2012) and the calculated gradients obtained from the hypothesis. The results revealed that the calculated gradients exhibited a comparable magnitude, ranging from 0.25 smaller to 1.50 larger in comparison to the measured gradients reported by Wolters & Van Gent (2012). This indicates a reasonably close agreement between the calculated and measured gradients.
In conclusion, the research objective in this study was to formulate a design method for open geotextiles under a single granular filter layer. To achieve this, it was necessary to take some steps back and define the actual gradients, as well as identifying a suitable method for calculating these gradients. The actual gradient is calculated with the sum of the average gradient and the fluctuating gradient. The fluctuating gradient can be characterized by the turbulent wall pressures, which are derived from the pressure spectrum measured at the top of the filter layer, as described in Blake (1970). Assuming linear damping of the pressures within the filter layer, the actual gradient can be computed at the interface of the geotextile. Consequently, the assessment of stability entails a comparison between this calculated actual gradient and the critical gradient measured by Lemmens (1996).
With a clearer understanding of how to calculate the actual gradients at the geotextile interface, the same approach employed in the hypothesis is applied to address the design of a stable open geotextile under a single granular filter layer. This has been demonstrated through a case study, where the thickness of the filter layer was determined to create a stable filter structure.
Several recommendations can be made to improve the understanding and design methodologies in relation to the hypothesis. Firstly, there is a need for a better understanding of the spectral density of wall pressure fluctuations in open channel flow. This understanding will enable more accurate estimations of fluctuating gradients. Secondly, further research is necessary to incorporate the distribution of pressure at the filter/base interface for non-linear damping. Thirdly, it is advised to conduct tests using modern natural geotextile materials to refine the critical gradient determination and explore alternative approaches, such as considering critical filter velocities. Lastly, it is recommended to extend the design method to encompass wave conditions. Verifying the effectiveness of the design approach under wave conditions through comparison with measured data will ensure its applicability and reliability in practical scenarios.
While further investigations are needed, it still offers a fresh perspective on evaluating the stability of open filter structures. If successfully refined, the design method could become a valuable tool in geotextile design and potentially find applications in other contexts involving ""open"" structures.","","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:85ba000d-f802-4983-9fe6-161a408ea911","http://resolver.tudelft.nl/uuid:85ba000d-f802-4983-9fe6-161a408ea911","Structural Analysis of an Offshore Jack-up Installation Vessel","Wille, Seppe (TU Delft Aerospace Engineering)","Bisagni, C. (mentor); Tollet, W. (graduation committee); Delft University of Technology (degree granting institution)","2023","Offshore installation vessels are growing in size in order that they are capable of handling the next-generation 15 and 20MW wind turbines. At the same time, it is important to gain a better understanding of their structural behaviour using the finite element (FE) method. One approach that is often used is the full vessel FE analysis, which studies the vessel in its entirety. However, the drawbacks are that a coarse mesh must be adopted to have an acceptable simulation time and it takes months to create a 3D model of a vessel. Another approach is the partial vessel FE analysis, which considers only a section of the vessel. This research aims to develop a methodology for the partial vessel FE analysis of an offshore jack-up installation vessel.
The studied section of the vessel is between the four legs, which is where the highest bending moment is expected and the wind turbine towers are located on deck. The methodology was developed to analyse the vessel in still water and waves separately. The boundary conditions and hull girder load adjustments of the partial vessel model were described, which cause the vessel section to reflect the behaviour of the full vessel. The procedure to apply the loads on the model was also given. The finite element analyses were performed and the stress results compared to a simple analytical solution of the vessel based on Euler-Bernoulli beam theory.
The methodology of the partial vessel FE analysis was successfully applied to the offshore jack-up installation vessel. For the vessel in still water, the stress results were in agreement with the analytical solution. The results were accurate in the middle region for approximately 50% of the partial vessel model and thus it is advised to have a larger model for future use. The effect of torsion could not be fully justified as it is not captured in the analytical solution, but resulted in a significant improvement. For the vessel in waves, the stress results also showed a good agreement with the analytical solution. The accuracy depended on the equivalent design wave approach, which assumed a regular travelling wave. Lastly, it was proven that the presence of payload only leads to local changes in the stress field, but not in the global behaviour of the vessel.","Finite element analysis; Offshore jack-up installation vessel; Partial vessel analysis; Hull girder load adjustments; Boundary conditions; Equivalent design waves","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:66da1420-cf61-4658-b45b-66adc7eb5156","http://resolver.tudelft.nl/uuid:66da1420-cf61-4658-b45b-66adc7eb5156","The Effect of Sea Level Rise on the Operations of the MOSE Barrier in Venice: An Analysis Using the Rosner and an Adapted Framework for Adaptation","Oomens, Robin (TU Delft Civil Engineering & Geosciences; TU Delft Hydraulic Structures and Flood Risk)","Ragno, E. (mentor); Diermanse, Ferdinand Lennaert Machiel (graduation committee); Antonini, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Coastal cities and communities are threatened by Sea Level Rise (SLR). Designing adaptations to protect against the rising sea requires a novel approach. With changing conditions, a broader approach considering multiple climate scenarios is required. A city facing an increasing threat from sea levels is Venice, one of the UNESCO world heritage sites. To protect the historic Italian city against floods, the MOSE barrier was constructed by the Italian government.
In 2020, the MOSE barrier was used for the first time. The mobile barrier closes when high water levels are foreseen in the city, preventing floods. Due to SLR, it is anticipated that the barrier will have to close more in the future, leading to questions about the functionality of the barrier when water levels rise. This might require adaptations or alternatives for the MOSE barrier.
The main objective of this thesis is to explore the potential of the framework proposed by Rosner et al. (2014) to evaluate the economic feasibility of an adaptation strategy against sea level rise in the city of Venice.
This framework assesses the potential regrets (monetary losses) on the decision to invest in an adaptation strategy accounting for errors in the evaluation of the level of sea level in the future. The framework incorporates trends based on historical sea level observations, including the uncertainties around these trends.
First, different methods of calculating design values under varying conditions are compared. This comparison is focused on different non-stationary Extreme Value Analysis (EVA) distributions. Due to SLR, a stationary and thus constant situation is not valid for Venice. This leads to the choice of the Rosner framework since it evaluates the feasibility of adaptations under varying conditions.
The regret of adapting or not adapting to a trend or SLR scenario is calculated from the damages associated with that scenario and the costs of the adaptation. The damages are computed by calculating the number of MOSE barrier closures for the different scenarios and multiplying this number by the costs that are related to a closure event. The adaptation that was chosen for evaluation is raising the entire city by 30 cm. This is accomplished by injecting seawater into a deep soil layer underneath the city.
The analyses resulted in smaller expected regrets when the choice is made to adapt. This was the case for all SLR scenarios, the difference between the adapt and not adapt regrets is larger for higher levels of SLR. This includes a higher SLR scenario due to a trend with large uncertainty. This is under the assumption that the adaptation, lifting the city, will work, the technical feasibility of this method was not investigated in this thesis.
A more precise calculation of the number of closures is advised. However, it is evident that adaptations are required to keep the MOSE barrier functional and the city of Venice safe from high waters. Raising the city will allow more time to evaluate which alternative to the MOSE barrier is best suited for the future.","Extreme Value Analysis; Venice; MOSE Storm Surge Barrier; Adaptation pathways; Sea level rise; Risk Based Decision Making","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering | Hydraulic Structures and Flood Risk","","45.4408,12.3155"
"uuid:331a6ac2-0ba9-4726-8672-003cf762ad60","http://resolver.tudelft.nl/uuid:331a6ac2-0ba9-4726-8672-003cf762ad60","Operational Streamflow Drought Forecasting for the Rhine River at Lobith Using the LSTM Deep Learning Approach","DENG, Jing (TU Delft Civil Engineering & Geosciences)","Taormina, R. (mentor); Hrachowitz, M. (graduation committee); Couasnon, Anaïs (graduation committee); Dahm, Ruben (graduation committee); Delft University of Technology (degree granting institution)","2023","Under future warmer climates, drought events are projected to occur more frequently with increasing impacts in many regions and river basins. This study focuses on exploring the potential of the LSTM deep learning (DL) approach for operational streamflow drought forecasting for the Rhine River at Lobith with a lead time (LT) of up to 46 days.
The research investigates optimal spatial resolution, input and target variables, and loss functions. Four LSTM-based model architectures are developed and tested, incorporating both historical observation and forecast data to generate 46-step forecasts simultaneously. The robustness and stability of the models are assessed through cross-validation, and their performances are compared. Subsequently, the performance of the LSTM-based model is compared to the physically-based models, namely Wflow-Rhine and FEWS-Rhine, in forecasting streamflow drought.
The results suggest that utilizing a subbasin spatial resolution, including historical discharge as input, and training the model on time-differenced data enhance the forecast skill. Among the evaluated models, the model architecture with two LSTMs in cascade exhibits stable and robust performance across the forecast horizon and is considered for operational use in this study. Comparisons between the DL model and physically-based models indicate that: 1) When using observed meteorology forcing from ERA5, the DL model demonstrates a notable performance compared to Wflow-Rhine simulation using the same forcing data. 2) When utilizing SEAS5 for forecasting, the DL model demonstrates skill over Wflow-Rhine in predicting discharge levels during the dry season up to 10 days ahead, as well as for discharges between 950 and 2200 m3/s across the entire forecast horizon. However, for discharges between 700 and 950 m3/s with longer LTs beyond 20 days, Wflow-Rhine shows skill over the DL model. 3) While FEWS-Rhine successfully forecasts drought events in 2018 throughout the forecast horizon, it tends to produce more Type I errors (false positives). The DL model, forecasting with SEAS5, accurately predicts drought events in 2018 for LTs up to 30 days and generally has higher precision values. Despite using different forcing datasets, the DL model can predict the timing and trend of past drought events, indicating its potential in capturing streamflow patterns.
This study contributes to operational water management in the Netherlands by employing the LSTM deep learning approach in an operational framework for drought forecasting. By leveraging historical observation data and forecasted meteorology forcing data, these models achieve skillful performances for streamflow drought forecasts. Future research could focus on further enhancing model performance, exploring the applicability of the LSTM-based models in other river basins, and validating the results in real operational settings.","Drought; Operational forecasting; Rhine River; Lobith; LSTM; Deep Learning","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:1a46bf5e-a9f2-4484-8cdd-a0cd4b483127","http://resolver.tudelft.nl/uuid:1a46bf5e-a9f2-4484-8cdd-a0cd4b483127","The effect of aeration on the maximum pressure during wave impacts on a horizontal overhang: An experimental and numerical study of the effect of aeration on the maximum impact on a horizontal overhang.","van Gent, Koen (TU Delft Mechanical, Maritime and Materials Engineering)","Wellens, P.R. (mentor); Helmons, R.L.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","When waves break, air and water mix and small air bubbles are formed in the water, creating aeration. The mixture of air and water alters the behavior of the fluid. Traditionally, it has been assumed that aeration has a damping effect on impact, as exemplified by the use of air bubbles in the swimming pool below the Olympic 10m platform and during synchronized 10m platform jumps to reduce the force exerted on the swimmer's body.
However, in recent years, it has been found that this assumption does not hold true in all situations involving aeration. When treating aerated water as a non-compressible fluid, it does exhibit a damping effect on impact. However, when treating aerated water as a compressible fluid, the speed of sound of the mixture can actually change with implications for the propagation of density waves. This means that an aerated water impact can feature pressure oscillations that increase the force instead of reducing it. Consequently, hydraulic structures that have been or will be constructed with the assumption that aeration only has a damping effect, may be affected.
Understanding the effect of wave-induced aeration on a horizontal platform is crucial for the design of new hydraulic structures in a more efficient and cost-effective manner. This research aims to determine the maximum force experienced by a horizontal surface during an aerated wave impact. The investigation involves using a numerical method, followed by a series of self-conducted small-scale sloshing experiments. The simulations contribute in two ways: they aid in understanding the problem and the magnitude of forces acting on the experimental setup, and they assist in verifying the experimental results once the experiments are completed. For the experiments, a new tank layout has been designed to facilitate the creation of aerated water inside the tank. The tank is used for conducting experiments where aerated wave impacts are compared to non-aerated wave impacts for different wave impacts. The experimental results confirm both the effect of pressure reduction due to aeration when the aeration level is near to 4%, as well the effect that the maximum pressure can increase for aeration levels between 1 and 2%.","Aeration; horizontal overhang; wave pressure","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering","",""
"uuid:f988aaf6-c2a7-4782-b5de-8ca6187d03ef","http://resolver.tudelft.nl/uuid:f988aaf6-c2a7-4782-b5de-8ca6187d03ef","A graph-based search approach for planning and learning: An application to planar pushing and navigation tasks","Groote, Gijs (TU Delft Mechanical, Maritime and Materials Engineering)","Wisse, M. (graduation committee); Pezzato, C. (graduation committee); Smith, C.S. (mentor); Delft University of Technology (degree granting institution)","2023","In the field of robotics, consider the following problem scenario: In a robot environment, a simple robot must push objects to reference places while figuring out which objects can be pushed, what the best manipulation strategy is, or which objects are static and cannot be pushed. The problem scenario can be decomposed into three research topics which individually have received much attention from the research community; learning object dynamics [8, 37], Navigation Among Movable Objects (NAMO) [7, 13, 21, 23] and nonprehensile pushing [2, 5, 25, 41, 42, 43]. A combination of these three topics could lead to improvements in planning, execution time, and reasoning, but it has not been explored in the literature. This thesis proposes a robot framework that combines these three research topics. This framework comprises of three key components: the hypothesis algorithm, the hypothesis graph, and the knowledge graph. The hypothesis algorithm computes a hypothesis on how to relocate an object to a new pose by computing possible action sequences given certain robot skills. In doing so, the hypothesis algorithm creates an hypothesis graph that encapsulates the structure of the action sequences and ensures the robot eventually halts. Once a hypothesis is carried out on the robot, information about the execution, such as the outcome, the prediction error, the type of controller used and other metrics, are stored in the knowledge graph. The knowledge graph is populated over time, allowing the robot to learn, for instance, object properties and then refine the hypothesis computed to increase task performance, such as success rate and execution time. A new planning algorithm is proposed that can detect a when a path is blocked by an object, the hypothesis algorithm relies on the newly proposed planner to generate action sequences and to free blocked paths. This planner extends the double tree optimised Rapidly-exploring Random Tree algorithm [7]. The planner constructs a configuration space for an object and is provided with starting- and target pose for that object. The planner then converts these poses to points in configuration space to then search for a path connecting the starting configuration to the target configuration. For the new planner, objects are initially classified as “unknown” and can later be categorized as either “movable” or “unmovable”. The object type information is then used when constructing the configuration space for the newly proposed planning algorithm. Configuration space consists of the conventional free- and unmovable- (or obstacle) space and the newly proposed unknown- and movable space. To carry out the investigation, a mobile robot in a robot environment with movable and unmovable objects is created. The robot is given a task that involves relocating a subset of the objects in the robot environment through driving and nonprehensile pushing. The task can be broken down into individual subtasks that consist of an object and a target pose. Planning for a push or drive action occurs with the newly proposed planning algorithm that, if successful, completes a given task and populates the knowledge graph with learned object information. Information that can be used to determine which objects to manipulate and what strategy performs best to manipulate a specific object. In an effort to develop a robot framework that combines these three topics, a framework is created that shows improved task execution as a result to experience gained in the robot environment. The proposed framework performs equivalent or better compared to the state-of-the-art frameworks that are specialized in only two out of three research topics [47]. It can be concluded that the framework partly combines the three topics because learning system models with a system identification module is moved to the future work section. Instead, the proposed method selects the best available control and system model combination in the set of available control and system model combinations.","Learning Object Dynamics; Navigation among movable objects; nonprehensile pushing","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:bb506b09-4f3e-42c5-b072-ca608131b736","http://resolver.tudelft.nl/uuid:bb506b09-4f3e-42c5-b072-ca608131b736","Mending a broken innovation process: A study of the interactions in the innovation process to improve the implementation of innovation by design","Fliervoet, Lies (TU Delft Industrial Design Engineering)","Smulders, F.E.H.M. (mentor); Mazerant, E.B. (mentor); Delft University of Technology (degree granting institution)","2023","Within the Dutch Ministries, implementing agencies receive the request to execute specific policies. To do this, they often need a (technical) product/service/platform which enables them to fulfill their job. With new types of technology coming to the market, the implementing agencies explore these new options to see if and how they can add value to their organization and make, e.g., executing a policy easier or more reliable. Therefore, the implementing agencies engage themselves in innovation projects. DICTU supports the implementing agencies with IT solutions such that they can create the product/service/platform to execute the policy. Currently, the innovation process in this context runs across multiple organizations and several departments, and there is little consideration of the various stakeholders; there often is a delay, the final product does not always solve the initial problem, or it occurs that the final product is not (correctly) implemented in the organization. This dissection of the innovation process leaves it broken and unwholly. This graduation project aims to create a designerly interaction between DICTU and its client to positively influence and contribute to a more successful implementation of innovation. Through literature and field research, a schematic overview of the current innovation process is created in which the various stakeholders and interactions are displayed. The two most important findings are that the implementing agencies have little trust for DICTU and that there is no moment in the innovation process where all stakeholders come together.
From a design point of view, there is value in involving the different stakeholders throughout the project to create a good solution for an existing problem by synchronizing the various parties. For this purpose, the Zegiswijzer is created. It is a tool that helps structure an additional interaction on the interface between DICTU and their client to make a smooth transition between the different organizations to synchronize their languages. This is done in a workshop by (1) defining the problem and the relevant stakeholders and their connections and by stating the ambition (2) by defining success and illustrating the solution. The next step is to reflect (3) on the first two steps, is the problem definition still accurate? Does the proposed solution indeed solve the problem? Or is it necessary to reframe the problem and/or solution? After this reflection, the path (4) is defined to go from problem to solution and state the expected risks and needed resources. With this step, not only the start of the project and the go-live of the product are considered, but also the phase after the go-live. What is needed to implement the product? And how will the organization adopt the product? Then, a collaborative decision (5) on how to continue is made. Based on the combined perspectives of the stakeholders, a well-informed decision can be made on whether to accept the project, adjust it, or don’t accept the project.
The implementation and development of the Zegiswijzer is captured in a roadmap. A future is envisioned in which valuable products/services are created, developed, delivered, and implemented in the Ministry of EZK/LNV by organizing the projects around close inter- and intra-organizational collaboration to create a profound understanding of the context facilitated by DICTU makes use of the Zegiswijzer. This future is realized by first using the Zegiswijzer on the interface between DICTU and its client to challenge the status quo of silo working. Then, DICTU should proactively engage in activities to create a profound understanding of the client’s business and gain legitimacy and trust for their position. The last step is for DICTU to take the role of partner, which leads to the realization of the vision. Future research should investigate the effect of following this designerly interaction on the interface between DICTU and the client and the implications it has on the implementation.","innovation; implementation; interaction; designerly approach; government; change management","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:aac770c3-7971-4a87-87eb-dcdc470d7ba4","http://resolver.tudelft.nl/uuid:aac770c3-7971-4a87-87eb-dcdc470d7ba4","Design and fabrication of smart vaporizing liquid microthruster for Cubesat applications","Spernovasilis, George (TU Delft Electrical Engineering, Mathematics and Computer Science)","van Zeijl, H.W. (graduation committee); Sarro, Pasqualina M (mentor); Delft University of Technology (degree granting institution)","2023","Propulsion systems capable of providing attitude and orbit control are an essential part of small satellites. In particular, Micro-Electro-Mechanical Systems (MEMS) Vaporizing Liquid Micro- thrusters (VLM), using water as a propellant, meets the requirements for launch-safety, simplicity and cost. Moreover, MEMS technology also enables the integration of sensors to fabricate smart thrusters. This thesis reports the design and fabrications of a smart vaporizing liquid microthruster for the applications in small satellites. The thruster is fabricated with a conventional anodic bonded silicon-glass wafer stack with a glass capped microfluidic channel but the novelty here is that in-channel heaters and sensors on the glass wafer are combined with on-channel heater and sensors on the silicon wafer. For example, an on-silicon piezo resistive pressure sensor is combined with an in-channel temperature sensor. To enable close proximity and stacking of heaters and sensors, a combined front-side/back-side silicon wafer processing is applied.
Furthermore, the on-silicon heater is built in a recess on the silicon wafer to minimize thermal resistance between heater and channel. The modular designed layout allows the integration of different sensor / heater combinations in the wafer stack to meet an application specific thruster performance, which enables cost effective flexible manufacturing. A preliminary characterization was performed which showed that integration of all designed modules was successful. The proposed fabrication process could therefore be a fabrication platform for VLMs.
This thesis investigates the role of speculation on the prices of two food commodities, corn (maize) and soybeans, and answers the following research question: To what extent did financial speculation have a role in rising food commodity prices over the years 2004-2023? To do so, a ""multi-method"" approach is employed. Specifically, the four methods are: a literature review; a replication of an econometric model; statistical inspections and linear Granger causality tests; and interviews with experts.
First, the ""indirect"" effect of speculation on food commodity prices through the oil market is examined with the extension of an econometric model proposed by Knittel and Pindyck. The analysis shows that, in recent periods, speculation can account for around 11% of the oil price changes. Then, through Granger-causality tests, a Granger-causation chain between oil prices, fertilizer prices and food commodity prices is found. The two findings together prove that speculation has some role in affecting oil prices, and that dynamics in oil markets are transmitted to food markets. Second, the thesis proceeds by assessing – though empirical analyses and Granger causality tests – the more direct effects of speculative activities in food commodity markets themselves on food commodity prices, as well as the relation existing between spot and futures prices. Even though the statistical evidence of speculation driving prices is found only in a few specific cases, the chapter concludes that speculation cannot be completely excluded from the factors affecting prices’ dynamics. Eventually, this thesis comes up with a theoretical-cum-empirical framework – justified and sustained by a literature review, a model replication, some statistical inspections and tests, and interviews of experts – that concludes that excessive speculation has – to some extent – been driving up and affecting commodities prices: specifically, speculation has increased volatility in futures markets.","Speculation; Commodity; Futures markets; Oil market; Financialization; Granger causality","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:256fcb20-ec20-4719-912f-af44ab00e5d1","http://resolver.tudelft.nl/uuid:256fcb20-ec20-4719-912f-af44ab00e5d1","Intimate Urbanity of Rue du Moulin: Study on the fragmentation and the collective memory of Rue du Moulin","Menon, Megha (TU Delft Architecture and the Built Environment)","Ronner, E.I. (mentor); Stalker, S. (mentor); Reinders, L.G.A.J. (mentor); Lafeber, J.W. (mentor); Delft University of Technology (degree granting institution)","2023","The street is perhaps the most prosaic of the city’s public realm, allowing us to view the very ordinary practices of life and livelihood – a space to move or pause, to meet friends, post a letter, to buy goods and is composed of an amalgamation of rooms along it. Due to the possibility of maneuvering, it tends to exhibit the external spatial reinforcements taken to mark a person’s position. This makes a street not a linear study but a system of social and spatial labyrinths. Hence, the street gives a peek at not only what was and what is, but also the lived realities of allegiance and participation by understanding the social and cultural formations occurring within itself. This is observed by unfolding the street to understand the levels of interactions (or scenes) within the layers between the building and street.
The thesis focuses on the field between architecture and urbanism, on the domain between public and private. It is an attempt to bring an interactive notion to ‘street’ and its role in the design of urban areas and smaller architecture projects. It aims to reinforce the quality of open space within and between the built structures and the existing corridors by blurring the borders of inside and outside.","Street study; Fragmentation; urbanity; Ordinary interactions; Collective Memory; Urban Architecture; Bressoux; public space","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","50.644245, 5.605708"
"uuid:75218547-2071-4193-8068-9b887be3ce3a","http://resolver.tudelft.nl/uuid:75218547-2071-4193-8068-9b887be3ce3a","Passive screen-camera communication","Kaldenbach, Marco (TU Delft Electrical Engineering, Mathematics and Computer Science)","Zuniga, Marco (mentor); Anand, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The advancement of wireless communication technologies has transformed how we exchange information in our daily lives. However, the increasing demand for wireless communication faces challenges due to limited radio wave bandwidth availability. In this context, visible light communication has emerged as a promising alternative, utilizing visible light for data transmission and reception. Screen-based visible light communication, also known as screen-camera communication, is a highly appealing option due to its exceptional user accessibility and seamless integration with existing infrastructure. It enables data to be embedded imperceptibly for humans within video content, ensuring a seamless and unobtrusive transmission.
Screens can be classified into two types: active screens, which emit their own light, and passive screens which harness ambient light as a more energy-efficient light source. Existing research has largely explored the use of active screens in screen-camera communication. This work focuses on the feasibility and implementation of a passive screen-camera communication link, addressing both data embedding within video scenes and decoding using an Android smartphone.
To accomplish this objective, an Android application is developed to decode data transmitted through a passive screen. Unlike most previous approaches that perform decoding in a non-real-time manner, this work implements a real-time application. However, because of the constraints imposed by the capturing rates of real-time cameras, both the transmission and reception are limited to low frame rates, specifically 15 frames per second transmission rate. It is anticipated that advancements in technology will eventually overcome this limitation. However, currently, the low transmission rate exhibits noticeable flickering. To reduce this issue, two novel encoding techniques were introduced, slightly reducing the flicker.
Through the exploration of a passive screen-camera communication link, this research further contributes to the advancement of visible light communication technologies. The proposed decoding techniques eliminate the reliance on visual screen reference points and address the challenge of real-time hand motion filtering, enhancing the practicality and usability of passive screen-camera communication systems in real-world scenarios. Finally, a proof-of-concept Android application was developed, effectively synchronizing audio playing on the smartphone with the video content displayed on a passive screen.
The response time performance of the passive screen-camera link was measured to be 530 ms when using a static phone holder and 1071 ms when handholding the phone.","Passive VLC; Screen-to-camera link; VLC","en","master thesis","","","","","","","","2025-12-31","","","","Electrical Engineering | Embedded Systems","",""
"uuid:f16ea364-adf7-45f4-b83f-a4e93c61a37e","http://resolver.tudelft.nl/uuid:f16ea364-adf7-45f4-b83f-a4e93c61a37e","Nurture in Nature: Contributing to childhood development in Bressoux & Droixhe by strengthening nature connectivity","Ooijevaar, Jasmijn (TU Delft Architecture and the Built Environment)","Vermeulen, P.E.L.J.C. (mentor); Schreurs, E.P.N. (mentor); van Deudekom, A.B.J. (mentor); van der Schans, R.M. (mentor); Delft University of Technology (degree granting institution)","2023","'The Scarred City', the theme of the Urban Architecture graduation studio in 2022/2023. This location-based studio started with Droixhe/Bressoux, a troublesome and difficult area in Liège (Belgium), as the overarching project site. Starting with fieldwork and participatory research into the hidden social structures of Bressoux and Droixhe and resulting in the importance and absence of nature in the area and its relevance, this thesis grew into a project aiming to contribute to childhood development in Bressoux and Droixhe by strengthening nature connectivity. Drawing workshops on the theme: 'what is nature?' with primary schools in Rotterdam, in comparable demographic neighbourhoods, where key to understanding children's perception of nature and observing their nature connectivity and inspired and aided in the design of a children’s community/leisure centre in Park de l’Oasis. A building which functions as the anchor between child and nature and where the design and its current natural environment go hand in hand to achieve the project’s aim.","Urban Architecture; Design for children; Nature; Kindergarten; Bressoux; children; Ecology","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Public Building","","50.638798, 5.599852"
"uuid:90cc54cf-7660-4f6c-b380-10d82313edc3","http://resolver.tudelft.nl/uuid:90cc54cf-7660-4f6c-b380-10d82313edc3","Temporal Complexity, how time influences life cycle assessments of bio-based materials: A research on the temporal aspects of life cycle assessments","van den Berg, Stijn (TU Delft Architecture and the Built Environment)","Meijer, A. (mentor); de Jong, P. (graduation committee); Delft University of Technology (degree granting institution); Wageningen University & Research (degree granting institution)","2023","Environmental harm is an influencing factor in policymaking, as climate pressures are frequently on the global agenda. An important tool to guide decision-making in the construction industry is the life cycle assessment (LCA) methodology, where the quantification of environmental harm is realised. In the method, an estimation of numerous environmental impact indicators can be made by assessing the various life cycles of a product, material, or process. Bio-based materials have been considered a valuable option to mitigate climate change. However, the LCA methodology appears to disregard certain characteristics of the material that could potentially improve their corresponding results. With the growth of bio-based materials, atmospheric carbon is stored as biogenic carbon and subsequently released at the end-of-life, the last life cycle phase of a material. The current methodology is unable to credit such storage, as it models all emissions through the life cycle as if they occurred at the same time. This research aims to explore the various options to comprehend, assess, and credit the storage of biogenic carbon. First, the different approaches to assess the storage of biogenic carbon, that are described in the literature, are assessed. Second, various methods to credit the timing of emissions in the LCA methodology will be elaborated upon. Third, the different currently active European standards are described. For biogenic carbon assessment, three methods were described: the 0/0 method, the -1/1 method, and the dynamic method. Here it was concluded that, respectively, complexity and accuracy increased, making practical implementation difficult but potentially valuable. Especially, the dynamic approach is shown to be a promising tool to accurately assess temporalities within the life cycle of a product. For possible crediting mechanisms, three methods were discussed: the Moura-Costa, Lashof, and ILCD crediting methods. Each method was based on specific assumptions, which resulted in varying credit strengths. In general, each crediting mechanism answered the demand for crediting delayed emissions, but to decide whether one of the methods is better suited than the other, further research is necessary. It is found that the organisational complexity of standardisation within the European Union influences possible alterations in the LCA. However, the research can conclude that the methodology is currently unable to capture the benefits of biogenic carbon storage, and by looking into potential crediting mechanisms, this limitation can be answered. Depending on the demand of our climate and, therefore, of policymakers, possible crediting mechanisms for the storage of biogenic carbon can be considered to be implemented through the LCA methodology.","life cycle assessment (LCA); biogenic carbon; carbon crediting; bio-based materials","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:98b8fbf5-33f0-4470-88b0-39a9d526b115","http://resolver.tudelft.nl/uuid:98b8fbf5-33f0-4470-88b0-39a9d526b115","Improving Agda's module system","de Bruin, Ivar (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Programming Languages)","Cockx, J.G.H. (mentor); Liesnikov, B. (mentor); van Deursen, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Agda is a language used to write computer-verified proofs. It has a module system that provides namespacing, module parameters and module aliases. These parameters and aliases can be used to write shorter and cleaner proofs. However, the current implementation of the module system has several problems, such as an exponential desugaring of module aliases. This thesis shows how the module system can be changed to address these problems. We have found that we do not need any desugarings during type-checking, but can instead handle module parameters and aliases during signature lookup by making a small change to the scope-checker, completely eliminating any exponential growth problems and unnecessary complexity. This will allow users to make more effective use of the module system, simplifying their proofs. Furthermore, the improvements to the module system will allow future research to fix the problems with Agda's implementation of pretty-printing, records and open public statements.","Dependent Types; Agda; type checking","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:f8593fb8-aa20-4caa-b12e-2521416c98a1","http://resolver.tudelft.nl/uuid:f8593fb8-aa20-4caa-b12e-2521416c98a1","VoBERT: Unstable Log Sequence Anomaly Detection: Introducing Vocabulary-Free BERT","Hofman, Daan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lukina, A. (mentor); Zhauniarovich, Y. (mentor); Bárbaro, E (mentor); Spaan, M.T.J. (graduation committee); Verwer, S.E. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the ever-increasing digitalisation of society and the explosion of internet-enabled devices with the Internet of Things (IoT), keeping services and devices secure is becoming more important. Logs play a critical role in sustaining system reliability. Manual analysis of logs has become increasingly difficult, accelerating the development of automated methods for log-anomaly detection. Despite significant progress in automating log analysis, current state-of-the-art methods face challenges dealing with unstable log data, which means that the content of log messages evolves over time.
We show that LogBERT, a state-of-the-art technique based on Bidirectional Encoder Representations from Transformers (BERT), cannot deal with unstable log data. On the three most prevalent publicly available log datasets, Mathew's Correlation Coefficient (MCC) score (which measures the correlation between a model's output and the correct labels) of LogBERT dropped by 90% after increasing log data instability from 1% to over 80% normal sequences containing logkeys in the test set. Log data instability was increased by only reassigning samples between the train and test set. Furthermore, we show that the high performance of LogBERT reported in the original paper was achieved because the model relied on a simple heuristic that only worked under specific conditions.
To address this issue, we propose a novel sequence anomaly detection technique based on BERT: Vocabulary-Free BERT (VoBERT). VoBERT uses a novel pre-training task we designed specifically for anomaly detection: Vocabulary-Free Masked Language Modeling (VF-MLM). We adapted traditional MLM and removed the fixed vocabulary constraint, which allows VF-MLM to classify out-of-vocabulary logkeys correctly.
We highlight that VoBERT is more stable than LogBERT and outperforms the latter in certain situations where log data is very unstable. For the public datasets, the MCC score of the specific train-test split used in the LogBERT paper dropped by 90% after reassigning the train-test split, increasing log data instability. In addition to sequence-level anomaly predictions, we evaluated all approaches on element level, providing a more granular performance assessment.
To assess the generalisation of the experimental results to real-world scenarios, we conducted a case study evaluating the anomaly detection models on real-world security event data collected at a large bank (50,000+ employees). We found that the simple heuristic did not work for this real-world data, having a negative correlation with the correct results. VoBERT showed performance on par with LogBERT on this real-world security event dataset.
We urge future researchers to evaluate their methods on real-world data, as we showed that the commonly used public datasets do not represent real-world scenarios. Furthermore, it is important to assess how difficult it is to detect anomalies in datasets used for evaluation. When a simple heuristic can perform well, such datasets might not be well suited to evaluate a complex anomaly detection model.
This thesis is a proof of concept for the novel pre-training task VF-MLM and paves the way for future work to refine this technique further, as well as to develop additional robust and adaptable solutions for log and security event anomaly detection.","Anomaly Detection; Log Data; alert analysis; cyber attack detection; BERT; Logs; Case Study","en","master thesis","","","","","","","","","","","","Computer Science | Artificial Intelligence","",""
"uuid:caf0b3bc-7ee2-4794-989d-80cb8fb29f7a","http://resolver.tudelft.nl/uuid:caf0b3bc-7ee2-4794-989d-80cb8fb29f7a","Design with (addiction) Care: The role of the urban, architectural and human perspective in designing addiction care in Liège","Juurlink, Daan (TU Delft Architecture and the Built Environment)","Ronner, E.I. (mentor); Lafeber, J.W. (graduation committee); Reinders, L.G.A.J. (graduation committee); Stalker, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","‘Design with (addiction) Care’ is a reflection on the role of an architect within the humanization of addiction care, primarily the design of the typology of a hostel. It is deeply rooted in the studio theme of the scarred city, where both the vulnerable scarred urban tissue as well as vulnerable people demand careful architectural reasoning. The thesis combines several methods to obtain an understanding of how architectural decision-making affects the use and experience of buildings over time. Concomitantly it examines how the perspectives of different stakeholders influence the design process. Analyzing the perspectives of architects, residents, managers, social workers, directors, local residents, professors and municipalities has contributed to a reflection on an architect's challenges, limitations and possibilities within our multidisciplinary profession.","Addiction Care; Homelessness; Humanization; Design for Care; Urban Architecture; Bressoux; Domesticity; Transparency; Safety; Flexibility","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","50.64377, 5.59462"
"uuid:291de326-05cf-4089-95bb-d511c26b0f15","http://resolver.tudelft.nl/uuid:291de326-05cf-4089-95bb-d511c26b0f15","The City of Bridges: Culture reweaved in Bressoux through leisure and production","Genç, Sare (TU Delft Architecture and the Built Environment)","Vermeulen, P.E.L.J.C. (mentor); van Deudekom, A.B.J. (mentor); Schreurs, E.P.N. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis delves into the intricate urban landscape of Liège, Belgium, notably focusing on the fragmented neighborhood of Bressoux. The narrative evolves from the city's physical and social scars to the exploration of its diverse communities, hidden beneath the veneer of cultural diversity. Integrating insights from Italo Calvino's Invisible Cities, the study addresses the complexities of social integration and the dynamics of the multicultural demographic in Bressoux. It investigates how architecture can serve as a conduit to bridge the gap between different social groups and foster a sense of community.
The initial research phase involves extensive community engagement and documentation, revealing the isolated and divided nature of Bressoux, primarily due to the lack of public spaces and underlying socio-cultural differences. The researcher's focus shifts to comprehending the sociological impact of urban segregation, using literature references and comprehensive studies on the appropriation of space at various scales. Through photography and object analysis, the study identifies the diverse cultural markers and daily routines that contribute to the formation of social identities within the neighborhood.
Drawing inspiration from contemporary architectural principles, the research emphasizes the significance of participatory design in fostering community engagement and ownership. Analysis of case studies such as Cedric Price's Fun Palace and Lina Bo Bardi's SESC Factory underlines the pivotal role of user involvement in creating dynamic, adaptable, and inclusive public spaces. The study ultimately proposes a design strategy that encourages dialogue, participation, and the integration of diverse cultural heritages, envisioning a reimagined urban fabric that prioritizes social cohesion and mutual understanding.
As Liège grapples with the challenges of an evolving cultural landscape, the thesis project suggests a comprehensive approach to transform the urban environment of Bressoux. By leveraging the transformative power of architecture, the study seeks to facilitate a sense of belonging and interconnectedness, redefining the scars of the city into bridges that unite its diverse inhabitants.
For the background subtraction and segmentation module, a solution is proposed with filtered temporal background modelling and locally adaptive threshold segmentation. This solution works on the assumption that moving objects such as particles and bubbles are sparse and do not occur more than twice in a pixel in twenty frames. Therefore, a representative incomplete background cluster can be obtained by removing the two lowest or highest pixel values depending on the mode of illumination. Next, the average value of the background cluster is used for background subtraction and the standard deviation of the background cluster is used to determine a locally adaptive segmentation threshold. The solution has been positively evaluated for detection of low contrast objects, insensitivity to disturbances, processing time, and parameter configuration. Additionally, an add-on solution is proposed that makes it possible to detect objects in the challenging region near the rubber stopper of a syringe.
For the classification module, out of four candidate classification methods a Convolutional Neural Network (CNN) is chosen for the classification of single object detections. The CNN classifier achieves an accuracy of 0.93 and is used as a baseline classifier for the rest of this research. Next, with three exploratory research questions, research is performed into opportunities and pitfalls for this classification problem summarised below.
-It is found that certain handcrafted features correlate to the classification accuracy of a detection. A method is proposed to predict the classification accuracy of detections and filter out detections that cannot be classified reliably. Compared simple filters that filter out detections based on a single feature such as area or total contrast, the proposed method should achieve a higher subsequent classification accuracy and reject less detections.
-With the simplest classification strategy that is often used for inspection, a container will be rejected if a single detection is classified as a particle. It is shown through simulation that this classification strategy is not effective for this classification problem. This is as the large number of bubble detections in a clean container would result in a high false container rejection rate.
-Two multi-detection classification strategies are proposed that use multiple detections for classification. Median voting classification uses results from the tracking module to classify multiple detections of the same object. Multi-positive classification does not use tracking results but requires multiple detections to be classified as particles. Simulation results indicate that with both strategies, the classification accuracy for containers can be drastically improved. It is theorized that the best results can be achieved with median voting classification...
The first part of this research examines the relationship between spot and futures prices and between speculation and prices quantitatively by means of econometric statistical analyses. The econometric analyses consist of descriptive statistics, correlations, and linear Granger causality tests. Monthly data are gathered from the Food and Agricultural Organization (FOA), the International Monetary Fund (IMF), and the Commodity Futures Trading Commission (CFTC). The Granger causality tests are performed both over the whole period of research (2000-2023) and over a period with a certain price peak (2020-2023), as speculation comes often in peaks.
The findings reveal a strong relationship between spot and futures prices, except for rice, specifically futures markets seem to dominate spot markets. Granger causality tests demonstrate that futures prices can be utilized to forecast spot prices the commodities.
Regarding the relationship between prices and speculation, the descriptive statistics and correlations show weak evidence. The analysis reveals that prices and price volatility Granger cause speculation rather than the opposite, except for coffee, which exhibits bi-directional linkages. The research does not provide definitive evidence that speculation causes higher prices. However, there are issues with the ""measurement"" and classification (non-commercial vs. commercial traders) of the speculation variables. That ’flawed’ data could be a reason why this research cannot support the hypothesis.
Despite the inconclusive findings, the study supports the notion that market fundamentals alone do not determine prices. Excessive liquidity and the presence of more speculators than hedgers contribute to rising prices in commodity food markets, disrupting their functioning. Expert interviews highlight the need for effective regulation to address speculation. Policy recommendations include enhancing market transparency, stronger regulation of futures markets, limiting market access to actors with genuine commodity interests, and implementing global windfall taxation and a ""food speculation tax"" to combat financialization.
The detrimental effects of speculation in food-importing developing countries are not temporary and exacerbate existing challenges, including increased import bills, trade balance deterioration, currency depreciation, and inflation. Banning open capital accounts is recommended to mitigate the destabilizing impact of global capital flows on these economies.
The study acknowledges limitations with the use of a statistical approach. Especially since time series models, like Granger causality, cannot claim causality. This research is based on monthly data, so a lot of information within weeks (or even days) is lost. In the case of Granger causality testing, the external validity is limited because the findings only pertain to the data obtained from a particular exchange, for a particular period, and also of a particular form. Moreover, this research does not capture non-linear effects (since it uses linear Granger causality tests). Future research therefore should adopt a multi-method approach, preferably using daily data, to capture non-linear effects and enhance external validity.","Speculation; Food prices; Commodities; Futures markets; Granger causality; Financialization","en","master thesis","","","","","","","","","","","","Engineering and Policy Analysis","",""
"uuid:9d6b7010-7032-4966-9dc6-5f017b412535","http://resolver.tudelft.nl/uuid:9d6b7010-7032-4966-9dc6-5f017b412535","Building for the future climate scenario: Thermal Resilience under climate change Scenarios","Hanabe Kemparaju, Nikhil (TU Delft Architecture and the Built Environment)","Brembilla, E. (mentor); Bianchi, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research investigates the assessment of thermal resilience in buildings with passive systems during heat waves using innovative computational methods. The study emphasizes the importance of resilient buildings in the face of rising temperatures and explores the concepts of thermal comfort and thermal resilience. A thorough review of existing methods and tools for evaluating thermal comfort and resilience is conducted.
The main objective is to develop a novel computational approach that integrates research findings to assess the thermal resilience of buildings during heatwave conditions. The approach incorporates two specific metrics: simplified metrics and Weighted Unmet Thermal Performance (WUMTP), which are modified to accurately assess thermal resilience in heatwave scenarios. The research also focuses on comparing the performance of these metrics and their effective utilization in assessing thermal resilience.
The computational methods are rigorously evaluated through simulations under controlled scenarios, accompanied by a comprehensive sensitivity analysis. This analysis explores the impact of modifying input parameters on the assessment of thermal resilience and provides insights into the factors influencing building resilience.
By incorporating sensitivity analysis, this research demonstrates the contributions of the developed computational methods, including the modified metrics, in enhancing our understanding of the relationship between design parameters, climatic conditions, and thermal resilience during heat waves.
This study aims to fill the research gap in thermal resilience and address the lack of assessment metrics and tools specifically tailored to heatwave scenarios. It offers valuable contributions to the academic community and practical insights for architects and engineers designing buildings resilient to rising temperatures and heat waves. The comparative analysis of the simplified metrics and WUMTP further enhances our understanding of their strengths and limitations in assessing thermal resilience.","climate adaptation; Climate Design; Thermal comfort; thermal resilience; Resilience Assessment Tool; Computational Design","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:0e9c899d-b7ba-4f6c-8d6b-4edac8c7dfaa","http://resolver.tudelft.nl/uuid:0e9c899d-b7ba-4f6c-8d6b-4edac8c7dfaa","Pulsed jet arrays for turbulent separation control: An experimental study","Budanko, Toma (TU Delft Aerospace Engineering)","Baars, W.J. (mentor); Kotsonis, M. (mentor); Delft University of Technology (degree granting institution)","2023","An experimental investigation is presented in which an array of pulsed jet actuators is used to control a turbulent separation bubble formed on a curved backward facing ramp. The array is positioned upstream of detachment and consists of wall-normal high aspect ratio skewed rectangular jets which generate streamwise vortices in the boundary layer increasing momentum transfer and delaying separation. While similar systems have shown promise in previous research, this work considers a pressure-induced separation of a relatively high Reynolds number (Reτ=4600) turbulent boundary layer (TBL), where the large turbulent structures of the separating BL are of similar scale and magnitude as those generated by actuation and significantly affect the dynamics of detachment.
Both steady blowing and periodic pulsing actuation strategies are tested and compared. Preliminary jet velocity and pulsing frequency sweeps are carried out to identify optimal actuator operating parameters, relying on wall static pressure measurements to evaluate control effectiveness. Select cases of interest are then investigated using two-dimensional two-component particle image velocimetry and compared against the uncontrolled baseline which is characterized using PIV and hot wire anemometry. Additional PIV-derived metrics are utilized to assess system performance.
For steady blowing, a jet-to-crossflow velocity ratio VR>1 was required to produce a separation delay, while diminishing improvements in control effect with increasing jet velocity started at VR=1.6 (actuation momentum ratio of Cμ=2.3%). This nominal velocity ratio was adopted for all further investigation. The actuator was found to produce alternating strong and weak downwash regions in the TBL resulting in an artificial sweep/ejection pattern at detachment. Periodic forcing with the same nominal velocity ratio was able to achieve better or comparable results to steady actuation, while requiring less input momentum (Cμ=1.2-1.8%). The optimum actuation frequency was determined to be the natural frequency of the uncontrolled bubble, with the performance of higher frequency actuation tending towards steady blowing levels. As shown by an analysis of flow dynamics based on phase-averaged PIV velocity fields, actuation at the bubble time scales produces significant flow oscillation in phase with actuation. This resonant behaviour results in transient high momentum sweeps between actuation pulses that boost actuator performance, achieving double the performance benefit afforded by steady actuation according to multiple metrics. In comparison, actuation at time scales multiple times shorter than that of the bubble produces a quasi-steady flow and performance comparable to that of steady actuation.
Additionally, a novel alternating actuation strategy is tested, in which the period of active blowing is composed of high frequency alternation between two inverted actuator rows. This aimed to produce a quasi-2D periodic control effect using 3D actuators, which Squire's theorem suggests could excite the separated shear layer instability more than conventional 3D perturbation. While high frequency alternation did achieve a quasi-2D effect, it also prevented the sweep/ejection pattern characteristic of 3D perturbation from forming, thus significantly limiting the actuator performance.","Turbulent separation control; Pulsed jet actuators; Turbulent boundary layer; PIV (particle image velocimetry)","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:0f9531bc-7ce3-4c42-a40d-1a836c921b99","http://resolver.tudelft.nl/uuid:0f9531bc-7ce3-4c42-a40d-1a836c921b99","Impact of water quality on UV disinfection of indigenous spores in surface, flocculated, and softened water from a drinking water treatment plant","Straathof, Judith (TU Delft Civil Engineering & Geosciences)","Hull, Natalie (mentor); van der Hoek, J.P. (mentor); de Kreuk, M.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","UV disinfection is an efficient way to inactivate chlorine resistant protozoan pathogens such as Cryptosporidium parvum and Giardia muris. In the United States, regulatory UV disinfection credit is typically granted when turbidity is <1 NTU. However, studies show turbidity does not correlate well with UV dose responses and partial inactivation when turbidity is > 1 NTU should be considered to avoid certain violations while still protecting public health. The objective of this study was to examine the impact of worst-case scenarios at drinking water treatment plants on UV disinfection. Indigenous spores from unfiltered source water and samples taken during the flocculation and softening steps at the Dublin Road Water Treatment Plant in Columbus, Ohio were exposed to Low-Pressure mercury UV254 disinfection from July 2019 to January 2020. Raw source water and softened water had similar dose responses despite significantly different water quality parameters. Flocculated water had the worst dose response: significantly lower maximum inactivation rate and higher residual population density than the other two water types despite having a lower turbidity than softened water. The modeled Geeraerd-tail maximum inactivation rates (k¬max) were 0.027, 0.021, and 0.030 cm²/mJ for raw source, flocculated, and softened water, respectively. The modeled Geeraerd-tail residual population density values (N¬res) were 1.168, 7.081, and 0.216 SFU/mL for raw source, flocculated, and softened water, respectively. Relationships between water quality parameters and modeled UV inactivation parameters were analyzed to determine and compare other potential indicators for UV disinfection to turbidity. Particle size and particle properties that govern the degree of particle-associated microorganisms best explained the differences in dose response between flocculated water and the other two water types. Larger particles are worse for UV disinfection. Microorganisms associated with particles are harder to disinfect with UV and lead to tailing. Climate change impacts on types, consistency, quantity, and quality of source waters for drinking water treatment make it especially important to understand UV disinfection kinetics under challenging scenarios. Informing regulatory changes to properly account for disinfection when turbidity is > 1 NTU could be especially useful for small or aged utilities that may not be as equipped to handle highly variable water qualities.","drinking water; UV disinfection; turbidity; particles","en","master thesis","","","","","","","","","","","","Applied Earth Sciences","",""
"uuid:3eb06b6d-c0db-4c69-bceb-c2bd95e3bb37","http://resolver.tudelft.nl/uuid:3eb06b6d-c0db-4c69-bceb-c2bd95e3bb37","Validating the surface flux ECUME and ECUME6 parameterizations used in the HARMONIE model","van den Brekel, Sophie (TU Delft Civil Engineering & Geosciences)","Nuijens, Louise (mentor); Siebesma, A.P. (graduation committee); Lopez Dekker, F.J. (graduation committee); de Rooy, Wim (graduation committee); Theeuwes, Natalie (graduation committee); Delft University of Technology (degree granting institution)","2023","The exchange of energy and mass between the ocean and atmosphere plays a crucial role in shaping oceanic and atmospheric circulation patterns. However, accurately representing these air-sea fluxes remains a challenge for current weather and climate models. Improving the accuracy of bulk flux pa- rameterizations is crucial to improve the quality of weather forecasts and climate predictions, as these parameterizations play a fundamental role in estimating the air-sea fluxes. This study aims to evaluate the performance of the ECUME and ECUME6 parameterizations in simulating air-sea fluxes by utilizing in situ observations obtained from R/V Ron Brown and R/V Meteor, and conducting a comparison with the COARE3.6 parameterization.
To evaluate the ECUME and ECUME6 parameterizations, surface flux diagnostics are established, which illustrate how air-sea fluxes vary with changes in the respective atmospheric variables. By comparing the surface flux diagnostics of the in situ observations with those of the parameterizations, sources of error are identified. The analysis reveals that both ECUME and ECUME6 tend to overestimate the heat fluxes in comparison to EC observations and the COARE3.6 parameterization, with ECUME6 exhibiting a larger overestimation. The degree of overestimation becomes more pronounced as wind speeds increase. Concerning the momentum flux, the parameterizations exhibit an underestimation, with the discrepancy becoming more significant at elevated wind speeds.
By employing an offline model for ECUME and COARE3.6, the iteratively obtained parameters are compared. This analysis demonstrates that the air-sea fluxes derived from the parameterizations strongly depend on the determined neutral transfer coefficients. Addressing these sources of error and refining the parameterization methodology can improve the accuracy of the parameterizations and enhance their applicability for estimating air-sea exchange between the Earth’s surface and the atmosphere.","air-sea fluxes; parameterizations; ECUME; COARE3.6; Monin-Obukhov Scaling Theory; climate models","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:098cd01b-dd32-4222-a2d7-0daa5f06b7d8","http://resolver.tudelft.nl/uuid:098cd01b-dd32-4222-a2d7-0daa5f06b7d8","Structural Steel Reuse Analysis: Developping a quickscan tool to indicate reusable steel beams","ten Hove, Jelle (TU Delft Architecture and the Built Environment; TU Delft Architectural Engineering +Technology)","Bergsma, A.C. (mentor); Overend, M. (graduation committee); de Wolff, H.W. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Dutch government was mandated by the national court in May 2019 to address high nitrogen-oxide levels, threatening construction permits to be canceled if target values are not met. As the agrarian sector contributed significantly to nitrogen-oxide emissions, reductions in this sector were necessary. Ongoing protests by farmers indicate the unresolved crisis. A government report suggests that around 500-600 high-emission agricultural businesses must cease operations for a substantial impact. Consequently, large cattle farms, known as ""mega barns,"" will become vacant. Traditionally demolished or recycled, their materials may have the potential for repurposing, especially given the projected availability of similar buildings in the next 10-20 years.
Both the European Union and the Dutch Government have set themselves targets to convert their economies from linear to circular before the year 2050. A circular economy means a system in which products and materials are kept within the loop as long as possible, reducing the need for new raw materials and production – reducing energy needs and CO2-emissions in the process. Recycling is currently coming up as a mainline strategy, however, it is considered to be less circular than other strategies, especially compared to reuse. The steel sector is seen as an exemplary industry that recycles a lot but would pose major environmental benefits when shifting the chain towards reuse. Yet, making this shift happen is withheld by certain barriers, especially on the designer’s side. The fact that information on availability, quality and quantity of reusable components is scarce in the critical early phases of the design process, is one of these main barriers.
This thesis attempts to introduce a tool that employs reverse-engineering techniques to analyze and predict the availability of structural steel components in industrial buildings, providing designers with knowledge about potentially available materials as early in the process as possible. By utilizing publicly available data, the tool enables an accurate estimation of the length, type, quantity and quality of the elements. This is done by the use of parametric design software such as Rhino3D, Grasshopper and Karamba3D. The research explores ways of making use of existing structures’ geometry and design requirements in order to predict the structural properties of the load-bearing components. The tool has been tested and evaluated on a series of cases, all of which are industrial farm halls situated in the Netherlands. This case testing has been used to improve and finetune the output results of the tool. In the end, the developed tool is able to predict steel profiles within a +/- 1 profile class range. Additional analyses are incorporated to assess cost savings, environmental benefits, and element quality.
of arriving flights could be explained by flight trajectory and weather variables alone, this percentage was significantly higher for departing flights (R2 =0.46). These results are in line with previous research which found that the interaction of building properties with meteorological variables and flight trajectory have the most influence on sound propaga- tion of aircraft noise within a street canyon environment, but also suggest that vegetation can play a role in mitigating noise pollution. Further research is required to determine if the presence of adult leaves or the psychological effects of greenery on the human per- ception of aircraft noise pollution could augment the modest noise pollution attenuation effects of trees seen in this experiment.","Noise pollution; Airport Noise; Trees; Amsterdam Metropolitan Region; Noise Attenuation","en","master thesis","","","","","","","","","","","","Metropolitan Analysis, Design and Engineering (MADE)","",""
"uuid:db139885-91ed-4b21-b9d1-be21734d006d","http://resolver.tudelft.nl/uuid:db139885-91ed-4b21-b9d1-be21734d006d","Reviving the Forgotten Gasholders: Harnessing the potential of heritage sites through complementary architectural gestures","Antoniadis, Nadia (TU Delft Architecture and the Built Environment)","Cavallo, R. (mentor); Eckardt, H.F. (mentor); Alkan, A.S. (mentor); Delft University of Technology (degree granting institution)","2023","Throughout the course of time, there has been a progression starting from the preservation of buildings and sites, to the conservation of specific elements of these landmarks, and now shifting towards adaptive reuse where the goal is to maintain cultural heritage through values and attributes. According to Aldo Rossi, there is no guarantee of effective continuity, and it is crucial to understand the process of transformation and determine how to act in such situations (Rossi, 1982). While numerous industrial buildings have been repurposed and given new functions, it remains a subject of debate whether they have succeeded in conserving the identity and historical essence of the structures.
This thesis aims uncover the significant and possibly forgotten or overlooked layers of an architectural product to then synthesise and integrate them into a new narrative, using an interpretation of the concept of collage as a tactic in architecture. In exploring the concept of complementarity, the thesis will delve into the idea that the integration of contrasting elements or ideas can create a harmonious whole. Similarly, a collage brings together disparate fragments to form a cohesive composition.
Emphasizing the concept of complementarity, similar to a collage, architecture reveals evidence of time and its process of construction, a work of architecture contains accumulated history as it is lived and engaged rather than observed” (Shields, 2012). An architectural piece is “rarely experienced in its totality, […] but as a series of partial views and synthesise experiences.” (Holl, Pallasmaa, & Perez-Gomez, 2006).
By embracing complementarity, architecture can establish a dynamic relationship between the existing context, the proposed interventions, and the emerging necessities, ensuring that the. essence and significance of the original structure are upheld while allowing for meaningful adaptation and transformation. Therefore, the essay will explore concepts such as collage and the notion of complementarity in terms of research and design within an architectural framework.","Heritage & Architecture; Gasholders; Complementarity; Revival","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","",""
"uuid:bbf4d27b-15d8-4a76-b734-4dde63b0d78d","http://resolver.tudelft.nl/uuid:bbf4d27b-15d8-4a76-b734-4dde63b0d78d","Simulating dune growth at a mega nourishment","de Bruin, Aaron (TU Delft Civil Engineering & Geosciences)","Luijendijk, Arjen (mentor); Kettler, T.T. (mentor); van Westen, B. (mentor); de Schipper, M.A. (graduation committee); Voorendt, M.Z. (graduation committee); Delft University of Technology (degree granting institution)","2023","","Simulating dune mega nourishment","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:8cb56b27-a9be-4a1a-b2ea-04bb00bbece2","http://resolver.tudelft.nl/uuid:8cb56b27-a9be-4a1a-b2ea-04bb00bbece2","Stochastic Process Model for Energy Prices in the Intraday Market","Ippolito, Andrea (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Applied Probability)","Papapantoleon, A. (mentor); Stawska, Anna (mentor); Delft University of Technology (degree granting institution)","2023","The increasing number of Renewable Sources (RES) in the European electric grid has resulted in the necessity for producers to adjust their position with respect to the change in weather forecasting. Therefore, the European Power Exchange (EPEX SPOT) has seen an expansion of the Intraday Market (ID) where market participants can trade up until a few minutes before delivery. However, literature in Electricity Price Forecasting (EPF) primarily concerns the Day-ahead market (DA). The goal of this thesis is to develop a model to predict the prices of hourly contracts in the ID market using stochastic processes. This would facilitate the obtainment of a probability density function (pdf) for the prices of the contracts at any time during the day. This thesis focuses on the German Energy market which is the most liquid among the European countries. I then propose 4 different Levy processes for the forecasting of the process: a simple Brownian Motion, a Jump Diffusion Process, a Normal Inverse Gaussian (NIG), and a Generalised Hyperbolic model (GH). The Normal Inverse Gaussian model is selected according to the Akaike Information Criterion and the Bayesian Information Criterion.
In order to integrate other variables into the model, I then conducted a fundamental analysis. I find a low correlation between the volume traded during the ID phase and the change in Wind, solar, and con- sumption forecasting. Moreover, the volatility of the contract shows a low correlation with the changes in forecasting and the traded volume.
Additionally, I conduct an augmented Dickey-Fueller test and a Mann-Kendall test on the price of the contracts to verify the presence of either mean reversion or drift. Results show that the latter cannot be refused for most days.
Finally, alongside the NIG model (noise model) I propose one model including a drift component (noise-drift model) and a second model adding both a drift and a volatility component (noise-drift-volatility model). The three models are compared in the accuracy of forecasting the tail probabilities of the ID1 and ID3 indexes. It is found that the noise model and the noise-drift model cast a better prediction than the noise-drift-volatility model. This suggests that the volatility during the first part of a day of trading is a poor predictor of volatility in the hours close to delivery.","Stochastic Process; Intraday Market; Energy Market; Electricity mark; Normal Inverse Gaussian; Levy Process; Germany","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:b9098918-1af7-4cbe-abb6-f3da0727a0e7","http://resolver.tudelft.nl/uuid:b9098918-1af7-4cbe-abb6-f3da0727a0e7","Methods for the exclusion of the fibre bridging effect in composite structures under cyclic Mode I loading","Boul Boul, Idriss (TU Delft Aerospace Engineering; TU Delft Structural Integrity & Composites)","Pascoe, J.A. (mentor); Alderliesten, R.C. (graduation committee); Castro, Saullo G.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","In composite structures, delamination damage is typically the most common failure mechanism. Accurately characterizing the delamination behaviour of composite laminates is therefore crucial for predicting the fatigue safe-life of such structures. To this end, double-cantilever beam (DCB) composite specimens are used to measure the interlaminar fracture toughness and delamination growth rate under cyclic Mode I loading.
In unidirectional (UD) composite laminates, delamination planes may exhibit fibre nesting, leading to the development of the fibre bridging effect during delamination growth. This effect, which resists delamination, significantly increases the apparent fracture toughness of the laminate. However, fibre bridging is usually insignificant in multidirectional (MD) laminates, where delamination occurs between plies with different fibre orientations. Nesting typically does not happen in MD laminates. As a result, MD laminates should not be designed using fatigue resistance data obtained from UD specimens without first accounting for the fibre bridging effect. Neglecting fibre bridging exclusion can result in an overestimation of delamination resistance, leading to unsafe failure predictions.
This research investigated methods to exclude the fibre bridging effect in cyclic Mode I experiments with UD composite specimens. Existing literature suggested different approaches to account for this effect, aiming to create a ""zero-bridging"" fatigue delamination resistance curve. The study examined methods such as cutting bridging fibres in-situ, constant-SERR experiments, specimen-specific extrapolation, and utilizing the Hartman-Schijve equation to describe fatigue delamination.
By examining different exclusion methods and understanding their limitations, this work contributed to enhancing the reliability of fatigue delamination predictions in composite specimens under laboratory conditions. This study compared methods to exclude the fibre bridging effect and assessed their merits in terms of ease of use, accuracy, and conservative predictions of delamination resistance. The results of this study suggest that a specimen-specific extrapolation method is a suitable approach to account for fibre bridging.","Composites; Delamination; Fatigue; Mechanical Testing; Fibre bridging effect","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:dd52dd98-ad0e-4c11-9659-3a3dfb5fd6cd","http://resolver.tudelft.nl/uuid:dd52dd98-ad0e-4c11-9659-3a3dfb5fd6cd","Reinforcement Learning Based Real-time Railway Timetable Scheduling","Zhang, Hengkai (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Delft Center for Systems and Control)","De Schutter, B.H.K. (mentor); Liu, X. (graduation committee); Dabiri, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The railway timetable rescheduling problem is a challenging problem in both industry and academia. It is required to calculate a feasible and relatively good timetable within a limited time to reduce the negative impact of disturbances or disruptions. The railway timetable rescheduling problem is typically formulated as a mixed integer linear programming (MILP) problem, which is difficult to solve due to the existence of the integer variables. To address this problem, many optimization-based studies have been conducted. The main advantage of using optimization-based methods is that they are easy to implement and more straightforward. However, the main disadvantage is that most optimization-based methods cannot reach the time requirements for large railway timetable rescheduling problems. There are also some researches using reinforcement learning techniques to solve this problem. By using reinforcement learning, the time requirement could be fulfilled.
In this thesis, an algorithm that combines both reinforcement learning and optimization approaches is proposed to solve the railway timetable rescheduling problem. In the beginning, the reinforcement learning environment is constructed from the railway timetable rescheduling problem. By selecting the independent integer variables as the action, the constraints involving the integer variables are satisfied. After that, a value-based reinforcement learning algorithm is implemented to determine the independent integer variables of the MILP problem. Then, the complete solution of the integer variables could be derived from these independent integer variables. With the solution of integer variables, the MILP problem could be transformed into a linear programming problem, which could be solved efficiently.
Several case studies are conducted in this thesis based on part of the Dutch railway network from Utrecht to 's-Hertogenbosch. The simulation results show that the proposed method makes a great improvement compared with the baseline regarding reducing the total delay of the system. Meanwhile, the reinforcement learning-based method also has an obvious advantage in terms of running time.","railway timetable rescheduling; MILP problem; reinforcement learning","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:4d460d99-13ca-41f6-ba1d-c7facd2aecb3","http://resolver.tudelft.nl/uuid:4d460d99-13ca-41f6-ba1d-c7facd2aecb3","Quantitative risk analysis of cyber attacks on Cyber Physical Power System substations","Abel, Quincy (TU Delft Technology, Policy and Management)","van Gelder, P.H.A.J.M. (mentor); van Bergem, R. (graduation committee); Semertzis, I. (graduation committee); Stefanov, Alexandru (graduation committee); Delft University of Technology (degree granting institution)","2023","The modern power grid is becoming more susceptible to cyber-attacks due to an increase in digitalization, leading to a larger attack surface for malicious actors to attack. Such attacks on critical infrastructure could lead to partial power outages, minor societal disruption, or in the worst-case scenario, a rolling black-out in which the entire country has no access to electricity. Electrical utility companies can decrease the likelihood of a successful cyber-attack on the Cyber Physical Power System (CPPS) – consisting of the physical power grid, and vulnerable Information Technology (IT) and Operational Technology (OT)- by implementing cyber security interventions. Investing in these cyber security mechanisms is not cheap, which is why it is expected to have a certain return on investment. However, it is hard to quantify the effects of prospective cyber security investments. The main research question of this study is: “To what extent can cyber security measures decrease the risk of cyber attacks on CPPS substations?” This research question is answered by means of an implicitly mixed research approach that uses computer-assisted attack tree modelling and Monte Carlo simulation. The model is based on the publicly available technical system information of known suppliers of relevant substation components and other documentation acquired by means of multiple literature studies and document analyses. The change in likelihood and subsequent risk has been studied by extensively modelling the possible attack paths of a digital substation. This has been combined with financial analysis in the form of a societal cost-benefit analysis. As a result, potential cyber security investments can be evaluated on their merits in the form of risk reduction and their required costs as expressed in dollars. The contribution of the performed research to science is the elaboration of existing models to more accurately represent reality, and simultaneously provide the cyber security decision-making process with a tool that provides guiding Key Performance Indicators (KPIs). This study has shown that suggested measures from the quantified model are able to increase the TTCavg needed by malicious actors to reach their intended target, and therefore cause a decrease in likelihood and subsequent risk of the studied scenarios. An important finding of this study emphasizes the need for extensive attack path modelling. This finding was the fact that the application of some well-intended countermeasure (such as remote-attestation), might have no significant effect on the likelihood and risk of a certain scenario at all, but only changes the dominant attack path. While the constructed quantified model, as proposed in this study, is able to provide quantified insights into the effects of proposed cyber security investments, it is merely a simplified tool that should be expanded upon to generate more accurate insights. Besides the aforementioned there have been additional findings from this study. Such as a list of weaknesses in the current state of digital substation cyber security. This list has been created by an extensive document analysis of over 40 sources. Also, an overview of 23 different possible cyber security interventions has been compiled by a systemic literature review of over 16 sources. According to the quantified model, a reduction (between 21.8% and 93%) in the total risk of certain attack scenarios against digital substation by malicious actors can be achieved. The costs for these possible risk reductions range between $28 thousand for a honeypot deception system and $413 thousand for a combination of all the simulated countermeasures. These countermeasures could, in comparison to a base case with no protection, potentially reduce the total risk by an amount between $3.7 billion and $15.9 billion. According to the general societal cost-benefit analyses, the best Retun-on- Investment (ROI)/cost-effectiveness of investment is the investment in a honeypot (scenario 5) which has an ROI of 247,390, and the least cost-effective is the investment in remote attestation (scenario 4), which has an ROI of -2,066. Altogether, this study has shown that there is added value in using a simplified quantified model to aid in decision-making for digital substation cyber security investments aimed at risk reduction.","","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:774a6648-973e-4fd8-a4d6-f02287b245c6","http://resolver.tudelft.nl/uuid:774a6648-973e-4fd8-a4d6-f02287b245c6","Bringing Glass Giants to life: Fabrication of mass-optimized structural glass components of complex form","IOANNIDIS, MENANDROS (TU Delft Architecture and the Built Environment)","Oikonomopoulou, F. (mentor); Bilow, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Current research conducted at TU Delft focused on utilizing structural Topology Optimization (TO) for designing large monolithic cast glass structures with maximum stiffness and minimum mass. These structures demonstrated improved manufacturability in terms of time, energy, and cost efficiency due to their mass efficiency, which results in shorter annealing times. However, the complex geometries and customization of these forms posed challenges in terms of fabrication.
The manufacturability of intricate glass structures is explored by analysing and comparing three possible fabrication methods for three-dimensional glass structures with complex and customized geometries. The methods examined are:
(i) Kiln casting in disposable moulds,
(ii) Waterjet cutting and lamination of float glass panes,
(iii) Additive manufacturing of glass.
The assessment of these methods is based on a set of criteria related to structural performance, visual quality, fabrication limitations, and sustainability. This comparative study act as a guide to the design of a case study and the selection of the preferred fabrication method. An all-glass topologically optimized bridge observatory in Vikos Gorge, Greece, is chosen as the design case. Based on the comparative study and a set of soft criteria, casting in disposable moulds is selected as the preferred fabrication method.
However, glass casting currently faces major drawbacks that restrict its potential. The two main drawbacks that this thesis tries to address are:
Rough and opaque surface quality (main focus): This issue is tackled through laboratory experimentation with the aim of achieving good surface quality immediately after demoulding. The experiments involve the use of different types of disposable 3D printed sand moulds (3DPSM) and application of refractory coatings and coating combinations at various maximum firing temperatures.
Lack of redundancy: Redundancy is explored though research by design and the implementation of design strategies (segmentation, zoning, fabrication methods combination) to ensure the feasibility of the structure.
The end result of this thesis is a comprehensive study on how an all-glass structure with complex and customized shape can be realized. The experimental part of the research yielded improved results, indicating that the combination of refractory coatings and 3DPSM has the potential to bring such glass components to life, reduce the need for post-processing, and simplify the fabrication process.
Given the limitations of time and knowledge within a master’s thesis, further research is suggested to validate and evaluate the results obtained.","Topology Optimisation; Cast Glass; structural glass; Kiln casting; 3D printed sand moulds; Refractory coatings; finishing quality; transparency; complex forms; Glass Bridge; all-glass structure; Redundancy; additive manufacturing; firing schedules","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","","39.907084, 20.751774"
"uuid:df7e3aa8-a384-46cc-8a82-8c028bf53bb3","http://resolver.tudelft.nl/uuid:df7e3aa8-a384-46cc-8a82-8c028bf53bb3","Automatically Generating User-specific Recovery Procedures after Malware Infections","Xu, Cassie (TU Delft Electrical Engineering, Mathematics and Computer Science)","Continella, Andrea (mentor); Verwer, S.E. (mentor); Starink, Jerre (mentor); Durieux, T. (graduation committee); Delft University of Technology (degree granting institution)","2023","Malware poses a serious security risk in today’s digital environment. The defense against malware mainly relies on proactive detection. However, antivirus products often fail to detect new malware when the signature is not yet available. In the event of a malware infection, the common remediation strategy is reinstalling the system. However, the user loses their personal data, and thus it is not an ideal solution.
The academic works on malware remediation focus on system replay and recovery-oriented computing, which relies on heavy monitoring and is not suitable for a normal user’s personal computer. The work from Paleari et al. [31] proposed a remediation methodology that can be used entirely after the infection. They run the malware sample in the sandbox to observe the behavior and generate a revert operation for each action that modifies the system state. However, the limitation of such an approach is unable to deal with the potentially different behaviors in the sandbox and on the real hosts.
In this work, we propose a system that can generate user-specific recovery procedures, without the need of any monitoring in advance. We extend the work from Paleari et al. [31] by combining information from the infected machine. We first extract the environment configuration from the infected computer and configure the same context to the sandbox virtual machine, in order to eliminate the environmental influence on the malware’s behavior. After getting the behavior from the sandbox, we combine forensic evidence to understand the exact actions that happened on the system and generate the user-specific recovery procedures.
We implement a prototype based on Windows 10 and CAPE sandbox and perform an evaluation on 894 malware samples. We are able to recover 51.3% of the changes made by malware, which doubles the recovery rate compared to directly matching the sandbox result. Additionally, our experiment result also demonstrates significantly different actual behavior from the user’s machine and sandbox result. Our system design maximizes the use of information displayed in the sandbox, but the unshown behavior still leads to the biggest limitation of behavior-based recovery.","Malware Remediation; Forensic Analysis; Environment-sensitive Malware; Automatic Recovery","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:44a04c51-9c55-4f27-93e7-932b5e9a0bc1","http://resolver.tudelft.nl/uuid:44a04c51-9c55-4f27-93e7-932b5e9a0bc1","Designing for a Digital Government: Federal Ministry of Digital Affairs","Aelbers, Ingo (TU Delft Architecture and the Built Environment)","van Bennekom, H.A. (mentor); Speksnijder, F.J. (mentor); Blom, Eline (graduation committee); Delft University of Technology (degree granting institution)","2023","The plan to construct the Federal Ministry of digital Affairs was conceived in response to the increased focus on the digitalisation of Germany and its government. Historically, a new German cabinet has often formed a new ministry in response to current issues. The new Federal Ministry of Digital Affairs aims to represent the aforementioned ambition to spark and represent digital innovation.
The key ingredients to design this new building were primarily determined through site visits and online archival research. This was, the appropriate program, location and stakeholders were found. The program is based on existing ministries, with adjustment for digital processes occurring inside and overall a more transparent arrangement. The location is Schiffbauerdamm due to its contextual links and the stakeholders included government parties, the city and those in the immediate vicinity. The final design proved to be an extroverted building that angers with its surroundings and complements the existing context.","Digitalisation; Governmental building; Transparency; Canopy","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","","52.520520, 13.382200"
"uuid:860cb17c-8f3a-49b6-903c-4de6685db7a7","http://resolver.tudelft.nl/uuid:860cb17c-8f3a-49b6-903c-4de6685db7a7","Real-Time Detection and Classification of Purkinje-Cell Neural Activity","Vrijenhoek, David (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Quantum & Computer Engineering)","Hamdioui, S. (mentor); Gebregiorgis, A.B. (mentor); Siddiqi, M.A. (mentor); Muratore, D.G. (graduation committee); Strydis, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Purkinje cell is a type of neuron that can be found in the cerebellum. What characterises Purkinje cell neural activity is the fact that it exhibits two types of spiking behaviour; the so-called simple and complex spikes. These two types of spikes are thought to play a role in motor functionality. In order to better understand the relationship between Purkinje cell neural activity and the motor-cortex, neuroscientists record such neural activity in mice. However, current experimental setups pose a challenge as they involve a wired connection between the animal’s head stage and the recording device, which limits the mouse’s natural behaviour by restricting its movement. This work proposes a lightweight neural-spike detection and classification architecture for acquiring Purkinje cell neural activity. The proposed design discards unneeded information, by detecting and classifying spikes in real-time. This type of compression enables data storage on a removable device in the head stage, freeing mice from wires. Its small formfactor allows unrestricted movement during experiments, while a power-efficient design ensures long-termoperation. The performance of the algorithm has been evaluated using a software implementation, yielding a combined accuracy for detection and classification ranging from 92.74% to 94.54%. The system has been synthesised using the 45 nm Nangate Open Cell library resulting in an ASIC with an area of 0.22mm2 and a power consumption of 0.412mW.","Purkinje Cell; Classification; ASIC; Neural Implant","en","master thesis","","","","","","","","","","","","Computer Engineering","",""
"uuid:b02c1755-4092-4dbd-941d-6d7d5ca3014b","http://resolver.tudelft.nl/uuid:b02c1755-4092-4dbd-941d-6d7d5ca3014b","Recess for families with chronically ill and/or disabled (CID) children: HANAMI _ a healing escape","Weber, Jil (TU Delft Architecture and the Built Environment)","Jürgenhake, Birgit (mentor); van Andel, F.M. (mentor); van Deudekom, A.B.J. (mentor); Delft University of Technology (degree granting institution)","2023","Due to the numerous problems families with chronically ill and/or disabled (CID) children encounter in their daily lives, taking a break from the ongoing care and worries becomes even more important for them than for “typical” families. However, travelling is related to many more complex difficulties since their sick child is usually reliant on very specific equipment. So far, the literature focuses on the consequence of the high care needs on the family system, the development of Dutch holiday parks and the spatial needs for the target group, but the combination of these elements has not been analysed in the architectural context before. Thus, this project’s goal is to provide caregivers with relief by creating an opportunity of real recess from constant care for the child, through architectural solutions and thereby improving their well-being.
This thesis investigates how the architectural environment of a retreat for families with disabled and/or chronically ill (CID) children can provide relaxing time together while supplying a potential benefit for the child.
To determine what a potential recess environment for families with CID children should look like, special attention is paid to the analysis of past architectural solutions, the spatial needs of the target group and on analysing how the architectural environment can provide a benefit for the child and its entourage. To that end, several methods were used. First and foremost, observations were made during a fieldwork at a daycare facility for disabled children in Luxembourg. During this fieldwork, the possibility was given to talk to staff members like therapists and nurses and see several treatment rooms for the children. Furthermore, talking to parents of CID children provided valuable knowledge and insights to their lives. Lastly through the use of surveys additional information for example regarding the well siblings needs were gathered.
The outcome of these investigations shows that several design guidelines can be developed. Those can be classified into different levels of detail, such as guidelines on an urban level, for the neighbourhood’s design and focussing on the interior, and they offer insights into the ideal architectural setting for a recess centre for families with CID children.","recess; QoL (quality of life); chronically ill and /or disabled children; vacation; family","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","52.484857, 5.410821"
"uuid:692bbf89-465a-4c1f-8b6f-b1f2365219a5","http://resolver.tudelft.nl/uuid:692bbf89-465a-4c1f-8b6f-b1f2365219a5","The stage for Berlin: The performing arts centre for the evolution of opera","Hogervorst, Thomas (TU Delft Architecture and the Built Environment)","Mateljan, M. (mentor); Bergsma, A.C. (mentor); Söylev, Y. (mentor); Delft University of Technology (degree granting institution)","2023","","Opera; Berlin; Performance centre; Performance; Public; Culture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Public Building","Bodies and Building Berlin","52.482601, 13.499205"
"uuid:0f4a101e-37a2-4d4e-bc89-d3ddc5343de2","http://resolver.tudelft.nl/uuid:0f4a101e-37a2-4d4e-bc89-d3ddc5343de2","Introducing modular products to the medium-end B2B foodstuffs market","de Wringer, Mark (TU Delft Industrial Design Engineering)","Hultink, H.J. (graduation committee); Brouwer, W. (mentor); Delft University of Technology (degree granting institution)","2023","Candy Inc is a Dutch company specialized in designing and manufacturing process equipment for the global confectionary industry. Their brand is built on high quality custom projects for the high-end market. To lower their prices and appeal to the medium-end market, Candy Inc has implemented Configure-To-Order practices (Aqlan, et al., 2014). To help Candy Inc reach these new medium-end customers this report presents a strategic marketing plan. Research for the strategic marketing plan included ten semi-structured interviews with a mix of internal sales managers, agents and external strategic partners. Six interviews were recorded and transcribed. These interviews were analyzed using the Grounded Theory Method (Strauss, A.L. & Corbin, J.M.,1990). This process starts by coding interviews by writing down the most important statements. These 308 statements were then categorized by subject. Finally, these categories are put together into overarching clusters, summarizing the themes of the interviews. This process led to two superclusters. The first is named ‘Agents are asked to be superhuman but are under supported’. This reflects the insight that agents are valuable to companies like Candy Inc for marketing across borders and cultures. But they feel underequipped and prepared. The second supercluster is named ‘Selling is complex and needs a human touch, but tech could support them more’. The personal relationships between agents/sales managers and customers are an essential aspect to sales in this business. But there is a lack of use of digital tools like targeted advertising and customer relationship management systems. The research phase ended with the formulation of a statement that would serve as a guide for ideation. From ideation came five recommendations, which were tested at Interpack or with agents. To give Candy Inc a concise guide for implementation a roadmap was made. The recommendations are implemented over the span of 3 years to help Candy Inc integrate with their agents and market more effectively without interfering with the personal relationship-building of the sales process. The first step is a communication platform for sales managers and agents to connect on. Using features like topic channels on these platforms allows Candy Inc to efficiently convey information to their team of agents. This platform also allows agents to connect and exchange ideas. The second step is to supply agents with an organized library of marketing material. Any marketing material Candy Inc produces can be stored on the previous communication platform so agents can easily find and use these. The final step is to use an implemented CRM system to inform better marketing, like digital advertising campaigns on LinkedIn.","Configure-to-order; Business-to-Business (B2B);; Interview; Grounded Theory; Roadmapping; Sales agents","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:7b909945-89c8-4bbd-a454-e2cd0ddc5cce","http://resolver.tudelft.nl/uuid:7b909945-89c8-4bbd-a454-e2cd0ddc5cce","Fluid space: introducing an elastic activator substantiated by an intuitive exploration of finding forms in Liège","van Oeveren, Inez (TU Delft Architecture and the Built Environment)","Vermeulen, P.E.L.J.C. (mentor); Schreurs, E.P.N. (mentor); van der Schans, R.M. (mentor); Speksnijder, F.J. (mentor); Hoekstra, J.S.C.M. (mentor); Delft University of Technology (degree granting institution)","2023","The 'Fluid space' project has demonstrated that the study of Finding Forms and the abandonment of assigned functions for buildings has led to a refinement of architectural design specific to the site. At the same time, the project raises questions about contemporary problems in architecture, such as sustainability and the dominant practice of function-based construction.
The results of Finding Forms experiments - influence, grid and scale studies - resulted mainly in testing intuitions, which were then translated into design aftejavascript:void(0);r a reflection. Material and technical requirements influenced intuitive forms at a later stage. This posed the project’s greatest challenge: maintaining sight of the experiments despite the guidelines of material and technical requirements and keeping choices constantly reflecting with intuition.","fluid space; intuitive; grid; forms","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","Black Hill City","50.644508, 5.610409"
"uuid:8de2b304-c70d-4171-b93c-8eda51af839d","http://resolver.tudelft.nl/uuid:8de2b304-c70d-4171-b93c-8eda51af839d","Unmasking Overestimation: A Re-evaluation of Deep Anomaly Detection in Spacecraft Telemetry: A Re-evaluation of Deep Anomaly Detection in Spacecraft Telemetry","Herrmann, Lars (TU Delft Aerospace Engineering)","Santos, Bruno F. (mentor); Bieber, M.T. (graduation committee); Delft University of Technology (degree granting institution)","2023","As the volume of telemetry data generated by satellites and other complex systems continues to grow, there is a pressing need for more efficient and accurate anomaly detection methods. Current techniques often rely on human analysis and preset criteria, presenting several challenges including the necessity for expert interpretation and continual updates to match the dynamic mission environment.
This paper critically examines the use of Deep Anomaly Detection (DAD) methods in addressing these challenges, evaluating their efficacy on real-world spacecraft telemetry data. It exposes multiple flaws in current DAD research, highlighting the tendency for performance results to be overestimated and suggesting that simpler methods can sometimes outperform more complex DAD algorithms.
By comparing established metrics for anomaly detection with newly proposed ones, this paper aims to improve the evaluation of DAD algorithms. It underscores the importance of using less accuracy-inflating metrics and offers a comprehensive comparison of DAD methods on popular benchmark datasets and real-life satellite telemetry data.
Among the DAD methods examined, the LSTM algorithm demonstrates considerable promise. However, the paper also reveals the potential limitations of this approach, particularly in complex systems that lack a single, clear predictive failure channel.
The paper concludes with a series of recommendations for future research, including the adoption of best practices, the need for high-quality, pre-split datasets, and the investigation of other prediction error methods. Through these insights, this paper contributes to the improved understanding and application of DAD methods, ultimately enhancing the reliability and effectiveness of anomaly detection in real-world scenarios.","Real-life Satellite Telemetry Data; Anomaly Detection Metrics; Time-series Anomaly Detection; Deep anomaly detection","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:140607cb-0ba5-432e-81b3-974867790a8b","http://resolver.tudelft.nl/uuid:140607cb-0ba5-432e-81b3-974867790a8b","Increasing awareness about gender stereotypes: by sharing multiple perspectives and initiating conversation","van Remundt, Jill (TU Delft Industrial Design Engineering; TU Delft Applied Sciences)","van Boeijen, A.G.C. (mentor); Flipse, S.M. (mentor); Sonneveld, M.H. (graduation committee); Kalmar, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Gender stereotypical beliefs play a role in everyone’s life, whether people impose personal and subjective norms on themselves, or judge others based on these existing beliefs. Gender stereotypes present a limited and inaccurate representation of reality’s complexity and have the ability to evoke pain and backlash. The goal of this master’s graduation project was to increase awareness about gender stereotypes and the thereof deriving effects on people. This project is part of a double degree program, in which the masters ‘Design for Interaction’ and ‘Communication Design for Innovation’ are combined. This meant that the objective was applied in two contexts with both a specific target group, namely Dutch high school students (aged 15 to 18) and academic professionals working within the TU Delft.
Through a non-systematic literature research further understanding of gender stereotypes and the resulting impact on people was achieved. In modern western society, gender is generally viewed from a binary perspective. But gender isn’t a category with only two variables. The interpretation of gender is socially constructed, and can differ per culture and can change overtime. Gender stereotypes are learned from a young age, children as old as 18 months will start to comprehend them. This goes to show that gender stereotypes play an influential role during a large part of people’s lives. It also indicated that gender stereotypical beliefs are deeply rooted and unconscious, which makes challenging them harder.
Additional to literature research, empirical research activities were performed. These existed of a mini experiment in which eight participants were asked about concepts related to gender, three interviews with academic professionals and an exploration of currently available informative media for teenagers. The conclusions drawn from these empirical research efforts are as follows. When aiming to increase awareness, it does help to encounter multiple perspectives on gender and gender stereotypes. Additionally, it is beneficial to be able to communicate with others about the matter. The created design concepts aim to do just that and should provide the target groups with guidance in the process of increasing awareness about gender stereotypes.
In the context of high school students, the design concept created is a teaching method. In five classroom sessions teenagers are brought in contact with different perspectives on gender, can have conversations about the topic with peers and are guided in reflection. Between the lessons the students work on an individual assignment. To support the teenagers in their learning efforts, different teaching materials were created. These are for example games, a quiz, worksheets and subject inspiration-cards. For the academic professionals a dialogue intervention was designed. During a ten step process these professionals are guided in sharing a personal experience related to gender stereotypes. The aim is to establish conversation amongst each other about these stories. The steps of the process were based on a conversation with a dialogue expert, the method of appreciative inquiry and a three-step model from awareness to action. Based on initial evaluation both design concepts seem to be promising in achieving their objectives. Further testing could help to get an even better understanding of the usefulness of both concepts and could lead to design iterations.","Gender Stereotypes; Social Design; Communication Design","en","master thesis","","","","","","Design for Interaction Industrial Design Engineering & Communication Design for Innovation Science Education and Communication Applied Sciences","","","","","","Design for Interaction","",""
"uuid:27d79ddc-a0bd-4607-870d-e9a85911ec46","http://resolver.tudelft.nl/uuid:27d79ddc-a0bd-4607-870d-e9a85911ec46","GROW self-management support: Empowering Psoriatic arthritis and rheumatoid arthritis patients with a low socioeconomic position in self-managing their disease","Eijkelkamp, Lisa (TU Delft Industrial Design Engineering; TU Delft Human-Centered Design)","Kraal, J.J. (mentor); Pannunzio, V. (mentor); Luime, J.J. (mentor); De Groot, P. (mentor); Delft University of Technology (degree granting institution)","2023","The rheumatology department of ErasmusMC wants to improve the effectiveness of their future Psoriatic arthritis and Rheumatoid arthritis care paths. The expected lack of capacity on the department asks to solve this problem in a capacity-efficient way. Including remote monitoring in the care path and shifting towards a self-management approach, where the patient takes an active role in their care path, create opportunities for this. Currently, patients with a lower socioeconomic position are associated with limited health skills and lower disease outcomes than patients with a higher socioeconomic position. When designing a support approach that asks for more self-reliance of the patients, it is important to take this patient group’s specific characteristics and needs into account to prevent the gap in disease outcomes from increasing further.
This thesis project aims to find out what the future hospital self-management support should look like to better support patients with a lower socioeconomic position in self-managing their disease and what opportunities the remote monitoring intervention that ErasmusMC is currently designing could provide in this
A user-centered design approach was used to define and solve this complex problem were both stakeholders, including the patients as well as the healthcare workers, were included during both the research and the deisgn phase of the project.
Research revealed that in the current situation, a lacking communication about the topic of self-management between healthcare workers and patient causes the patient to start off their self-management journey with an insufficient knowledge base, negatively influencing their ability and motivation to take an active self-management role. Along their journey, patients’ issues in developing an understanding of the personal disease manifestations and thinking in a solution-oriented way decreases this ability and motivation further.
Through co-creation with the stakeholders a future support approach was designed, consisting of a communication approach and a home support app. The support approach focuses on establishing a clear, consistent and engaging way of communicating about the topic of self-management between healthcare workers and patients and guiding and engaging the patient in developing an understanding of their disease and its management.
Evaluation showed that the support approach increases the patient’s ability and motivation to take an active self-management role and provides healthcare workers a structure that supports them in obtaining input from their patients and conveying self-management information in a clear and engaging way. The benefits the support approach could establish regarding patient’s health outcomes and self-reliance seem to outweigh the resources needed to establish this. Enabling connection with future remote monitoring interventions would positively influence the viability of the concept further. Further evaluation is needed to validate the actual effect of the support approach on the longer term.
The insights gained during this project about defining and modeling self-management, communicating about self-management and the characteristics and pains of patients with a low socioeconomic position show opportunities for future research and potential for generalization over the broader health domain.","low socioeconomic position; Chronic Disease; Psoriatic arthritis; patient self-management; Rheumatoid arthritis","en","master thesis","","","","","","","","","","","","Design for Interaction | Medisign","",""
"uuid:a9881bc4-78a2-4070-b23e-17765a8989e2","http://resolver.tudelft.nl/uuid:a9881bc4-78a2-4070-b23e-17765a8989e2","High-speed Railway as Landscape Bonanza: TU-Delft Alternative Lelyline: A case study from Zwolle to Groningen","Bai, Fazhong (TU Delft Architecture and the Built Environment)","Geuze, A. (mentor); Ersoy, A. (mentor); Delft University of Technology (degree granting institution)","2023","Long-term, large-scale empirical studies on the simultaneous development of transport infrastructure and the built environment are scarce. This thesis provides a future high-speed railway infrastructure implementation alternative based on the Dutch cultural landscape from Zwolle to Groningen. Our design goal is to better connect Amsterdam and provinces in the north of the Netherlands including Overijssel, Drenthe, Groningen by using a faster, more efficient and sustainable high-speed railway solution to replace the Lelyline solution proposed by the Dutch government based on traditional trains.
The author has systematically evaluated the advantages and disadvantages of various railway routing options, and based on this, proposed an optimal alignment. Then, the landscape typologies alongside the optimal alignment are concluded and the sustainable landscape interventions with the high-speed railway viaducts on those landscape typologies are elaborated into a catalogue, which will be not only meaningful for Zwolle-Groningen, but also will provide inspiration and guideline for future high-speed rail design projects in similar landscape context worldwide.
Afterwards, following the principles of sustainable development and theory of infrastructure as landscape, five unique sites along the optimal alignment are chosen to showcase the landscape architecture interventions in relationship with the rich Dutch cultural landscape. The outcome is a design proposal of the high-speed railway itinerary and landscape mitigation measures to its surroundings including both built and natural environment.","landscape architecture; high-speed railway; infrastructure implementation; Dutch cultural landscape; national park; Zwolle; Groningen; Norg","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","Innovative infrastructure implementation","53.21940, 6.56650"
"uuid:a6195cea-3948-4ffd-be47-a1cbed69367f","http://resolver.tudelft.nl/uuid:a6195cea-3948-4ffd-be47-a1cbed69367f","The Urban Inversion: Void as Volume and New Interior to the Neighborhood","Wong, Stephanie (TU Delft Architecture and the Built Environment)","Vermeulen, P.E.L.J.C. (mentor); Schreurs, E.P.N. (mentor); van der Schans, R.M. (mentor); Speksnijder, F.J. (mentor); Delft University of Technology (degree granting institution)","2023","Both urban voids and Bressoux are facing the same issue - exist in the city but do not belong to it. The voids are the by-product of urban planning, and the relationship between positive space (massing buildings) and negative spaces (voids) is barely included in design consideration. Generally, these spaces are perceived as a phenomenon of emptiness, neither productive in the urban fabric nor possessing a recognizable role in the neighborhood. Therefore, they never truly integrate into the neighborhood and indeed exist as urban segregation.
However, the nature of emptiness is also regarded as a kind of spatial quality and possesses a certain degree of potential. The project aimed to reposition the role of voids by seeing them as volume and a new interior to the neighborhood. By exploring the movement between interior and exterior, inverting the solid void, it is aimed to transform voids as a mending tool to reconnect the dispersed neighborhood as well as create an alternative public space that truly belongs to the locals.
Chapter 1 provides an overview of neuromonitoring techniques in the critically ill patient. It delves into the neurophysiological background of the EEG, the techniques used for applying the EEG electrodes, and the EEG assessment.
In Chapter 2 we present a qualitative study on the optimal conditions for EEG monitoring in the ICU. Through 12 individual and 2 focus group interviews with employees from different departments within and outside of the hospital, the current workflow regarding neuromonitoring in the ICU is identified. Additionally, we evaluate the barriers and facilitators for change in this monitoring process through the Consolidated Framework for Implementation Research (CFIR). Factors such as motivation and willingness to change serve as facilitators, while a lack of interdepartmental communication and the high workload for various healthcare professionals involved can be significant barriers.
The qualitative research reveals that the largest group monitored using EEG in the ICU consists of patients suffering from postanoxic encephalopathy, which can be a complication of a cardiac arrest. Therefore, in Chapter 3, we examine the technical requirements for optimal EEG monitoring. Specifically, we focus on the necessary number of EEG electrodes for reliable automatic classification of the EEG background pattern in postanoxic encephalopathy. By training an Random Forest (RF) classifier with input from 12, 10, 8, 6, and 4 EEG electrodes, we develop a model with a micro-averaged One-vs-Rest (OvR) Area Under the Curve - Receiver Operating Characteristics (AUC-ROC) value of 0.923, 0.924, 0.924, 0.925, and 0.923 (p-value: 0.279) for the different numbers of electrodes respectively. The constant performance of the model suggests that a reduced number of electrodes may be sufficient for monitoring this patient group, potentially reducing the workload for EEG technicians. Automatic assessment of the EEG can also contribute to a decreased workload for clinical neurophysiologists.
In Chapter 4 we provide the conclusions and future perspectives of this thesis. We have demonstrated the potential for change in the EEG monitoring workflow at the ICU of the Erasmus MC, indicating that there is an opportunity to work towards more effective and efficient neuromonitoring. Future research should focus on a broader range of logistical and technical prerequisites - including effective interdepartmental collaboration and which EEG equipment to use - thereby creating opportunities to improve treatment and outcomes of critically ill patients.","Electroencephalography (EEG); Intensive Care Unit (ICU); Machine Learning (ML)","en","master thesis","","","","","","","","","","","","Technical Medicine","",""
"uuid:27823a21-e33a-494d-b2df-46aea9e7b6bd","http://resolver.tudelft.nl/uuid:27823a21-e33a-494d-b2df-46aea9e7b6bd","An innovative way to transport and spool offshore power cables using SPMTs: Global design improvement of a containerized carousel system","Slingerland, Bas (TU Delft Mechanical, Maritime and Materials Engineering)","van den Bos, W. (mentor); Schott, D.L. (graduation committee); Frikkee, E.A. (graduation committee); Harms, I. (mentor); Delft University of Technology (degree granting institution)","2023","Mammoet is developing a new type of system for the transportation of offshore power cables. With this system the cables can be spooled, stored, and transported both on land and on heavy transport vessels in a more efficient way. There are a few uncertainties for the system, mainly about the loads on the carousel and the behavior of the cable stack. These uncertainties resulted in some assumptions that have been used for the proposed design. Furthermore, there are a few challenges for the system that need to be addressed to compete with the current offshore power cable transportation methods. The main challenges include the structural strength and stability in combination with the self-weight, the used cylinder stroke of the hydraulic SPMT cylinders and other issues with the SPMTs. In this study these uncertainties and the challenges for the current design of the system are investigated, which resulted in more insight in the uncertainties and a new concept with improved performance against the challenges.","Carousel; Heavy transport; Offshore power cables; Offshore power cable transport; Design improvement","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:a1124cda-424c-448d-8692-d0be5c9d92f7","http://resolver.tudelft.nl/uuid:a1124cda-424c-448d-8692-d0be5c9d92f7","Transitional architecture for intellectually disabled youth: From formal education towards autonomous living","Bulatova, Diana (TU Delft Architecture and the Built Environment)","Jürgenhake, Birgit (mentor); van Andel, F.M. (mentor); van Deudekom, A.B.J. (mentor); Delft University of Technology (degree granting institution)","2023","Families of young people with intellectual and/or developmental disabilities (YAIDD) reported that poor safety, social skills training and the un-readiness of the parents delay YAIDD from becoming autonomous adults. This research is based on literature study, fieldwork, site visits and interviews; and it is aimed to improve Independence, Social participation and Wellbeing of the target group, to create a stimulating and welcoming environments specific to IDD. The study offers a recommended framework that could prepare YAIDD for autonomous living and the design for a Youth Development Hub facility in Laakkwartier (The Hague, Netherlands). The main functions that are present in the project are: short-stay residences, classrooms, community center and recreational areas. The following design concepts are addressed in detail through literature study and applied to the design at varying scales: Legibility and wayfinding, Prospect and refuge, Territoriality and control, Privacy and choice, Design for senses, Design for routine.","transitional architecture; intellectually-disabled users; Quality of Life; autonomous adulthood","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Dwelling","","52.05853920089001, 4.319110319542595"
"uuid:c273cf1b-ef34-428d-b732-289b8cb37c43","http://resolver.tudelft.nl/uuid:c273cf1b-ef34-428d-b732-289b8cb37c43","STRAMSA: Systematic Techno-economic RAMS Analysis Approach for Hydrogen Refueling Stations: The Case Study: Total Energies Breda Hydrogen Refueling Station","Işık, Ugurcan (TU Delft Technology, Policy and Management)","Chappin, E.J.L. (mentor); Yang, M.D. (graduation committee); Aydin, N.Y. (graduation committee); Haddad, Casha (graduation committee); Delft University of Technology (degree granting institution)","2023","The shifting global climate patterns present an imminent threat to human existence. Addressing this critical issue necessitates decisive action in multiple sectors, including the mobility service, to mitigate climate change. As the world seeks cleaner alternatives, hydrogen has emerged as a promising solu- tion. TotalEnergies, a prominent energy company, has invested substantially in hydrogen technology to facilitate the transition toward sustainability. Although commendable, it is essential to recognize that the current environment remains a high-risk prototype phase. The successful establishment of hydrogen infrastructure and mobility services demands meticulous planning, robust technological advancements, and comprehensive risk assessment.
Thus, there is a need to comprehend the potential areas of development within a high-risk prototype environment by harnessing the company’s extensive 40 years of experience in Reliability, Availability, Maintainability, and Safety (RAMS) analysis. This need entails conducting a comprehensive and systematic RAMS analysis specifically tailored to the unique challenges and requirements of hydrogen refueling stations. However, a notable gap exists in the existing literature, as there is a lack of established guidelines or published research that specifically addresses the intricacies of RAMS analysis for the stations. This knowledge gap poses a significant burden in effectively assessing and mitigating risks, ensuring optimal performance, and fostering the safe and reliable operation of hydrogen refueling infrastructure. In order to address this critical knowledge gap, the main research question has been formulated as follows:
Research Question – Main: How can a systematic RAMS study-based approach be developed to improve the availability of hydrogen refueling stations by leveraging conventional RAMS methodological frameworks?
An extensive literature study was conducted to address the main research question. The aim of that part was to gather insights and identify best practices from existing research from other industries. As a result of the literature study, a Systematic Techno-Economic RAMS Analysis (STRAMSA) framework was developed. The STRAMSA framework introduces significant contributions to RAMS analysis for hydrogen refueling stations. It integrates system engineering, RAMS analysis, and techno-economic analysis to maximize the availability of system design. The framework establishes a strong link between RAMS analysis and techno-economic evaluation, facilitating informed decision-making by considering financial aspects. In techno economic analysis, it incorporates novel elements such as inflation, learning-by doing effect (in terms of market growth), and deflation rates for hydrogen. Moreover, the framework separates maintenance costs from operational costs to facilitate targeted improvements for the operation. This part also quantifies safety by assessing risks in monetary terms for accidents/fatality occurrence. Lastly, its iterative nature allows continuous improvement and adjustment throughout the design and operation process. Overall, the STRAMSA framework provides a comprehensive approach for analyzing the reliability, availability, maintainability, and safety aspects of systems.
To evaluate the applicability and effectiveness of the STRAMSA framework, the Total Energies Breda Hydrogen Refueling Station was selected as a case study. The steps outlined in the framework were applied to this specific station. Throughout the process, an iterative approach was adopted, allowing for adjustments to the framework as necessary.
After applying the STRAMSA framework to the Breda Hydrogen Refueling Station, the Net Present Value (NPV) was calculated as 1,815,202.69 €. The analysis also revealed the uncertainty of attaining a positive NPV, with a 11.18%. Further investigation into the sources of uncertainty identified market-related parameters as the dominant contributors to the variance. Specifically, parameters such as ”Market Growth Rate,” ”Hydrogen Price,” and ”Hydrogen Sale” significantly influenced the uncertainty surrounding the NPV. These findings underscore the importance of a coordinated policy approach that encourages investments in both the hydrogen market and hydrogen infrastructure. Such an approach is crucial for the rapid adoption of hydrogen technology and the development of a robust hydrogen economy. In addition to market parameters, from the operational perspective, the dispenser is identified as a decisive contributor to the uncertainty that requires closer examination.
As a further theoretical research, exploration the applicability of the STRAMSA framework for multi-case studies can be conducted. Initially, the framework can be applied to hydrogen refueling stations as a potential case study, but its feasibility can also be assessed for other industries. Furthermore, the impact of a design configuration change can be evaluated for the case at stake. One potential modification to consider is the addition of a supplementary High-Pressure (HP) compressor, as this particular component has been identified as the least reliable subsystem based on the RAMS analysis. Conducting such assessments can provide valuable insights into the effectiveness and adaptability of the STRAMSA framework in different scenarios and improve the design of hydrogen refueling stations.
Wealth inequality is clearly a socioeconomic issue and has been increasingly studied internationally in recent years. For the Netherlands, however, it has only been examined in a handful of studies and often only one indicator has been used. The first objective of this thesis is therefore to investigate the development of wealth inequality in the Netherlands using various indicators. Moreover, these studies have done little or no research into the causes of the development of wealth inequality. As such, the second and main objective of this thesis is to examine the causes of this development in the Netherlands. During the Management of Technology (MOT) program at TU Delft, several major social issues related to technology or innovation were addressed. At the time of writing, wealth inequality is a contemporary socioeconomic issue in the Netherlands. It also affects technological development and innovation, making it relevant to the MOT program. Based on the main objective, we formulated the following main research question:
Main RQ: What factors have contributed to the development of wealth inequality in the Netherlands?
To answer this research question, we first conducted a literature review. Here, we addressed the definition of wealth, how wealth inequality can be measured, what had already been researched in the Netherlands, and the possible consequences of wealth inequality. But the most important finding from this literature review was a holistic view of the possible causes of wealth inequality. We did this primarily by analyzing studies that examined wealth inequality in countries similar to the Netherlands. We found that at least 10 macroeconomic and 8 household factors can potentially directly influence wealth inequality in a given country. We also identified indirect factors, but did not include them in this thesis.
After presenting a comprehensive overview of possible causes of wealth inequality, we developed hypotheses for these determinants. At the macroeconomic level, no hypotheses were eventually developed for the factors wealth tax, inheritance tax, and political stability. The latter was not considered relevant for the Netherlands. The other two remained constant over the years and were not meaningful to analyze. Ultimately, a total of 7 hypotheses were developed for the macroeconomic level. We omitted examining the effects of wealth inequality in this thesis, with the exception of the effect of wealth inequality on income inequality. These two factors would reinforce each other and this is better known as the snowballing-effect. Therefore, not 8 but 9 hypotheses were developed for the household level. Based on the formulated hypotheses, a conceptual model was created that served as the basis for the empirical analysis.
A descriptive analysis was then conducted. When collecting the data, the CBS database was mainly used. Occasionally, data from DNB and the World Bank were used. First, the development of wealth inequality in the Netherlands was examined. Most of the data found on household wealth covered the period 2006-2021. This period was therefore retained for the entire empirical analysis. Three indicators were used to validate the development of wealth inequality. These indicators were found to be highly correlated with each other, allowing the development of wealth inequality in the Netherlands to be confirmed with certainty. From 2006 to 2008, there was a small decline, after which it rose to record levels in 2013. From then on it fell again, and by 2021 the level was about the same as in 2008. Whether this is a desirable level of wealth inequality, we cannot say and requires further research. Subsequently, we examined the development of the possible determinants for which hypotheses were developed. We have not validated the determinants and associated indicators as in the case of wealth inequality (with the exception of income inequality). As a result, the development of the determinants entails some uncertainty. Moreover, we could not find suitable indicators for all determinants. In the end, 6 macroeconomic and 7 household determinants remained for the regression analysis.
With the remaining macroeconomic and household determinants, we first ran a simple regression to filter out the factors with significant influence on wealth inequality. We found that at the macroeconomic level, 3 factors were significant and consistent with the formulated hypotheses: housing prices, generous welfare state, and globalization. At the household level, these were 2 factors: mortgage debts and financial assets ownership rate inequality. Thus, no evidence was found for the snowballing-effect in the Netherlands. Two multiple regression analyses were then conducted: at the macroeconomic level and at the household level. It was found that all macroeconomic determinants were again significant and explained 93.7% of the variance in wealth inequality. Housing prices contributed the most to this, followed by globalization and generous welfare state, respectively. The two household determinants were also found to be significant and explained 73.8% of the variance in wealth inequality. Financial assets ownership rate inequality contributed the most to this. Based on the descriptive and regression analyses, the final conceptual model was created. Due to the limitations of the study, we could not examine whether the two regression models are correlated. Apart from that, however, we can conclude that they both predict the development of wealth inequality quite well, thus answering the main research question.
This study has filled several knowledge gaps by (1) examining and validating the development of wealth inequality in the Netherlands using various indicators, (2) constructing a comprehensive overview of possible causes of wealth inequality, and (3) empirically identifying the causes of the development of wealth inequality in the Netherlands. Furthermore, we can provide a number of insights to policymakers based on our findings. First, policymakers could compare the development of wealth inequality with the policies they implemented during this period to learn from it. This in turn could also provide new insights into the possible causes of wealth inequality in the Netherlands (at the policy level). Moreover, the significantly identified causes offer direct guidance for policymakers to influence wealth inequality in the Netherlands. This is especially true for the determinants generous welfare state, globalization, and financial assets ownership rate inequality, as they can be directly influenced by public policy. Further research should focus on identifying the consequences of the development of wealth inequality in the Netherlands. This can contribute to a better understanding of the desired level of wealth inequality in the Netherlands so that policymakers can manage it more effectively.
Today Boerhaavewijk is showing its age. The modernist principles have become old-fashioned and do not support a livable neighbourhood. The buildings do not comply with today's standards and are rapidly becoming outdated in both their function and technical state. The local architecture and urban planning don’t foster social cohesion or a strong identity.
Over time the demographics have been changing and growing, with a more diverse population as a result. Different migrant communities have made Boerhaavewijk their home. Spaces where people can meet however are limited in quantity and quality. There is a lack of future-proof public facilities and community spaces.
The current demand for housing has resulted in a lot of new construction in the neighbourhood, often replacing the old. The same construction methods and materials such as concrete, steel and brick are used, which have a large carbon footprint and are high in embodied energy. These buildings are not designed to be flexible and re-used, guaranteeing their demolition in the future. We use the same non-renewable materials and unsustainable construction methods as in the 60s.
In order to tackle these problems, the graduation project proposes the construction of Forum Boerhaave: the design of an iconic and sustainable building in Boerhaavewijk that can adapt to demographic changes. The forum is a multifunctional public place for people of the neighbourhood to meet, a community building to facilitate its users.
The site of the building is found in between the urban and the natural, connecting Boerhaavewijk and the Poelpolder. The building attempts to find a balance between the generic and the specific, as its intention is to facilitate instead of dictate, while also reacting to the specific conditions or the genius loci of the site.
In order to future-proof the building, the ‘open building’ principles of Habraken are applied. This way the building may adapt to future changes when demanded by its users. The materials used in the building are, as far as possible, locally harvested. An open plan is achieved through a timber skeleton structure, CLT floors and an aluminium space frame, the latter reclaimed from Schiphol. The plinth is 3D printed, using dredged earth from the river Spaarne. It is stabilised using Kaumera biopolymer and insulated with cellulose both harvested from sewage treatment plants, while reed fibres from the Poelpolder improve the tensile strength of the material.","Open Building; Harvest; Post 65; Biobased; Circular Design; Additive Manufacturing; Earth Construction; Multi Objective Optimisation","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","","52.364464, 4.665682"
"uuid:82f24a35-370c-4b38-9bac-e9b626b7e2f9","http://resolver.tudelft.nl/uuid:82f24a35-370c-4b38-9bac-e9b626b7e2f9","Exploring Opportunities for Rewilding the Built Environment through Nature-Inclusive Design: Case Study Boerhaavewijk, Post-War Residential Neighbourhood in Haarlem, The Netherlands","Groeninx van Zoelen, Annekee (TU Delft Architecture and the Built Environment)","Snijders, A. (mentor); van der Zaag, E.J. (graduation committee); Tillie, Nico (graduation committee); Delft University of Technology (degree granting institution)","2023","With the worldwide biodiversity decline and cities that should adapt to climate change, rewilding the built environment to restore biodiversity and increase the degree of natural areas is necessary. Yet, there is currently no established overview of potential rewilding strategies for urban environments. This study explores various opportunities for rewilding the built environment through nature-inclusive design and presents a toolbox that architects and urban planners can implement in the design process to create opportunities for biodiversity and make cities greener and more resilient. Furthermore, the suggested framework can be used to create strategies on the larger scale. Rewilding strategies, however, are highly dependent on the characteristics of a specific environment and thorough site research is necessary to come up with suitable strategies. The typical Dutch post-war neighbourhood Boerhaavewijk in Haarlem is taken as a case study to demonstrate the application of these strategies.","Rewilding; Biodiversity; Nature-Inclusive Design; Urban Ecology; Ecosystems; Patch-Corridor-Matrix; Species; Boerhaavewijk; Haarlem","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","","52.36482788920597, 4.663645896932339"
"uuid:5d786e19-6871-4478-bda8-43f7cab20633","http://resolver.tudelft.nl/uuid:5d786e19-6871-4478-bda8-43f7cab20633","Synthetic Air Data System for Pitot Tube Failure Detection on the Variable Skew Quad Plane","Larocque, Frédéric (TU Delft Aerospace Engineering; TU Delft Control & Simulation)","Smeur, E.J.J. (mentor); Remes, B.D.W. (graduation committee); De Ponti, T.M.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","Pitot tube-free airspeed estimation methods exist for fixed-wing and multirotor configurations, but lack direct applicability to hybrid unmanned air vehicles due to their wide flight envelope and changing dynamics during transition. This work proposes a novel synthetic air data system for the Variable Skew Quad Plane (VSQP) hybrid vehicle to allow airspeed estimation from hover to high speed forward flight and provide pitot tube fault detection. An Extended Kalman Filter fuses Global Navigation Satellite System (GNSS) and inertial measurements using model-independent kinematics equations to estimate wind and airspeed without the use of the pitot tube. The filter is augmented by a simplified vehicle force model. Pitot tube fault detection is achieved with a simple thresholding operation on the pitot tube measurement and the airspeed estimation residual. Accurate airspeed estimation was validated with logged test flight data, achieving an overall 1.62 m/s root mean square error. Using the airspeed estimation, quick detection (0.16 s) of a real-life abrupt pitot tube fault was demonstrated. This new airspeed estimation method provides an innovative approach for increasing the fault tolerance of the VSQP and similar quad-plane vehicles.","variable skew quad plane; synthetic air data system; airspeed; Hybrid vehicles; Extended Kalman Filter; pitot tube; Fault Detection","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:50698013-bb01-4df4-b1c2-b848515e3881","http://resolver.tudelft.nl/uuid:50698013-bb01-4df4-b1c2-b848515e3881","Comparative analysis of clutter filtering techniques on freehand micro-Doppler ultrasound imaging","Gao, Xuan (TU Delft Electrical Engineering, Mathematics and Computer Science)","Hunyadi, Borbala (mentor); Verhoef, Luuk (mentor); Lopes Marta da Costa, T.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Micro-Doppler (µDoppler) ultrasound imaging is a high frame rate ultrasound imaging modality that provides high spatiotemporal resolution ultrasound images of blood flow. It is sensitive to slow blood flow and particularly suitable for capturing fast-changing phenomena like rapid blood flow. Clutter filtering is an essential step in µDoppler data processing to reject tissue clutter signals and keep blood flow information as much as possible. 3D freehand µDoppler imaging is an emerging ultrasound technique that can construct full spatial vasculature images with a panoramic view that conventional 2D ultrasound is not able to provide. As freehand implies the continuous and nonuniform movement of the probe, it becomes more challenging for clutter filtering to acquire high-quality images.
This thesis explores and compares different state-of-art clutter filtering techniques on freehand in-vivo µDoppler imaging of the human brain. Specifically, Singular Value Decomposition (SVD), Robust Principle Component Analysis (Robust PCA), and Independent Component Analysis (ICA) clutter filtering techniques have been investigated. The aim is to test and compare their performance on in-vivo µDoppler ultrasound data with freehand probe movement and understand how freehand motion affects the threshold selection criteria. Besides that, a newly proposed method that combines ICA clutter filtering and clustering is included in this thesis to bring another perspective for sorting independent components corresponding to blood flow and rejecting unwanted ones consisting mostly of tissue clutter signals.","Doppler ultrasound imaging; Singular Value Decomposition; Robust Principal Component Analysis; Independent Component Analysis; Clutter filtering; 3D Freehand","en","master thesis","","","","","","","","","","","","Electrical Engineering | Signals and Systems","",""
"uuid:3ac407ec-7975-454d-bf63-ee7efe7c200b","http://resolver.tudelft.nl/uuid:3ac407ec-7975-454d-bf63-ee7efe7c200b","Prediction of the compressive strength of concrete made by recycled coarse aggregate derived from selective demolition","Aladib, Mouhannad (TU Delft Civil Engineering & Geosciences)","Rem, P.C. (mentor); Di Maio, F. (graduation committee); Vahidi, A. (graduation committee); Kasbergen, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","The utilization of Recycled Coarse Aggregate (RCA) in concrete has gained signifi-cant traction due to its environmental and economic advantages. However, ensuring the quality of RCA poses challenges as it is influenced by various unpredictable factors includ-ing the high water absorption of RCA, ineffective recycling processes, and the presence of contaminants. The existing body of research on the influence of RCA on the Compressive Strength (CS) of concrete has yielded inconsistent findings, and limited knowledge exists regarding the specific combination of parameters that enable effective control over CS. To address this gap, the present study aims to identify the essential parameters that contribute to controlling CS in concrete through the development of a predictive model. By investigat-ing these crucial parameters, this research intends to extent current knowledge on optimiz-ing the use of RCA in concrete.
To investigate the impact of the crucial parameters on the CS of concrete when uti-lizing RCA, a series of experiments were conducted. The RCA was obtained through the selective demolition recycling technique. The content of RCA was divided through manual separation into unbound stones, Low-Quality Recycled Aggregate (LQRA), and contami-nants. LQRA is composed of residual mortar and stones with mortar attached to their sur-face. The experiments included physical properties tests and optimization of the concrete mix designs. Additionally, relevant literature was consulted to identify the parameters that would serve as variables in constructing the predictive model. Through analysis via re-sponse surface methodology, a predictive model was developed to assess the impact of these critical parameters on the CS of concrete.
The experimental findings confirmed the statistical significance of the predictive model in assessing the impact of critical parameters on the CS of concrete. The level of LQRA was found to have a negative impact on the quality of RCA. The water-to-cement ratio was identified as a significant factor affecting the CS of concrete, with lower ratios yielding higher CS. When using RCA with high LQRA content (up to 65% of the total weight of RCA) as a substitute for natural coarse aggregate, higher replacement ratios re-sulted in lower CS.
In order to further validate the predictive model, Artificial Neural Network (ANN) modelling was incorporated as a non-linear method of assessing the relationship between the variables and the output, which is the CS. The high R2 values obtained from the ANN model demonstrated the robust alignment between the model and the data, strengthening its reliability. The integration of a Pareto chart and model-fitting regression gives a better physical understanding of the results of the predictive model by identifying influential terms and reducing complexity. The resulting model improves interpretability and predic-tive accuracy. The analyses emphasize the significance of integrating ANN and the Pareto chart approach in enhancing model validation and simplification.
These findings offer valuable insights into the parameters that are crucial to the CS of concrete which consists of RCA. By implementing the procedures that assess the quality of RCA, sustainable construction practices can be promoted, and the wider application of RCA can be facilitated on an industrial scale.
These final states will not be reached when at least one of the agents is influenced by a disturbance. Not only will this agent be affected, but because of the networked system, the disturbance in one agent will influence other agents as well. Understanding the Kuramoto model enables the design of controllers that can attenuate the influence of disturbances. All controllers are designed with the assumption of constant matched input disturbances.
The first controller is an error feedback controller. For this strategy, the original Kuramoto model had to be modified. The resulting controller can attenuate the effects of matched input disturbances in a system of agents, but individual agents with matched input disturbances will not reach a steady state.
The second controller is based on predictor-error feedback and the Kuramoto characteristic that the average orientation in a Kuramoto model is constant. The controller is augmented with an algorithm that generates a one-step ahead prediction based on the known states and inputs. Since the disturbance is assumed to be constant, its effects can be calculated and attenuated in the next time step. This controller succeeds in directing the system to the same aligned set as the undisturbed system, although via a different trajectory. The controller also succeeds in directing the system to a balanced set, but for systems with N ≥ 4 agents that balanced set is different from the undisturbed set.
Since the second controller showed that a deviation from the undisturbed trajectory leads to a different balanced set, the third controller is designed with reference trajectories that do not use the actual states and inputs, but are generated fully autonomously. The difference between reference and actual state is processed by a proportional-integral algorithm to ensure zero steady state error. This controller however has the possibility of destabilizing the system, when not properly tuned.
All controllers have their merits: the first controller decouples the agents, thereby preventing that a disturbed agent affects others. Under constant disturbance, the second controller guarantees stability, but will let the agents follow different trajectories than the undisturbed system, leading to different balanced sets. The third controller can direct all agents to their undisturbed trajectory, but can negatively impact the stability properties of the Kuramoto controller when improperly tuned.","Kuramoto model; Discrete time; disturbance rejection; control strategies","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:eaa691c0-4d1c-4df8-bd13-2b999ea60ded","http://resolver.tudelft.nl/uuid:eaa691c0-4d1c-4df8-bd13-2b999ea60ded","The Bergweg Water Station: Repurposing a former Railway Platform in Synergy for Future Scenarios","Lieser, Max (TU Delft Architecture and the Built Environment)","Snijders, A. (mentor); Tomesen, P.L. (graduation committee); Stoutjesdijk, P.M.M. (mentor); Nottrot, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Hofbogen has already undergone significant redevelopment after being out of service since 2002. This includes the establishment of the Luchtsingel roof park in 2015 and the ongoing construction until 2024 to transform the empty roof of the Hofbogen line into a nature-inclusive park. Within this existing masterplan, the project seeks to add value by proposing a building addition to the Hofbogen infrastructure at the Bergweg station. One of the key challenges in designing a biodiverse park on a rooftop is water management. The engineering aspect of the project is crucial for working with the existing structure to create architecture that generates positive output for the park, the area, and its users. The project gives emphasis on the conscious choice of materials and is attentive to their lifecycle implications. In the context of the contemporary building industry, it is necessary to support the principles of reuse and demountability and the use of existing structures. Consequently, the project is designed to be constructed on top of an existing structure within the urban fabric, and represents a demountable building approach that utilizes highly adaptable building pieces capable of accommodating change. Overall, this graduation project aligns with the architectural engineering studio's focus on designing ecological and socially responsible architecture while contributing to a sustainable development of Rotterdam's urban landscape.","Water scarcity","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","Transformation",""
"uuid:50b5eadb-c993-4f06-93b5-fb4c70bc0fa4","http://resolver.tudelft.nl/uuid:50b5eadb-c993-4f06-93b5-fb4c70bc0fa4","Re:Generation Liège: Reimagining Local Building Culture as a Showcase for a Circular Generation of Architecture","Reitsma, Thijs (TU Delft Architecture and the Built Environment)","Ronner, E.I. (mentor); Lafeber, J.W. (mentor); Reinders, L.G.A.J. (mentor); Stalker, S. (mentor); Schnater, F.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the ever-evolving world of architecture and construction, there is a growing recognition of the urgent need to embrace sustainable and circular practices. As the challenges of climate change and resource depletion become increasingly apparent, architects and designers have a unique opportunity and responsibility to shape the future of our built environment. It is within this context that I followed the Urban Architecture Graduation Studio, leading to my project called ""Re:Generation Liège"", in wich I aim to reimagine local building culture as a showcase for a circular generation of architecture, while revitalizing an abandoned industrial site.
At its core, ""Re:Generation Liège"" seeks to challenge the prevailing linear model of resource consumption in our field of Architecture, while trying to create architecture that is culturally fitting, encouraging social life and in symbiosis with nature. By harnessing the principles of circularity and sustainability, this project strives to create a harmonious interplay between human habitation, natural resources, and the surrounding environment.
The city of Liège, with its rich architectural heritage and vibrant cultural identity, serves as the backdrop for this project. By leveraging the unique physical, economic and socio-cultural characteristics of the local context, ""Re:Generation Liège"" aims to demonstrate how a circular economy can be boosted in this specific context, through showcasing the potential of adaptive reuse, component reuse and reuse-focused public program.","Liège; component reuse; adaptive reuse; circular economy; cultural value; community; local building culture; sustainability","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","50.644592, 5.598408"
"uuid:34566de6-6e07-4249-8ae6-41fc360e01b3","http://resolver.tudelft.nl/uuid:34566de6-6e07-4249-8ae6-41fc360e01b3","A Senegalese City Based on Traditional Spatial Planning: Using a Rural Spatial Planning Culture as a Formula for Urban Planning in Expanding Urban Areas of Dakar","Halman, Daniel (TU Delft Architecture and the Built Environment)","Offermans, T. (mentor); Tomesen, P.L. (mentor); Delft University of Technology (degree granting institution)","2023","The urban area of Dakar (Senegal) is growing in its extents and population. Necessities to facilitate this growth include social, economic, environmental and governmental planning. Currently, underprivileged groups are not sufficiently included in large scale urban development plans by the public sector (Cissé, 2022 - p. 47). This paper analyses the social dynamics of the spatial fabric in a traditional village setting in Kholpa in the Dakar region. It informs an alternative approach to the western suburb style of urban planning currently aimed to be developed in the greater region of this village. The advantages of the historical settlement typology are mainly attributed in the realm of social cohesion through frequent social interactions in collective spaces. The challenges lie in the provision of building services or permitting adaptability to higher densities of above 300 persons per hectare.","Rural area; spatial planning; Social dynamics; Informal settlements; Urban expansion; Daga Kholpa urban pole; Senegal","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","","14.650175,-17.116750"
"uuid:fcfc27ff-b948-45af-ba25-a7f80e049b4d","http://resolver.tudelft.nl/uuid:fcfc27ff-b948-45af-ba25-a7f80e049b4d","Hydraulic response of the Rhine-Meuse delta to Delta21: The effect of implementing Delta21 with a modified Maeslantkering on water level statistics in the Dutch delta region","Zijlstra, Wouter (TU Delft Civil Engineering & Geosciences)","Kok, M. (mentor); Voorendt, M.Z. (graduation committee); Rutten, M.M. (graduation committee); van Vuren, S. (graduation committee); van Tol, Peter (graduation committee); Delft University of Technology (degree granting institution)","2023","The Dutch Rhine-Meuse delta is expected to require many dike reinforcements on a short and long term, due to (accelerated) sea level rise. Average damage in unembanked areas will increase too, and a costly replacement of the Maeslantkering is expected after 2070. Delta21 is a project that aims to address these challenges related to flood protection, while also providing hydraulic energy storage and opportunities for valuable nature development.
Delta21 consists primarily of a large (salt)water storage lake attached to the Tweede Maasvlakte. It is connected to the North Sea with a pump-turbine station. On the southern side, a closable storm surge barrier spans the remaining gap to the island of Goeree Overflakkee. Under normal circumstances the lake functions as a hydraulic battery, using the pumps and turbines to store or generate electricity as needed. However, when water levels threaten to exceed NAP + 2.5 m at Dordrecht, the barrier closes and an upstream spillway into the lake is opened. To determine the viability of the project in the context of flood protection, the question arises: “To what extent is Delta21 capable of providing a cost-effective alternative to the current flood protection policy in the Rhine-Meuse delta?”
To answer this question, a one-dimensional hydraulic model is constructed with a detailed and accurate schemati-zation of Delta21. Results are processed into exceedance frequencies for a system with and without Delta21, to obtain reductions in water levels at normative frequencies. Furthermore, a sensitivity analysis is performed to de-scribe how various designs and configurations affect the magnitude of these reductions. Other than Delta21, no system-changing interventions are included in the scope of study.
Three strategies are formulated in which Delta21 potentially reduces costs, and evaluated using the model’s results:
1 Extending the lifetime of the Europoortkering before it needs to be replaced.
2 Obviate dike reinforcements.
3 Reduce the average yearly damage of unembanked areas.
Approach 1 is shown to be ineffective. Delta21 does not achieve changes in the Europoortkering’s closure frequency or failure probability per closure. Neither does Delta21 effectively mitigate a failure in the region where water level exceedance frequencies are dominated by the Europoortkering’s failure probability.
Approach 2 is far more successful, accounting for 96% of the total cost reductions. Reductions in water levels at normative frequencies are translated to reduced failure probabilities of dike segments using fragility curves, which potentially yields a positive reassessment. Delta21 achieves this and obviates dike reinforcement for 41 km by 2050, and 150 km more by 2100. These lengths comprise respectively 33% and 60% of the total considered dike lengths that would need reinforcement. The net present value of obviated reinforcement costs is €752 million, with a 90% confidence interval of [€220 million, €2,821 million]. This large interval is due to large uncertainties in reinforcement cost estimations. Delta21 most effectively obviates reinforcements in trajectories with stricter norms and closer proximity to the storage dominated region. Water levels at normative frequencies are very sensitive to the opera-tional control (i.e. closure criterion) of Delta21. An additional nominal cost reduction of ca. €278 million can be achieved when a criterion of NAP + 2.5 m at Dordrecht is maintained in the future. The sensitivity is far weaker to varying dimensions or capacities of Delta21, which are generally unnecessarily large during illustrative conditions.
Approach 3 provides the additional 4% contribution to total cost reductions. The only considered area is Dordrecht, due to its unique high economic value in low lying unembanked locations. Damage profiles are integrated with changes exceedance frequency curves to obtain the average yearly damage. This is reduced by approximately €53,000 per year now, and grows to €1.36 million per year in the future climate scenario (2100). The decrease in relation to the current system is about 42%, but the absolute value rises greatly with sea level rise. Implementation of lower closure criteria variations can yield an additional +20% now, and +10% in the future scenario.
The total net present value of cost-reductions by Delta21 is €783 million, with a 90% confidence interval of [€251 million, €2,852 million]. This covers about 20% of the total construction costs of €3.7 billion, and is insufficient to make Delta21 viable alone. However, not all costs are related to flood protection exclusively. If the components required for energy storage are viable on their own, merely the additional costs of the spillway and storm surge barrier have to be included in the cost-benefit analysis. Operational costs, which heavily depend on the operational control, must also be added. Smaller design dimensions or pump capacity of Delta21 has been shown to be just as effective, and would further cut costs. Additional savings beyond 2100 are plausible, but outside of this research’s scope. Furthermore, less people displacement or flooding of unembanked areas may achieve additional societal value, but is difficult to quantify. More research will have to indicate whether attributing only specific costs, including additional value sources, and estimating reinforcement cost more accurately ultimately lead to Delta21 being fea-sible in the context of flood protection.","Delta21; Flood risk; SOBEK; 1D Model","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:ef7fe3dd-2ea8-4d86-a582-bdeb3df18d75","http://resolver.tudelft.nl/uuid:ef7fe3dd-2ea8-4d86-a582-bdeb3df18d75","Towards Sybil Resilience in Decentralized Learning","Werthenbach, Thomas (TU Delft Electrical Engineering, Mathematics and Computer Science)","Pouwelse, J.A. (mentor); Tax, D.M.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Federated learning is a privacy-enforcing machine learning technology but suffers from limited scalability. This limitation mostly originates from the internet connection and memory capacity of the central parameter server, and the complexity of the model aggregation function. Decentralized learning has recently been emerging as a promising alternative to federated learning. This novel technology eliminates the need for a central parameter server by decentralizing the model aggregation across all participating nodes. Numerous studies have been conducted on improving the resilience of federated learning against poisoning and Sybil attacks, whereas the resilience of decentralized learning remains largely unstudied. This research gap serves as the main motivator for this study, in which our objective is to improve the Sybil poisoning resilience of decentralized learning.
We present SybilWall, an innovative algorithm focused on increasing the resilience of decentralized learning against targeted Sybil poisoning attacks. By combining a Sybil-resistant aggregation function based on similarity between Sybils with a novel probabilistic gossiping mechanism, we establish a new benchmark for scalable, Sybil-resilient decentralized learning.
A comprehensive empirical evaluation demonstrated that SybilWall outperforms existing state-of-the-art solutions designed for federated learning scenarios and is the only algorithm to obtain consistent accuracy over a range of adversarial attack scenarios. We also found SybilWall to diminish the utility of creating many Sybils, as our evaluations demonstrate a higher success rate among adversaries employing fewer Sybils. Finally, we suggest a number of possible improvements to SybilWall and highlight promising future research directions.","Decentralized learning; Sybil Attacks; Poisoning attack","en","master thesis","","","","","","","","","","","","Computer Engineering | Distributed Systems","",""
"uuid:d96dc42f-8779-4f5b-94db-df0e969c1f3f","http://resolver.tudelft.nl/uuid:d96dc42f-8779-4f5b-94db-df0e969c1f3f","A Women's House for Droixhe: Exploring Human-Centric Architecture as a Catalyst for Social Connection, Urban Revitalization, and Women Empowerment","van Rijn, Inès (TU Delft Architecture and the Built Environment)","Ronner, E.I. (mentor); Reinders, L.G.A.J. (mentor); Lafeber, J.W. (mentor); Stalker, S. (mentor); Delft University of Technology (degree granting institution)","2023","This project delves into the transformative potential of human-centric architecture as a catalyst for social connection, urban revitalization, and empowerment in the neighborhood of Droixhe in Liège, Belgium. Drawing inspiration from the collective Les Amis de L'Étang, comprising 15 social organizations, the research explores the concealed narratives behind facades and the daily lives of the communities residing in the neighborhood. Uncovering a pressing need for suitable spaces and housing tailored to the requirements of marginalized women and poorly represented social organizations within the modernistic ensemble of Droixhe, the site specific project proposes a women's house as a multi-functional complex, re-interpreting the role of the public, communal and domestic space. Aiming to promote social safety and empowerment for women, the project at the same time revitalizes and enhances the neighborhood through its public functions, with it's community kitchen as main urban connector. By transcending conventional design paradigms and activating curiosity through architecture, this proposal seeks to invigorate Droixhe, forging a vibrant, inclusive living environment that empowers its inhabitants.","architecture; urban; women's house; human-centric design; modernism; feminism; community kitchen","en","master thesis","","","","","","https://www.youtube.com/watch?v=3HFnqiBlxOs research video - collaboration with graduation student Jonas Althuis","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","50.645097, 5.604539"
"uuid:09e4f921-8df1-4da5-88ac-c0f01724913b","http://resolver.tudelft.nl/uuid:09e4f921-8df1-4da5-88ac-c0f01724913b","Towards Personalization of Robot-Assisted Motor Learning Based on User Characteristics: Haptic Guidance seems better suited for individuals with a more Internal rather than External Locus of Control","Boersma, Caspar (TU Delft Mechanical, Maritime and Materials Engineering)","Marchal Crespo, L. (mentor); Zgonnikov, A. (graduation committee); Dalla Gasperina, S. (graduation committee); Adrichem, D. (graduation committee); de Winter, J.C.F. (graduation committee); Delft University of Technology (degree granting institution)","2023","Robots can aid in post-stroke motor function recovery and motor learning through the use of haptic feedback during collaborative training. A clear objective in robotic-assisted motor learning is to adapt the haptic feedback to individual users, but personal characteristics are not yet considered in this adaptation.
We investigated the suitability of a haptic guidance feedback strategy, based on participants’ locus of control character trait, compared to training without haptic guidance. For this purpose, a motor learning experiment was conducted on 42 healthy participants, where the internal dynamics of a pendulum had to be learned in order to hit upcoming targets. For two groups, training either with or without haptic guidance, we assessed motor learning and its generalization to similar tasks through target hitting performance, as well as behavior during training and perceived user experience.
Evidence was found of a relatively better performance improvement in both training and long-term (generalization of) motor learning for participants with a more internal compared to external locus of control. Lower observed interaction force during training and increasingly better performance throughout training
in these participants may have caused these motor learning differences. More positive user experience in these individuals through a higher perceived control over the pendulum and lower perceived frustration with haptic guidance may have also contributed.
Combined, this suggests an intrinsically better compatibility
with haptic guidance for people with a more internal rather than
external locus of control, for motor learning, during training and
in user experience","Motor Learning; Neurorehabilitation; Robotic Assistance; Haptic Guidance; Locus of Control; Personalization; Training Strategy","en","master thesis","","","","","","","","2024-07-04","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:b74f7718-626e-4337-8381-07d7b1a26959","http://resolver.tudelft.nl/uuid:b74f7718-626e-4337-8381-07d7b1a26959","Predictive Analysis of Anti-NMDA-Receptor Encephalitis: using a Random Forest Classifier on EEG Data","Lückerath, Femke (TU Delft Electrical Engineering, Mathematics and Computer Science)","Jongbloed, G. (mentor); van den Berg, Robert (mentor); van Gijzen, M.B. (graduation committee); Delft University of Technology (degree granting institution)","2023","During the initial phase of diagnosis, patients with anti-NDMA-receptor encephalitis (anti-NMDARE) often experience severe symptoms that significantly impact their quality of life. Anti-NDMARE is an autoimmune disorder affecting the brain, with electroencephalography (EEG) playing a vital role in diagnosis and treatment. Identifying EEG patterns associated with positive or negative prognosis is crucial for adjusting treatment intensity. Improved understanding of diagnosis, prognosis and treatment could enhance the quality of life for anti-NDMARE patients. This thesis aimed to analyse the EEG data with Machine Learning (ML) to predict which patients exhibit positive recovery after 12 months of standard treatment.
To predict the outcome after 12 months, a Random Forest (RF) classifier was constructed using available EEG features. The EEG dataset exhibited a clustered structure due to multiple values for each patient’s EEG features. Three approaches were considered to handle this clustering: ignoring clustering, reducing clustering to independent observations, and explicitly accounting for clustering. The first two options were explored in this research. Another prominent challenge encountered early in the research was the class imbalance, which was addressed by under- and oversampling the dataset.
For the simulation sets, under- or oversampling did not yield the desired effect, as the normal sets demonstrated comparable or even superior performance compared to the the under- and oversampled sets. However, under- and oversampling improved the performance scores for the real dataset. Reducing the clusters to independent observations did not achieve high performance scores compared to ignoring clustering, both in the simulation and real data cases. Furthermore, in both cases, RF models using the EEG sets outperformed those using principal component analysis (PCA) on the clustered EEG set.
Although the performance metrics scores were not yet optimal, important features for determining class labels were identified, providing a good understanding of the dataset. Mean Decrease in Impurity (MDI) and SHAP algorithm highlighted the significance of connectivity-related features in the reduced clustering to independent observation setting. The relevance of these features became evident upon calculating the mean, minimum, or maximum. In the EEG setting, MDI emphasized the importance of the features deltapower, sampleentropy and occipital-related features. These features remain important in the reduced set. SHAP, in addition to prioritizing the same features, offered insights into how specific features contribute to the prediction of a specific observation, enhancing interpretability.
The challenges for the RF classifier in the case of anti-NDMARE are class imbalance and accurate classification of the minority class. Under- and oversampling techniques successfully improved classification of minority class observations for the original EEG set. Concluding, this set is strongly encouraged to be utilized over all sets when aiming to classify EEG features. However, this set overlooks the clustering aspect, leaving room for optimization in future research to address this limitation. Additionally, it is recommended to explore the potential of a Convolutional Neural Network (CNN) for accurate classification of raw EEG signals. Its exploration was beyond the scope of this research.","anti-NMDA-receptor encephalitis; Machine Learning; Random Forest Classifier; Classification; EEG","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:e48541be-5f2a-4270-bfbe-fd409d8f5cb7","http://resolver.tudelft.nl/uuid:e48541be-5f2a-4270-bfbe-fd409d8f5cb7","Designing a quality assurance solution for learning and development training","Lanoy, Jesuël (TU Delft Industrial Design Engineering; TU Delft Design, Organisation and Strategy)","Willemen, A.M. (mentor); van den Hende, E.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis explores the development of a quality assurance solution specifically tailored for training programs. Prowareness is a consultancy firm specialised in agile transformations. A big part of their value proposition is training. Prowareness is growing and has a reputation for offering training to high end clients. However, in order to ensure a consistent client experience, they are in need of a quality assurance model.
The author uses a double diamond approach. First, relevant literature is studied to gain more insights on the topic of quality, intrinsic motivation, and agile. The data analysis in the discovery phase is done using the Straussian approach of the Grounded Theory Methodology and a questionnaire. The main insight from the analysis was that currently employees each have their own definition and approach to quality assurance of training. Also, the employees have the need for a standardised quality assurance model.
From the data analysis the author formulates a product goal that says:
“The product goal is to provide a comprehensive description of the process to be followed before, during, and after conducting a training, along with its implementation. The aim is to ensure that every trainer follows the same process, creating a shared understanding and a standardised approach for quality assurance.”
The content of the solution is developed with input gathered in several co-creation sessions with Prowareness employees. The author considers the employees as the experts of the content. Also, including the employees in the creation of the product, increases the chances of adoption and boosts intrinsic motivation. Based on the input and design criteria, the form of the final product is then chosen by the author.
The result is a live Handbook that employees can read to know exactly the steps that need to be taken before, during, and after a training. Since it is a live document, it can easily be updated in real time by the responsible person. The author also provides an implementation strategy that is needed for the roll-out of the Handbook within the company.
The author ends with recommendations for Prowareness regarding quality assurance.
In order to allow the user to not have to choose between workspace or build area the following design statement was created;
Designing a ‘one size fits all’ resizable CNC router to enable designers, engineers and hobbyists to manufacture (large) parts without the need to compromise valuable workspace. Providing true flexibility to the user in terms of work- and build area.
This design statement laid the foundation for the entire project. In order to fulfil the statement, a resizable CNC router was designed and a fully functional prototype was created.
The concept of this resizable router was achieved by taking a closer look at existing solutions and machines as well as the different users and contexts in which the machines operate, in order to gain a better understanding and derive requirements for the design.
The process taken during the project could be categorized into three different phases; The Research/Analysis Phase, The Idea Generation Phase and the Embodiment/Materialisation Phase. A number of fitting design tools, techniques and methodologies have been implemented during the three different phases of the project. Respectively the most important design tools/techniques that have been implemented were;
A ‘Function Analysis’ which resulted in a ‘Function Structure’ (which formed the basis for the List of Requirements), ‘The Fish Trap Model’ which was used to generate ideas and create the concept and finally the most important model used during this project was the ‘Build Measure Learn’ (BML) loop. The Build Measure Learn loop was kept in mind during the entire process of this project, it describes a process of prototyping, evaluating and reiterating in order to create functional and valuable prototypes in a fast-paced manner.
With the help of the previously mentioned design tools, methods and techniques a design was realized for a resizable CNC router. This design was supported and evaluated with the help of the creation of a fully functional prototype.
In scientific teams, factors such as individual expertise, disciplinary composition, and social dynamics significantly influence team effectiveness. Issues related to team leadership, coordination, and communication have been identified as major contributors to problems in many industries.
This study focuses on exploring how the convergence of different scientific backgrounds and individual perspectives within transdisciplinary Biodesign teams influences team effectiveness. By gaining valuable insights into the dynamics at play, this research aims to lay the foundation for the development of a tool that can enhance team effectiveness across Biodesign projects.
To address the research objective, several sub-questions are proposed. The first sub-question explores themes such as team processes, social networks, hierarchy, disciplinarity, and participatory design that impact team effectiveness in scientific teams. A comprehensive literature study will shed light on this sub-question. The second sub-question investigates the specific themes that affect overall team effectiveness in the Biodesign field. An exploratory case study, involving six expert interviews, will provide insights into this sub-question. Finally, the third sub-question explores the potential of leveraging these identified themes to enhance the team effectiveness of a Biodesign research group. This sub-question will be answered by connecting the findings from the literature study to the data obtained from the case study.
In the final phase of this thesis, a tool called the Dual Feedback System (DFS) was developed with the purpose of enhancing team effectiveness in Biodesign and other academic teams. DFS comprises two components: the Satisfaction Survey and the Feedback Meeting. It involves BEP, MEP, or PhD students and their supervisors who complete the survey to provide feedback on different aspects of the ongoing project, including project satisfaction, teamwork, and supervision. The survey results serve as the foundation for the subsequent Feedback Meeting, which aims to facilitate open communication and bridge the existing hierarchical gap between participants, fostering a collaborative and constructive environment.
Overall, this thesis contributes to our understanding of team effectiveness in the Biodesign field and provides valuable insights in how collaborations can be improved and team effectiveness in transdisciplinary research teams can be enhanced. The findings serve as the basis for the development of DFS, designed to enhance team effectiveness in Biodesign and other academic teams. The implementation of this tool within teams at TU Delft will further amplify its impact, particularly in important areas such as sustainability.","BIODESIGN; Team Effectiveness; transdisciplinarity; Hierarchy","en","master thesis","","","","","","","","","","","","Applied Sciences | Science Education and Communication","",""
"uuid:a7f4c059-210f-4fa2-adec-6ab299eb40d4","http://resolver.tudelft.nl/uuid:a7f4c059-210f-4fa2-adec-6ab299eb40d4","Design of a public building in Friedrichshain Berlin: The digital common: ‘An autonomous local community resisting the globalizing oppression of neoliberalism’","Jacobs, Gijs (TU Delft Architecture and the Built Environment)","Kuitenbrouwer, P.A.M. (mentor); Corbo, S. (mentor); Delft University of Technology (degree granting institution)","2023","Berlin’s history of oppression gives it an interesting role in the development in European thought. In this project, that history is recontextualised through the story of electronic dance music (EDM) and used as a basis for an alternative approach to both old & new potential models of society.
The project attempts to create the infrastructure for an autonomous local community in Friedrichshain, resisting the globalising oppression of neoliberalism. The ‘vacant space’- plot located near the famous club ‘Berghain’ is a typology that can be found across Berlin which suggests a different approach to society that is analysed and used for an architectural proposal. Inspired by the non-hierarchical infrastructure of the network, this project proposes a series of indeterminate modular skeletons that can be appropriated by the local commune. The project is managed by a democratic digital portal using a ‘shared ownership model’, which also provides ‘open source’ libraries for a series of different products ranging from modular building components to digital code, music, books, artworks, etc.","Commons; network; democratic architecture; neoliberalism","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Public Building","",""
"uuid:a2b34876-dbd3-439d-a930-0cd18bf49ad5","http://resolver.tudelft.nl/uuid:a2b34876-dbd3-439d-a930-0cd18bf49ad5","National Library of Germany: From books to bytes: acquiring knowledge in a digital age","Buijinck, Yu anna (TU Delft Architecture and the Built Environment)","Caso, Olindo (mentor); van Zalingen, J.M. (graduation committee); van den Ban, R.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","How can the national library best accommodate the collection and curation of
knowledge to encourage learning in an age of digitalisation?
During the graduation studio Complex Projects the role of the national library in
Germany was put under investigation. The national library functions as a central place where all published work of the nation is collected. How does the library position themselves to stay relevant for information that can be curated for it to be easily accessible. The use of the building will need to be revised to continue serving its users and engage a new generation and audience. Currently the collection is stored in multiple cities in Germany, which defeats the goal of the national library to centralise the collection. As the collection continued to grow it resulted in buildings with multiple extensions and not optimal storage conditions. The design of the national library emphasises the representation of all media such as books as well as online publications, providing a welcoming space for the public, organising events and encouraging learning.","National library; Germany; Berlin; Complex projects","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","",""
"uuid:576c0513-6c6d-4eac-9526-101123eb4c84","http://resolver.tudelft.nl/uuid:576c0513-6c6d-4eac-9526-101123eb4c84","Carbon Fiber Tow Spreading: Experimental Machine Design, Friction Behaviour, and Novel Monitoring Concepts","ul-Haq, Ehshan (TU Delft Aerospace Engineering)","Dransfeld, C.A. (mentor); Caglar, Baris (mentor); Yuksel, O. (mentor); Delft University of Technology (degree granting institution)","2023","This master’s thesis focuses on the design and assembly of an innovative tow spreading line for investigating carbon fiber tow spreading through the utilization of spreader bars. The primary objective was to examine the friction behavior during the spreading process by employing multiple tension sensors. Additionally, novel monitoring concepts including 4-point resistivity sensing, optical width and gap detection, and optical analysis for determining fiber orientation within the carbon tow were introduced. Through a series of experiments conducted on the newly built setup, comprehensive data was collected and analyzed. The findings revealed an intriguing observation that deviates from the established Capstan equation. It was observed that an increase in tension in the tow resulted in a reduced apparent friction coefficient during bar tow spreading. This departure from the conventional understanding of friction dynamics in this scenario contributes valuable insights to the field of carbon fiber tow spreading. Furthermore, the feasibility of utilizing resistivity measurements as a means of detecting material anomalies, such as damage or waviness, was investigated. The results demonstrated that this technique, while partially reliant on tow tension, consistently detected such anomalies. However, challenges were encountered in achieving quantitative consistency in the optical orientation analysis, making it difficult to obtain robust results in this aspect. The experimental monitoring setup also revealed an increase of approximately 20% in the width of the tow. To enhance process repeatability, recommendations are proposed to upgrade several components of the built experimental line. Moreover, it is advised to further test and develop the software analysis techniques to achieve a higher degree of repeatability, thus potentially validating the obtained results. In conclusion, this research contributes to the understanding of carbon fiber tow spreading through the design and assembly of an experimental production line, examination of friction behavior, and the introduction of novel monitoring techniques. The outcomes serve as a foundation for future investigations and advancements in this domain, with the potential to enhance the efficiency and quality of carbon fiber tow spreading processes.","Carbon fiber; Tow spreading; Resistivity measurement; Tow tension; Friction; Optical analysis; Unidirectional carbon fiber; Carbon fiber tape; Manufacturing; Machine design","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:26b28efe-98fd-4c44-9ff3-d2a7d2636912","http://resolver.tudelft.nl/uuid:26b28efe-98fd-4c44-9ff3-d2a7d2636912","Speeding up program synthesis using specification discovery","de Jong, Jaap (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Algorithmics; TU Delft Programming Languages)","Dumančić, S. (mentor); Cockx, J.G.H. (mentor); Hinnerichs, T.R. (mentor); Spaan, M.T.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","How convenient would it be to have an AI that relieves us programmers from the burden of coding? Program synthesis is a technique that achieves exactly that: it automatically generates simple programs that meet a given set of examples or adhere to a provided specification. This is often done by enumerating all programs in the search space and returning the first program that satisfies the requirements. However, these algorithms frequently enumerate redundant programs because of symmetries in the search space. We propose a new constraint discovery system that is able to detect these symmetries in a language and systematically generate symmetry-breaking constraints for them. To test these constraints, we implemented a novel, re-usable framework for program synthesis called Herb.jl. The generated constraints are shown to cut down search spaces to less than 25% of the original size and reduce the enumeration time by a factor of 3. Furthermore, this approach is extended to automatically discover semantic specifications without needing an expert. The effectiveness of these specifications is evaluated with an existing specification-based synthesizer, which shows that adding these specifications is an effective way to cut the synthesis time in half for domains where expert-defined specifications are not available. Together, these approaches demonstrate the effectiveness of extracting additional information from a language and applying it during enumeration.","program synthesis; constraints; specification extraction; enumeration","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:570ff1b3-cb1b-4e1b-9cd0-39c6d5f1240b","http://resolver.tudelft.nl/uuid:570ff1b3-cb1b-4e1b-9cd0-39c6d5f1240b","Real Time Interactive Collaborative Exploration of Urban Data with Virtual Reality","Dunnewind, Mirko (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Web Information Systems)","Bozzon, A. (mentor); Wang, H. (graduation committee); Lofi, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Immersive technologies, such as head-mounted devices for Virtual Reality (VR), have experienced increased availability on the consumer market. This opens up numerous possibilities for scientific research and industrial applications. But, configuring and combining data from various sources to create an effective visualization to explore, collaborate in and interact with, has only been researched in limited aspects. This thesis aims to demonstrate the feasibility of integrating these diverse aspects into a single application while preserving their individual potential.
To achieve this objective, an extensive analysis of the literature was done to establish the state of the art. All aspects necessary to create a single application were investigated to define how much of the literature fully or partly implemented them. Findings of the literature were summarized through definition, progress, limitations and improvements.
Based on the analysis, a proof of concept was designed and implemented, which established a virtual reality framework for real-time analysis and exploration of heterogeneous urban data. The framework involved the development of both a client and server applications with real-time communication. The server application processes and caches input data based on a structured configuration file, while the client application renders and visualizes points and shapes based on instructions from the server. The layered processing approach in this proof of concept facilitated real-time data handling and exploration. Which also makes it possible to collaborate with multiple people working on or manipulating the same data at the same time.
Evaluation of the server application showed its capability of processing over 1.000.000 objects from GeoJSON data. After initial rendering, the client application could update over 4.000 objects with a latency of just 200ms, which is considered to be interactive. Feedback from experts further supported the validation of the proof of concept application as a viable solution to the research question.
This research established the validity of a configurable real-time analysis and exploration solution in virtual reality. With further development and research, this tool holds potential for being used in diverse environments dealing with urban data.","Urban Data; Virtual Reality; Collaboration","en","master thesis","","","","","","","","","","","","Computer Science | Software Technology","",""
"uuid:cae25472-fcbb-4612-8cbc-3cda5d104b8f","http://resolver.tudelft.nl/uuid:cae25472-fcbb-4612-8cbc-3cda5d104b8f","Upscaling Dementia Architecture: A study about aging in place with dementia","Atciyurt, Bugra (TU Delft Architecture and the Built Environment)","Jürgenhake, Birgit (mentor); van Andel, F.M. (mentor); van Deudekom, A.B.J. (mentor); Delft University of Technology (degree granting institution)","2023","Despite the predicted increase in the number of dementia patients, the Dutch government has opted against building any extra nursing facilities in the future. Individuals, families, healthcare institutions, and society as a whole may suffer as a result of persons with dementia remaining in their homes or neighborhoods that are unsuitable for their needs.
Making existing neighborhoods dementia-friendly will result in a safe and inclusive living environment, which is required to accommodate the rising number of dementia patients and avoid future issues. Architectural solutions and small-scale initiatives can help reduce the progression of dementia and enhance the quality of life. Meaningful and supportive settings in neighborhoods or households improve health. Giving people environmental control and fostering strong communal bonds positively impacts their well-being. Implementing these procedures guarantees that the elderly with dementia can live in their own homes for as long as possible.","Dementia Care; Neighborhood; Design for care; Architecture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","52.062165, 4.318911"
"uuid:dc547598-4131-4a07-951a-0e4c5341cdfa","http://resolver.tudelft.nl/uuid:dc547598-4131-4a07-951a-0e4c5341cdfa","A Framework to Resolve Ambiguities in a Multitarget Environment","Wervers, Jurgen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Driessen, J.N. (mentor); Joseph, G. (graduation committee); Fioranelli, F. (graduation committee); Delft University of Technology (degree granting institution)","2023","Ambiguities are an often encountered nuisance in signal processing and are the source of some of the fundamental trade-offs encountered in radar systems. The goal of this thesis is to extract unambiguous information about targets by combining a limited amount of measurements on a video integration level. A novel framework is proposed to reach this goal. At the heart of the framework lives a relevance vector machine which is extended to process the ambiguities on a video integration level and to work off-grid. The relevance vector machine is then extended to become the ambiguity aware relevance vector machine. This extension is either performed by a frequentist test or by estimating a posterior distribution. The frequentist test is used to test whether we can statistically significantly discern the returned output from ambiguities. The posterior is estimated according to Bayes’ theorem and thus allows for the incorporation of prior information. In this thesis, the framework is specifically applied to Doppler processing of a pulse-Doppler radar system. Compared to existing methods for estimating unambiguous Doppler velocity in a multi-target environment, the framework provides a general increase in performance, allows for the incorporation of prior information, and is able to give a measure of confidence in the estimates. A simulation study is set up to show the performance increase. This simulation study also highlights the utility of incorporating prior information and the quantification of uncertainty.","Multitarget detection; Ambiguity resolving; Relevance vector machine; Sparse Bayesian learning","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:44140383-0cef-49c6-b9b5-9336cc40ceac","http://resolver.tudelft.nl/uuid:44140383-0cef-49c6-b9b5-9336cc40ceac","Architecture as a language that can bridge cultures: on understanding the city through type, elements and systems","van Vliet, Basia (TU Delft Architecture and the Built Environment; TU Delft Urban Architecture (OLD))","Ronner, E.I. (mentor); Reinders, L.G.A.J. (mentor); Speksnijder, F.J. (mentor); Stalker, S. (mentor); Delft University of Technology (degree granting institution)","2023","The goal of this research is to create a new understanding of the individuality of the city of Liége, by constructing a “logic of architecture”, a logic rooted in place, through a personal (dynamic) understanding of the site and its people & positioned within architectural theory, presenting a new interpretation. Hence, the design acts as a testsite for the research: testing, validating and showcasing the newfound “logic of architecture”, which is a product of the research process. The research strives to define three key elements (window, entrance, structure) within their autonomous reading as well as the part they play in the social ecology of Bressoux, thereby creating ground for the elements to propose design solutions based on the way they invite human life into their consciousness. Researching construction of the city over time through ordinary elements that give meaning to the city.
An experimental and numerical study is performed on data from in situ and laboratory testing of samples from two different bridge decks from these Amsterdam bridges. The tests are accompanied by a numerical model that has been studied and adjusted to a more generalized loading case. This study determined that the exterior composite girders are critical due to their lower lateral stiffness.
An analytical model is proposed to examine the behaviour of the exterior composite girder. The model considers a 3-point bending load at midspan between the exterior composite and adjacent girder. The force distribution is described through a compatibility-based strut and tie model (C-STM). The concrete in compression is considered elastic compression struts, only limited by the ultimate load of the model. The concrete in tension is interpreted as a tensile tie, which fails when it exceeds the concrete tensile resistance. Following the failure of the tensile tie, it is assumed that a longitudinal crack propagates between the exterior composite girder and the adjacent girder. Additionally, vertical and lateral stiffness components are included in the model. These account for the flexural stiffness of the exterior and interior composite girder. The vertical stiffness is accounted for as elastic springs, and the lateral stiffness as spring beams. The interior lateral spring beam summarises all the interior composite girders' stiffness, whereas the exterior lateral spring beam only considers the exterior composite girder. Therefore, the configuration assumes that the interior spring beam is significantly stiffer than the exterior. Moreover, the stiffness of the exterior spring beam reduces when the longitudinal cracking occurs, assuming a part of the concrete fails. The C-STM is linked to the cross-section verification of longitudinal shear, biaxial bending and vertical shear resistance in two stages. Stage 1, at the load at longitudinal cracking, determines if the specimen fails at this moment, indicating that there possibly is a brittle failure. Stage 2 is after longitudinal cracking, where the steel-concrete contact perimeters have reduced, and the corresponding resistances accordingly reduce.
The failure modes obtained by the analytical model are comparable to the ones observed during the experimental testing. The analytical model showed that the bridges failed due to biaxial bending limited by partial shear interaction. One of the specimens from the testing yielded due to bending but with limited ductility. The other specimen also yielded due to bending with concrete crushing at the top concrete fibre. Further, the bearing capacities obtained from the analytical model are comparable to the failure loads from the experimental and numerical results.
The model predicts the failure modes and the bearing capacity and can therefore contribute to the assessment of the historic Amsterdam bridges, helping to reduce the assessment time of the bridges and understand their load-bearing behaviour better. Future work should focus on verifying the method by examining more bridges using FEM.","Steel-concrete composite; Composite bridges; Historic bridges; Analytical model","en","master thesis","","","","","","","","","","","","Civil Engineering | Structural Engineering","",""
"uuid:ae0ccc6f-54ca-4508-82a8-78928af620ab","http://resolver.tudelft.nl/uuid:ae0ccc6f-54ca-4508-82a8-78928af620ab","From Resource Exploitation to Nature Restoration: Unlocking the Potential of Agroforestry Systems as Feedstock Provisioners for Sustainable Composite Manufacturing: An LCA study of bio-based carbon fibre precursors for aviation composites","Irache Cabello, Iñigo (TU Delft Technology, Policy and Management)","van Oers, Lauran (mentor); Schrama, M.J.J. (mentor); Delft University of Technology (degree granting institution)","2023","This research investigates alternative biomass feedstocks for environmentally improved composites in the aviation industry. It addresses challenges and opportunities associated with biomass use and proposes a sustainable biomass feedstock for bio-methanol production as a carbon fibre precursor for composites. The aim is to evaluate the environmental implications and practical considerations of utilizing this feedstock for sustainable bioeconomy models.
The study emphasizes the importance of lightweight carbon fibre composites in meeting emission reduction targets in aviation. It identifies biomass feedstocks for methanol production as a viable strategy for manufacturing sustainable composites. However, the sustainability of this approach is highly dependent on the strategies for biomass sourcing. A need to move from bioeconomy models based on the extraction of resources towards restorative systems based on Ecosystem Service (ES) provisioning is identified as the solution to deal with the sustainability challenges of biomass use. Agroforestry systems, integrating energy crops in farmlands, and in particular short rotation silvoarable systems (crops and short rotation trees integration), are identified as promising strategies for sustainable biomass production while enhancing ES provisioning and agricultural lands' resilience.
The subsequent research questions explore Life Cycle Assessment (LCA) results comparing different alternatives for methanol production. Silvoarable systems show favourable climate change and fossil fuel depletion performance when compared to natural gas-based methanol, but other impact categories do not offer significant advantages due to higher electricity consumption. The use of forest residues for methanol production performed better than the silvoarable alternative in most of the impact categories, but when more productive silvoarable plantations are considered or non-local sourcing of forest residues is necessary, silvoarable systems are as good or better than these systems. The alternative of using marginal lands for short rotation production had a lower performance compared with the silvoarable system mainly due to the lower productivity of these systems, however, this could also be considered as good feedstock for methanol production particularly if these are grown in floodplains to improve the yields of the system. Considerations of the aviation industry's environmental impact and supply chain are briefly included. While bio-based composites offer carbon emissions savings, these reductions are minimal compared to the overall aviation emissions. Cost considerations pose challenges, with bio-methanol alternatives currently having higher production costs. Suggestions include CO2 emissions taxes, subsidies, and optimized supply chain processes to bridge this gap.
In conclusion, this research provides valuable insights into the potential of short-rotation silvoarable systems as sustainable biomass feedstock providers for composite manufacturing. While the LCA results demonstrate promising environmental advantages, the results are limited to the narrow scope of this study. Therefore, further exploring and studying these systems is required if these systems are aimed to be considered future biomass providers.
The findings offer Airbus and other industries an opportunity to embrace sustainable bioeconomy models, contributing to environmental footprint mitigation and restoration of equilibrium with natural systems.
Despite these obstacles, the research demonstrates that reclaimed steel can be successfully integrated into projects with proper planning, coordination, and expertise. A comprehensive analysis of existing practices and challenges, interviews with industry professionals, and literature review inform the development of a design framework and a computational tool.
The proposed design workflow incorporates strategies to address the identified challenges and promote efficient steel reuse within the different project phases. Additionally, a computational tool facilitates the integration of reclaimed steel through a digital inventory and matching algorithm. The matching algorithm enables the retrieval of stock information from a digital inventory. A matching algorithm is implemented to compare the list of design elements needed for a project with the available stock list. This tool efficiently identifies possible substitutions, enabling designers and engineers to find suitable reclaimed steel sections for their projects. Lastly, the design workflow and computational tool were successfully tested through a design case study, demonstrating their effectiveness and environmental impact results to allow users to make informed design decisions.
Overall, this thesis project offers valuable insights and practical solutions to advance the implementation of steel reuse in the construction industry, making a significant contribution to the field of sustainable construction.","Steel Reuse; Computational tool; Computational Design; Circular Business Model; Design workflow; Structural Design","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:080d4e37-9b7b-42a3-bee1-9e54ec572e30","http://resolver.tudelft.nl/uuid:080d4e37-9b7b-42a3-bee1-9e54ec572e30","Pin model as a design tool: Affordable upscaling of pin-type models for physical mass analysis of urban, rural, and complex building sites","Spijksma, Cedric (TU Delft Architecture and the Built Environment)","Așut, Serdar (mentor); Bilow, M. (mentor); Delft University of Technology (degree granting institution)","2023","Architects and urban planners still rely on physical models in the design process, particularly for urban contexts. However, developing such models can be time-consuming and resource-intensive, despite the abundance of digital information available. This study investigates the feasibility of using pin-type models, specifically in the concept and design phase of mass modelling and analysis for urban, rural, and complex building sites. The research uses a combination of literature review, design-based research, and interviews, leading to the discovery of a promising solution. Existing pin-type models in the market or universities either come at a high cost or lack the necessary resolution to generate detailed urban models. However, this study identifies a method that significantly reduces costs while achieving higher pin resolution, although with some compromises in generation time and holding power compared to current models. The innovative rubber layer, also known as the state layer, plays a crucial role in the machine's advancements. This layer enables the machine to keep pins in place at a lower cost but with lower holding power. Additionally, leveraging technology from the 3D printing and CNC manufacturing domains, the machine incorporates multiple individually moving motors that can rapidly set up to 40 pins in one motion, reaching speeds of up to 1200 pins per minute. Making it possible to generate large pin sets in less then an hour, meaning it would be faster than traditional methods like 3D printing or manual foam cutting. Furthermore, the research emphasizes the central role of code in this study. Integrating all the necessary steps into a single code can generate an urban area within minutes. The program facilitates a user-friendly interface for selecting locations, automatically downloading height maps from the AHN (Actueel Hoogtebestand Nederland), converting the data into a digital model compatible with the machine, and exporting it as a G-code file. The machine and code also support displaying additional digital information, such as 3D models and images. Combining the improved machine and optimized code demonstrates the potential to create physical urban context models from digital data at a relatively low cost compared to other devices. With working times of minutes instead of hours or even days, compared to traditional model-making methods.
Although this research shows considerable potential, there are several challenges that demand attention and additional investigation. The ultimate determination of whether the final product will require a $10,000 or $20,000 investment hinges upon the availability and cost of visible pins. Moreover, the current conversion of rotation to Bowden cables is suboptimal, and further enhancements are necessary. Additionally, the code could benefit from optimization to ensure compatibility with slower computers, thereby improving its speed and efficiency. Furthermore, the code should be extended to enhance its compatibility with 3D models, and ideally, with BIM models as well. It is essential that the code incorporates a function enabling the combination and selection of data, facilitating the movement of only the necessary sections of the machine. With this research, a solid foundation has been established for further expansion and exploration in this field. The successful development of a working machine code paves the way for practical testing and implementation. Although there are some mentioned additions that could enhance the research, the limitations of time prevented their full exploration in this study. Nonetheless, this research opens up exciting possibilities for future advancements and applications in the field.","Pin-type machine; design tool; Urban; Rural; Mass study","en","master thesis","","","","","","","","2023-08-06","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:1d96a55c-736c-42e1-9a1c-27df978076ee","http://resolver.tudelft.nl/uuid:1d96a55c-736c-42e1-9a1c-27df978076ee","Breaking Ground with Bamboo: Robotic Additive Manufacturing of a Self Supporting Wall with Bamboo","Wong, Jasmine (TU Delft Architecture and the Built Environment)","Așut, Serdar (mentor); Brancart, S. (mentor); Delft University of Technology (degree granting institution)","2023","The construction industry and the existing building stock are significant contributors to greenhouse gas emissions. To address this environmental challenge, there is a growing interest in using bio-based materials, such as wood, in architecture. Yet, the availability of wood resources is limited.
Bamboo, a non-wood species, holds promise as a potential substitute due to its rapid growth rate. However, its adoption in the construction industry remains limited due to the challenges posed by its hollow tube anatomy and the lack of established building codes for its use.
To overcome these challenges, this study proposes the utilization of bamboo in a powdered and fiber form to create a more versatile and standardized building material.
Additive manufacturing techniques, which have seen significant advancements in the past three decades, have also made their way into the construction sector, traditionally slower in adopting innovations. These additive manufacturing technologies offer the potential to reduce labor costs, minimize material waste, and enable the fabrication of complex geometries that are challenging to achieve using conventional construction methods.
While 3D printing technologies for concrete and steel structures have made significant progress, the research and application of additive manufacturing with bamboo for construction purposes still lag behind.
The thesis aims to address this research gap by developing a building component made with bamboo using additive manufacturing technology. By leveraging the benefits of additive manufacturing and utilizing bamboo as a renewable and versatile material, this thesis seeks to promote sustainable practices in the field of architecture.","Additive Manufacturing; Bamboo; bio-based material; 3D printing; building component; Bamboo dust; Bamboo fibers","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:c3436d86-c7d7-48c2-833a-d2fad07fabe5","http://resolver.tudelft.nl/uuid:c3436d86-c7d7-48c2-833a-d2fad07fabe5","Discrete Automation: Robotic Construction Workflow for Reconfigurable Timber Housing","de Paula, A. (TU Delft Architecture and the Built Environment)","Așut, Serdar (mentor); Brancart, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","The construction industry’s impact on carbon emissions, pollution, and resource depletion necessitates innovative approaches to reduce environmental harm. This research explores the use of computational design, digital fabrication, and timber as a renewable material to mitigate the construction industry’s environmental impact. Timber is recognized as a low-carbon solution for affordable housing, offering a means to decrease emissions in building construction.
This study presents an innovative automated construction workflow that involves human-robot collaboration (HRC) for a discretized timber construction system. To demonstrate the capabilities of the system, a housing design is developed for a specific location in Rotterdam. The research considers the site context as a guideline to establish boundary conditions for implementing the developed construction system. It addresses the issue of affordable housing, transcending the chosen site context, as it is a global concern. The design incorporates circularity principles, including modularity, design-for-disassembly, design-for-reuse, reconfigurability, and extension of material lifespan. A combinatorial design workflow is proposed, focusing on the assembly of generic discrete elements into function-based aggregated structures that can be rearranged over time.
In order to prove the concept, an HRC assembly prototype is established to mount the discretized aggregation structure, utilizing demountable connections to join the elements while asking the human participation. This approach enables the reassembly of the structure multiple times, promoting material reuse and extending the structure’s potential.
The research contributes to the advancement of the circular agenda in the building industry by implementing essential digital design and manufacturing concepts into an automated construction process. By extending the material life cycle and carbon store, the proposed workflow demonstrates the potential for sustainable and efficient construction practices in the timber housing sector.","Robotic Construction Workflow; Robotic Assembly; Human-Robot Collaboration; Timber Construction; Discrete Architecture; Discrete Aggregation; Combinatorial Design; Reconfigurability; Circularity; Design-for-disassembly; Design-for-Reuse; Circular Housing; Mass Customization","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","","51.90550000, 4.49835833"
"uuid:594c07eb-8adc-4794-b517-e8c4da362b3d","http://resolver.tudelft.nl/uuid:594c07eb-8adc-4794-b517-e8c4da362b3d","Processing and Characterization of Novel low bandgap Ge Alloys for PV Applications: Path towards a new generation of high-efficiency PV devices","Sharma, Devansh (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smets, A.H.M. (mentor); Perez Rodriguez, P. (graduation committee); Padmakumar, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Low-cost multijunction photovoltaic devices are the next step in the solar energy revolution. Adding a bottom junction with a low bandgap energy material through plasma-enhanced chemical vapour deposition (PECVD) processing could provide a low-cost boost in conversion efficiency. A logical candidate for this low-bandgap material is germanium. A showerhead configuration instead of a direct PECVD reactor is investigated to better control reaction mechanics. In this work, hydrogenated amorphous germanium (a-Ge:H) films processed with a wide range of deposition pressures, powers, temperatures and GeH4 dilution in hydrogen are characterized using elemental analysis, vibrational analysis and analysis of the optoelectrical properties. We have identified a small processing window in which intrinsic a-Ge:H films are processed reproducibly. Two types of degradation were also studied: light-induced and oxidation in air. The lowest E04 achieved for intrinsic films was 0.8 eV with an activation energy of 0.39 eV which lies at the centre of the bandgap. The degradation experiments showed no sign of oxygen signature from EDX measurements performed after two months and FTIR measurements performed after two weeks. Light-induced degradation (LID) was performed by exposing the samples to over 80 hours; photoconductivity did not degrade below the initial value for the duration of the exposure. We believe that the showerhead configuration has increased the surface mobility of Ge-radicals during deposition, allowing them to reach sites in the layer that would otherwise be void.","germanium; intrinsic; showerhead PECVD; amorphous; Low bandgap","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:d2983e94-c0ec-4033-bb1e-75fe0a4d5374","http://resolver.tudelft.nl/uuid:d2983e94-c0ec-4033-bb1e-75fe0a4d5374","Woningbouw voor starters in landelijke gebieden","van der Eijk, Anna (TU Delft Architecture and the Built Environment)","Korthals Altes, W.K. (mentor); van Bortel, G.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Starters van nu kunnen als de verloren generatie op de woningmarkt worden beschouwd (Boelhouwer & Schiffer, 2019). Diverse beleidsmaatregelen voor het verkrijgen van een hypotheek, hoge studieleningen, een vaak te korte inschrijftijd voor een sociale huurwoning en de concurrentie met particuliere beleggers zorgen ervoor dat deze groep tussen wal en schip valt. Daarnaast is er een trend gaande waarin men uit de randstad naar intermediaire zones en perifere gebieden vertrekt. De verhuisbewegingen naar deze gebieden hebben ervoor gezorgd dat de prijzen van de woningen bijvoorbeeld in het noorden en oosten van Nederland tot wel 20% zijn gestegen. Veel van de starters die in dorpen en landelijke gebieden zijn opgegroeid hebben de voorkeur om in een vergelijkbare woonomgeving te gaan wonen, terwijl het vinden van een geschikte woning ook in de landelijke gebieden lastig lijkt te zijn. In deze scriptie is onderzocht in hoeverre het lukt in de casus gemeenten om woningbouw(initiatieven) voor starters te realiseren. Hierbij is onderzoek gedaan naar de woonwensen en verhuiswensen van starters, welk beleid provincies en gemeenten hebben op het gebied van woningbouw voor starters en hoeveel woningen er worden gerealiseerd en hoeveel woningen er in voorbereiding zijn voor starters. Vervolgens is onderzocht of de wensen van de starters overeenkomen met het aanbod van het aantal voor starters bedoelde woningen in de gemeenten. Tot slot is onderzocht hoe het verschil in vraag en aanbod te verklaren is en hoe dit kan worden aangepakt.","","nl","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:8e05db44-6024-430c-8443-b0bf90464eef","http://resolver.tudelft.nl/uuid:8e05db44-6024-430c-8443-b0bf90464eef","Soft-tissue deformation and the potential of skin markers to facilitate accurate navigation in percutaneous renal ablation","Vogels, Marlein (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Biomechanical Engineering)","Dankelman, J. (mentor); Hendriks, B.H.W. (mentor); van den Dobbelsteen, J.J. (graduation committee); Ruijters, Danny (graduation committee); Vuurberg, Ted (graduation committee); Delft University of Technology (degree granting institution)","2023","Purpose: In percutaneous renal ablation (PRA), reaching the target accurately is challenging due to target motion and limited real-time visualization of the target, requiring a suitable navigation strategy. In the context of this thesis research, skin markers are used in combination with an the Azurion C-arm system (Philips Healthcare, Best, The Netherlands), an image-guided therapy system, equipped with ClarifEye (Philips Healthcare, Best, The Netherlands), an Augmented Reality (AR) surgical navigation system. The skin markers are tracked by ClarifEye, virtually representing the position of the patient. This thesis aims to explore if skin markers can facilitate sufficiently accurate navigation in PRA by investigating the skin marker motion (SMM) and kidney tumor marker motion (TMM) during needle insertion, considering the insertion speed (IS) and level of perirenal fat (LPF). Methods: An ex-vivo porcine kidney model, with simulated skin, tumors and perirenal fat was developed and validated. A skin marker model was constructed on the model. Consequently, 60 robotic-assisted needle insertions were planned with ClarifEye (Philips Healthcare, Best, The Netherlands) navigation and performed on 8 kidney models (4 with low LPF (LLPF), 4 with high LPF (HLPF)), targeting 20 tumors at varying ISs: continuous insertions of 2.5 mm/s, 75 mm/s and consecutive 5 mm increments of 10 mm/s. Cone beam computed tomography (CBCT)-scans were made before and after insertion to analyze SMM and TMM. Results: The SMM (overall mean SMM = 0.16 mm, standard deviation (SD) = 0.14 mm) was smaller than the voxel-size (1D) of dViewX (dicom-viewer software, Philips Healthcare, Best, The Netherlands) (voxel-size 1D = 0.2425 mm), regardless of the direction of the SMM and regardless of the IS and LPF. The TMM values in the z-direction were predominantly negative. The IS and LPF did not impact the amount and direction of TMM.
Conclusion: Any local skin deformation caused by needle insertion resulted in negligible SMM. Although the skin markers do not account for the TMM caused by needle insertions, the median TMM values (1.2-1.9 mm) are still smaller than the minimally required clinical insertion accuracy of 3-5 mm. The acceptable TMM values, in combination with the negligible SMM values, imply that skin markers can be used to facilitate navigation in PRA.","Ablation; Fiducial markers; Interventional oncology; Navigation; Optical tracking; Renal cell carcinoma; Skin marker","en","master thesis","","","","","","","","2025-06-16","","","","Biomedical Engineering","",""
"uuid:38226a98-a67d-4fba-8469-b427f0db1d07","http://resolver.tudelft.nl/uuid:38226a98-a67d-4fba-8469-b427f0db1d07","Graph neural networks to learn meshfree snow simulations","van Linn, Joseph (TU Delft Mechanical, Maritime and Materials Engineering)","Sluiter, M.H.F. (mentor); Bessa, M.A. (mentor); Delft University of Technology (degree granting institution)","2023","Snow is a natural hazard to human life and infrastructure. This motivates current research efforts to understand the granular material. The material point method models snow as a continuum. Application length scales range from the microstructural level to full scale avalanches. This conventional numerical method relies on solely spatially local information to make local updates. The recent graph neural network machine learning model is shown to include both local and global information in making local updates. This model’s promising attribute motivates its use to replace the conventional snow simulation method. However, it is uncertain if current graph neural network applications to learn physical simulations truly learn the underlying physics. This work is inspired by the finite element community's patch-test proposed in the 1960s. This insight is used to reimagine the means a graph neural network model is evaluated. Through this novel evaluation choice, may the model be investigated on the core properties of numerical methods. Further, a state-of-the-art graph neural network model is improved to utilize unnormalized features and targets in making stable predictions. Future research recommends these machine learning models in this application make architecture design choices such that the core properties of conventional numerical methods are met.","graph neural network; snow science; material point method","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:7eccd7e3-6a66-4dc0-9801-564cdbe508e1","http://resolver.tudelft.nl/uuid:7eccd7e3-6a66-4dc0-9801-564cdbe508e1","Integrated vertiport design and flight scheduling model for a future air taxi service in an urban area","Hermans, Thomas (TU Delft Aerospace Engineering)","Bombelli, A. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Aerospace Engineering","",""
"uuid:0ba7cdea-42f9-42dc-b027-68af213cde77","http://resolver.tudelft.nl/uuid:0ba7cdea-42f9-42dc-b027-68af213cde77","Paving the Way towards Mass Diffusion of Quantum Processors","Feirabend, Nienke (TU Delft Technology, Policy and Management)","Hartmann, L. (mentor); Ortt, J.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","","quantum processor; Quantum Computing; mass diffusion","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:05fc8f08-e567-4485-ab0c-f91c4dbc6a2d","http://resolver.tudelft.nl/uuid:05fc8f08-e567-4485-ab0c-f91c4dbc6a2d","New European Parliament: The Physical in the Age of the Digital","Cosma, Tudor (TU Delft Architecture and the Built Environment)","Caso, O. (mentor); van den Ban, R.R. (graduation committee); van Zalingen, J.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","As our society has entered the age of the digital, several problems have risen from the unprecedented amount of information circulation. While indeed the benefits of this instant access to information and data cannot be overstated, one cannot ignore problems such as misinformation. With that in mind, comes the problem of how could architecture deal with a society that is ever more dependent on the immaterial world provided by the digital in the context of politics.
This is where the parliament as a building typology factors in. It represents the intersection between political institutions (and by extension the societies they serve) and architecture. As such, the graduation project aims to explore how a parliament would look like in the context of an information society.
In short, the proposed answer of the project is injecting a higher degree of publicness to the parliament typology as stressing the physicality of such a political institution is ever more important. By trying to be more inviting to the public and more hones and transparent in regards to how its institution operates, the architecture of the New European Parliament emphasizes why actively engaging the public is crucial in an information society.","parliament; complex projects; Berlin; graduation; Germany; Europe; political architecture; chamber; atrium; Public","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","","52.535600, 13.463400"
"uuid:33c83193-eef6-4574-a86e-4b8b670855e0","http://resolver.tudelft.nl/uuid:33c83193-eef6-4574-a86e-4b8b670855e0","Fashion Loop: Textile Innovation Lab","Zhong, yuqi (TU Delft Architecture and the Built Environment)","Caso, O. (mentor); Delft University of Technology (degree granting institution)","2023","","innovation lab; university; fashion; textile lab","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","Complex projects","52.5200, 13.4050"
"uuid:d5994c12-34da-4e7b-a230-b5baf45010a9","http://resolver.tudelft.nl/uuid:d5994c12-34da-4e7b-a230-b5baf45010a9","Living walls: A strategy to limit maintenance","Hassanzadeh, Aria (TU Delft Architecture and the Built Environment)","Luna-Navarro, Alessandra (mentor); Ottele, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research is about the problems related to the maintenance of living wall systems. The literature review and interviews with living wall manufacturers have shown that the irrigation system is the source of many problems. The irrigation system is an essential part of a living wall system. This ensures that the plants get water and are provided with sufficient nutrients. Nowadays there are advanced irrigation systems where you can set exactly how much water is supplied and what the total water use is. However, it has been found that this does not mean that the water actually reaches every plant. Which can lead to plants getting too much or too little water. In both cases this has a negative effect on the health of the plant and can lead to maintenance of the living wall. The problem lies in the method of assessing whether the water content and water distribution of the living wall is in order. At the moment there are no reliable assessment methods for this and these inspections are based on observations. For this purpose, an answer is given to the main question ""What is the best strategy for monitoring the water distribution of the irrigation system on a living wall system that ultimately leads to more effective maintenance?"". To answer this question, various monitoring methods have been tested. For the monitoring methods, an NDVI camera is used to measure plant quality. Furthermore, moisture sensors and a thermal camera are used to measure the water distribution and water content. In addition to this, the run-off water is measured. The results show that the reliability of the results strongly depends on various factors such as the presence of plants and climatic factors. This report describes the correct way to carry out these methods in order to obtain reliable results. A key factor here is that the monitoring methods must be applied together so that they compensate for each other's limitation.","Living walls; Maintenance; Water management","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:07ece095-ab24-4b55-a737-57d710dd7b72","http://resolver.tudelft.nl/uuid:07ece095-ab24-4b55-a737-57d710dd7b72","Europe Central Station: Eurasia by train","Peters, Luuk (TU Delft Architecture and the Built Environment)","Caso, O. (mentor); van den Ban, R.R. (mentor); van Zalingen, J.M. (mentor); Delft University of Technology (degree granting institution)","2023","Europe Central Station is a new kind of station in the global railway network, airming to replace air travel with a more environmentally sustainable option. This thesis conducted research on the essential requirements for designing an intercontinental train station, anticipating a future where train travel becomes the standard mode of transportation. As a result, new programs such as border security measures and well-designed arrival and departure halls were implemented. In addition, it illustrates how such a large train station can be strategically positioned within the city of Berlin, serving as a catalyst for future urban development. It also shows how such a large train station can be designed, providing an efficient, safe, and comfortable travel experience for the passengers.","Intercontinental Train Station; Berlin; European Union; Complex Projects","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","Complex Projects Graduation Studio: Bodies and Building, Berlin","52.491225, 13.502987"
"uuid:699b920b-e20d-4359-8120-7185036610ed","http://resolver.tudelft.nl/uuid:699b920b-e20d-4359-8120-7185036610ed","Urban Nature in Daily Doses: Restorative design strategies for improved personal and ecological well-being in Berlin","Bechmann, Clarissa (TU Delft Architecture and the Built Environment)","Rooij, R.M. (mentor); Tillie, Nico (mentor); Delft University of Technology (degree granting institution)","2023","The graduation project within the field of Urbanism investigates the impact of urban environments on personal and ecological well-being, with a focus on the decreasing quality of life in cities due to the diminishing urban green spaces resulting from growing urbanisation and densification. Moreover, the project highlights the importance of natural environments in mitigating daily stresses and the consequences of climate change. Therefore, preserving and enhancing urban nature at all scales is vital.
As part of the study, the pattern language “Restoration with Urban Nature” was developed to create design principles for improving personal and ecological well-being. The pattern language is organized into six categories that provide overarching, general patterns as well as suggestions related to activity, environment, senses, and implementation. Additionally, it contains a few “bonus patterns”.
Resulting from the pattern language, design principles are applied to the design location Moabit West in Berlin and then transferred to two other areas in Berlin: Helmholtzkiez and Flughafenkiez. A co-creation workshop with stakeholders from the first design location was also held to test the pattern language and ensure a participatory design process. Furthermore, the project utilises ecosystem services and parameters of restoration to evaluate the effectiveness of the design principles.
The results of the study provide insights into how urban design can improve personal and ecological well-being in urban areas by creating restorative environments with a focus on urban nature. Thus, an integrated approach that considers the various needs of humans and natural systems is needed to improve restoration and the provision of ecosystem services in Berlin and other urban contexts.
As personal and ecological well-being are strongly related, social-ecological urbanism holds high potential for cities. Consequently, the thesis contributes to the development of sustainable urban design strategies that prioritise the preservation and enhancement of urban nature for the benefit of both residents and the environment.
The findings are relevant for urban planners, designers, and policymakers who seek to create health-promoting and climate-adaptive urban environments. In order to implement the developed principles, raised awareness of the urgency of the topic is needed among all stakeholders. Furthermore, interdisciplinary cooperation fosters the successful development of restorative environments.
Finally, with its versatile green structure, the city of Berlin holds high potential for providing restoration and ecosystem services. The challenge lies in discovering and valuing those potentials.
Literature finds potential within emergent natural fibre-reinforced polymers that fit the bill. As the pairing of Flax fibres and PLA constituents emerges as the best scientific fit, commercial façade products with natural fibre-reinforced polymers do not exist yet.
The review identified a significant research gap in fibrous biocomposites; despite existing research on the economic composite sheet-forming techniques, complex structures using developable surfaces on fibrous composite materials are yet to be reported. This study rethinks conventional cladding systems, connects the research gap to the built environment's quest, and questions biocomposites' viability as sheet materials for façade applications.
The methodology involved empirical inquiries at every level in developing a fibre-reinforced biocomposite with the geometric capabilities required of conventional façade material standards. The system design led to a 100% biobased laminate material – a Flax-PLA biocomposite – capable of adapting to developable surface geometries.
A systematic approach was developed using sheet-forming concepts to evaluate the ability of the biocomposite to be reshaped without compromising its structural integrity. Positioning the research with circular R-strategies, this study documents the pioneering attempt for continuous natural-fibre composites, demonstrating developability as an intrinsic material property never proved.
Key findings upon an extensive testing program reveal that the biocomposite retains its original strength and durability even after reshaping, demonstrating its potential for a circular loop. A lifecycle impact assessment and comparative analysis benchmarked the material with virgin aluminium sheet metal, showing promising carbon equivalent savings using the Flax-PLA panels. The biobased panels present significantly lower overall implications, even considering their current shorter service life, which can extend soon.
The findings demonstrate the feasibility of Flax-PLA composites as a circular and biobased alternative to conventional cladding materials. Forming and reshaping these panels into flat sheets without distortion allows for reusability and repurposing, retaining their embodied energy across multiple life stages. This paper proved developability with a scalable strategy as a catalyst for future research on biobased materials and to strengthen their presence in the built environment.","Natural Fibre Reinforced Composites; Woven Flax; Polylactic Acid; Facade; Bio-based; Biocomposites; Developable Surfaces","en","master thesis","","","","","","","","2024-07-03","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:1d900c64-0a38-4d01-8683-2b7480b5565b","http://resolver.tudelft.nl/uuid:1d900c64-0a38-4d01-8683-2b7480b5565b","Comparative analysis of Grid forming and Grid following controls for Type-3 and Type-4 Wind Turbines","Singh, Utkarsh (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lekic, A. (mentor); Popov, M. (graduation committee); Singh, Ravi (graduation committee); Delft University of Technology (degree granting institution)","2023","With an accelerated inclusion of renewable energy generators in the power system, the inertia support traditionally provided by conventionally powered synchronous generators is lagging, and power system stability is reducing. This grid-supporting behavior will now have to be provided by the power converters of renewable energy sources with the help of power electronics. Grid forming control refers to the idea of the converter’s ability to set its frequency and voltage by means of emulating similar characteristics as that of conventional synchronous generators. Most of the protection and control studies on grid forming control for wind turbines have been done using a simple power system model containing the generator and an infinite AC bus and very less insight is available for grid forming generators in a realistic power system. This study aimed to apply the grid forming control strategy on DFIG (Type-3) and full converter wind turbines (Type-4). For this, the MIGRATE benchmark models in RSCAD/RTDS were used for the comparison of the key power indicators for both grid forming and grid following controlled modes. This was followed by the development and validation of the grid forming controller as a black-box model using IntervalZero and RTDS software in the loop setup (SIL).","grid forming; control algorithm; Power Electrical Systems; Wind Turbine; black box","en","master thesis","","","","","","","","","","","","Electrical Engineering | Sustainable Energy Technology","",""
"uuid:c9ad2533-104f-49ba-9906-6293e399349b","http://resolver.tudelft.nl/uuid:c9ad2533-104f-49ba-9906-6293e399349b","Classification of micro-Doppler signatures with the use of orthogonal moment-based features","van Nifterik, Bob (TU Delft Electrical Engineering, Mathematics and Computer Science)","Fioranelli, F. (mentor); Delft University of Technology (degree granting institution)","2023","Radar micro-Doppler signatures are powerful indicators of target movements and activities, enabling the extraction of valuable information about various objects' internal and external dynamics. Consequently, classifying these signatures has become crucial in numerous applications, ranging from target recognition in surveillance, to biomedical sensing and interaction with smart sensors.
In this thesis, an evaluation of classification performances for a wide variety of orthogonal moments, when applied to micro-Doppler classification problems, is presented. A pipeline is proposed to evaluate all moments commonly used in image processing, but not routinely employed in radar-based classification.
The evaluation results are compared with other state-of-the-art classification approaches, such as using micro-Doppler signatures directly as the input of Convolutional Neural Networks. The influence of noise in the data on the classification performance is also shown.
The classification results demonstrate the different moments' capabilities with a variety of publicly available datasets containing human micro-Doppler signatures, resulting in a very well performing classification pipeline for this type of classification problem, and novel insights into the potential of these moments for radar classification problems.","Micro-Doppler; Orthogonal moments; Classification","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:e70503f3-fe5a-43da-8a86-6f928c731212","http://resolver.tudelft.nl/uuid:e70503f3-fe5a-43da-8a86-6f928c731212","Revitalising Heritage--Christus Triumfatorkerk: A Dutch Postwar Reclamation","Liu, RayLin (TU Delft Architecture and the Built Environment)","de Ridder, A.C. (mentor); Mulder, K.B. (mentor); Nevzgodin, I. (mentor); van Deudekom, A.B.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","National Monument Christus Triumfator church in Bezuidenhout, the Hague was designed by the team D.S.B.V. under Geert Drexhage in 1959-1962, however, among three churches under Drexhage's, only Christus Triumfator church survives in the secularization and shrinking religious community in the Netherlands. The design of Christus Triumfator church reflects a time of Dutch postwar modern architectural profession shifting into a capitalized environment, confrontation between new theories and old tradition, group standardized design for mass clients, and cooperations of professional consultants. The research aims to point out the linkages between Christus Triumfator church and its postwar modern architectural theories and traditions background for a redesign proposal.
For a contemporary redesign and intervention to respond to that from the 1960s, one of the subjects is to treat carefully in the sequence, space order, and materiality in the Christus Triumfator church by understanding the facts and meanings behind, and another one is to react to the mass production pattern matured in the era. A new zero-waste design challenge might be to set away from the producing loop, reduce and revital the form to that just meet the need, and reclaim from the past production in a tengible or intangible way that triggers reflections of the past and the future.","religious architecture; Zero waste design; postwar modern architecture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","","52.08436695861481, 4.338936350426256"
"uuid:7f6f7295-927b-4fc8-86a9-c7596bcbd176","http://resolver.tudelft.nl/uuid:7f6f7295-927b-4fc8-86a9-c7596bcbd176","Design and Analysis on the friction characteristics of a 3D printed mechanical synovial joint: Exploring the parameters of a novel 10DoF synovial finger joint","van Delft, Youri (TU Delft Mechanical, Maritime and Materials Engineering)","Smit, G. (mentor); Delft University of Technology (degree granting institution)","2023","Background: Through technological development, a variety of mechanical finger joints have been produced. No current design takes inspiration from nature enough to develop a synovial inspired single finger joint. Due to this the potential performance is unknown, while natural joints out perform mechanical finger joints with respects to the coefficient of friction.
Goal & Research question: The goal is to construct a novel 3D printed 1-DOF mechanical synovial proximal interphalangeal(PIP) joint to explore the parameters of influence. In the exploration of these parameters, the following research question is formulated: To what extend does each of the following variables effect the coefficient of friction, the joint stiffness and hysteresis of a synovial joint? In which the material selection, contact surface roughness, capsule influence and fluid selection is considered.
Methods: Design requirements and performance criteria were formulated to guide the design process, from which the final design was generated. The design was measured with an optical profilometer to determine the surface quality and the performance evaluated with a custom build friction test bench.
Results: Through the process of this paper, a novel 1-DOF mechanical synovial joint was designed with a range of motion of 100 degree, along with a proof of concept design with 100 degrees in flexion and 8 degree in hyperextension. The synovial joint was successfully tested to access the performance and evaluate to which extend each of the parameters stated in the research question effected the performance.
Conclusion: The joint was successfully produced and allowed for evaluation of the desired parameters. Overall, the contact surface material and the contact surface roughness are the main contributors to the performance of the joint. The capsule has mixed influence while the lubrication shows some improvement with coated low roughness samples over the dry friction conditions. For all other cases the dry sliding baseline joints outperform the encapsulated lubricated joints.","Synovial joint; coefficient of friction; mechanical finger joint; artificial joint","en","master thesis","","","","","","","","2025-07-03","","","","Mechanical Engineering | BioMechanical Design","",""
"uuid:edaa00b1-8062-4923-a8cb-84bdad9ab309","http://resolver.tudelft.nl/uuid:edaa00b1-8062-4923-a8cb-84bdad9ab309","Social feasibility of solution directions for sea level rise","van Avendonk, Anne (TU Delft Technology, Policy and Management)","Gammon, A.R. (mentor); Annema, J.A. (mentor); van Wee, G.P. (graduation committee); Delft University of Technology (degree granting institution)","2023","The flood protection system in the Dutch Delta has to be adapted to accommodate the rising sea levels. When creating potential solutions, it is important to assess their social feasibility. An interesting aspect is the potential difference in opinion between different generations as there is an uneven distribution of the responsibility and impacts of sea level rise amongst generations. This led to the following research question: “What is the social feasibility of the solution directions for sea level rise amongst different generations in the municipality of Rotterdam?”. The solution directions are: protect, seaward, move along, and a combination of these.
This was researched by an explorative, qualitative research design. First, social feasibility was conceptualised through adapting the framework of Feitelson and Salomon. Secondly, the social feasibility amongst citizens of two age categories (16-30 and 60+) was assessed by interviewing them. After analysing the interviews, the conceptual framework was adapted to better fit the results. Finally, the academic and social implications of the findings were assessed.
The findings indicate that all discussed solutions are socially feasible. Of the three solution directions, most interviewees preferred the protect strategy, followed by seaward and move along. However, almost all interviewees preferred the combination of the solution directions. Even though each solution is thus socially feasible, there is an important precondition for a solution to sea level rise; namely, that there is a clear plan for how the new situation is going to look including the technical, financial, environmental and otherwise important aspects.
Regarding generational differences, the 60+ group on average dislikes the move along direction, whereas this is relatively popular in the 16-30 year group. Furthermore, interviewees in the 16-30 group graded all solutions relatively close together, whilst the 60+ group allocated varying grades. No difference in arguments was found and it is thus likely that citizens held different weights to different aspects.
The final conceptual framework shows that social feasibility is directly influenced by the perception of climate change and sea level rise, the perceived effectiveness of measures, and the perceived distribution of benefits and costs (nature, financial aspects, availability of land, maintainability, construction time, hinder to industry, novelty of solution, need for migration, (inter)national cooperation, ethical aspects, and positive possibilities). These are indirectly influenced by previous knowledge and demographic factors of citizens; the suggested mitigation measure and how this is communicated; and interest groups that spread information about potential solutions.","Sea level rise; Social feasibility; Solution directions; Generational Diversity; Mitigation measures","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:1b20593e-a104-432d-9651-881397e08d6f","http://resolver.tudelft.nl/uuid:1b20593e-a104-432d-9651-881397e08d6f","Flight Dynamics Simulation for the Preliminary Design of a Lift + Cruise eVTOL aircraft","L'Ortije, Jasper (TU Delft Aerospace Engineering)","Pavel, M.D. (mentor); Delft University of Technology (degree granting institution)","2023","With a booming eVTOL market, many new companies will design their own vision of the future of urban transport. Therefore, a MATLAB flight dynamics model is needed to accurately design a Lift + Cruise Aircraft in the preliminary design phase. The MATLAB program is based on the EVE V3 aircraft by Embraer. The model successfully simulates an input flightpath and is highly customizable, providing preliminary design engineers with flexibility to modify and enhance the eVTOL aircraft. The program optimizes the input aircraft, accommodating up to 12 upward facing engines, 6 forward engines, 2 wings, and 2 stabilizers. The simulation-based approach reduces the need for physical prototyping and testing, offering a cost-effective tool for early-stage eVTOL aircraft development. Overall, this flight dynamics simulation proves instrumental in the preliminary design of Lift + Cruise eVTOL aircraft, providing engineers and designers with an indispensable asset for developing efficient, safe, and high-performance eVTOL technology.","Lift + Cruise; eVTOL; Flight Dynamics; Preliminary Design","en","master thesis","","","","","","","","2024-06-13","","","","Aerospace Engineering","",""
"uuid:689c4858-9a80-4e46-87b8-d93811aa5c34","http://resolver.tudelft.nl/uuid:689c4858-9a80-4e46-87b8-d93811aa5c34","Reducing ambition erosion to achieve strategic sustainability ambitions: A research on the realisation of strategic sustainability ambitions in the exploration phase","Veen, Cristel (TU Delft Civil Engineering & Geosciences)","Straub, A. (mentor); Houwing, E.J. (mentor); Delft University of Technology (degree granting institution)","2023","Sustainability has gained global recognition due to the detrimental effects of human activities on the environment, resulting in climate and weather extremes. In the Netherlands, the infrastructure sector is a key focus for policymakers, as it is responsible for a significant portion of the country's carbon dioxide emissions due to Factors such as non-renewable material use, machinery energy consumption, habitat destruction, and infrastructure type have contributed to its environmental impact (CO2 En Milieu, 2020). Dutch government has set ambitious goals to make the construction within the infrastructure sector more sustainable, aiming to reduce primary resource usage by 50\% by 2030 and achieve full circularity by 2030. These ambitions are outlined in regulations and policy, thus, form strategic ambitions (Ministerie van Binnenlandse Zaken en Koninkrijksrelaties, 2022). However, these strategic sustainability ambitions are often not fully realised as ambition erosion occurs (CROW, 2011). This research investigates ambition erosion and safeguarding strategic sustainability ambitions in the infrastructure sector within the construction process of the Ground, Road, Hydraulic Engineering sector, contributing to the broader sustainability transition goals and the realisation of strategic sustainability. A combination of theoretical and empirical research methods, including a literature review, semi-structured interviews with practitioners, and a case study based on an approach by Duurzaam GWW, were employed to understand the barriers and challenges associated with sustainability in the sector. The findings highlight the importance of clear and comprehensive definitions of sustainability that incorporate the three pillars of people, planet, and profit. Successful realisation of ambitions requires a clear continuation from strategic to tactical and operational levels within the exploration phase focusing on defining, establishing, specifying, and validating them. The research identifies 28 barriers categorized into capacity building, motivational, collaboration, and process-related themes. A comprehensive framework is proposed to address these barriers and reduce ambition erosion. The framework includes actions, conditions, steps, and instruments to mitigate barriers, enhance collaboration among stakeholders, and ensure a shared understanding of sustainability. The redesigned process focuses on defining, establishing, specifying, and validating strategic ambitions, with additional tools and methods incorporated for effective realisation. The proposed framework improves upon the existing approach by providing extra steps and conditions, identifying necessary actors and roles, and emphasizing collaboration and specific functions required to realize strategic sustainability ambitions. The enhancements contribute to a more effective realisation of sustainability goals at the project-specific level. This research provides valuable insights into reducing ambition erosion and safeguarding strategic sustainability ambitions in the infrastructure sector, contributing to the broader sustainability transition goals.
In this research, the implementation of circular economy approaches to address critical raw material demand in electric aviation is studied. According to the developed models, the material demand is negligible in comparison to other industries until 2050. However, as the electric aircraft technologies are still in development, there is a lot of uncertainty around the demand.
Beyond 2050, components will start reaching their end-of-life stage. In this case, a circular strategy considered feasible for electric motors is remanufacturing. After the Rare Earth Element magnets in the motors become obsolete, they can be recycled to recover the critical raw materials. Both hydrogen decrepitation and a combination of hydro- and pyrometallurgical processes can be used to regain materials for magnets in aviation or other applications.
Although circular economy strategies will not be able to significantly reduce the primary material demand in electric aviation by 2050, these can still lower the environmental impacts from production. Additionally, well-established circular practices could address the material demand more substantially in the future, after 2050, if electric technologies are more widely adopted then.
In some cases, geothermal energy is applied using a district heating network. A district heating network is an example of a system that provides heating and/or cooling capacities to a group of buildings [65]. A district heating network is a network of pipelines that transport the hot water from the geothermal well to the buildings in the district. A geothermal well in combination with a district heating network is developed in Delft [27]. The district heating network will deliver energy to the TU Delft campus, two neighborhoods in Delft and industry at the Schieweg in Delft [28].
Besides the district heating network in Delft, it is expected that district heating networks will be applied more often to accelerate the energy transition. Yun-Chao and Chen (2012) concluded that most optimization techniques optimize the whole system with its components. Less optimization techniques are applied to the sole components. Besides the fact that most optimization methods optimize the system as a whole, most optimization objectives only include optimizing the cost of the system. Also, effective optimization techniques are required as optimizing large graphs may be computationally time consuming [36]. In literature there are also clear signals that state that the trade-off between thermal comfort, and efficiency with respect to cost has to be tackled [53]. In this research, optimizing district heating networks for cost is compared to optimizing district heating to maximize thermal comfort or efficiency.
In this research two models are developed: a model that calculates the cost of the district heating network, and a model that calculates the thermal losses of the district heating network. Both models are applied to a district heating networks that is developed in a street network. Furthermore, multiple heuristics are applied to come up with better district heating networks. The optimization technique is tested on 100 small, randomly generated district heating networks. After that, the district heating network in Delft is optimized. The differences in cost, efficiency, etc. will be evaluated. Besides, the performances of the district heating networks are evaluated by introducing energy deficits under different conditions.
Optimizing the district heating networks for cost led to a very consistent result: When compared to their individual starting point, the district heating networks became cheaper and more efficient. A moderate-strong correlation is found between the the increase in efficiency and the decrease in cost while optimizing the district heating networks. In contrast to that, the networks that maximize efficiency are much more expensive than their cost optimized alternative, while the increase in efficiency is in most cases moderate. However, there are rare cases where the efficiency is increased much at a moderate increase in cost. This phenomenon is also found in Delft. Given the result that the efficient district heating network also performed much better than the cheapest alternative during energy deficits, in this research it is shown that choosing an objective function has a very large impact on the characteristics of the network. Therefore it is shown that for future district heating network optimization, it is important to trade off cost against efficiency.","District Heating Network; Optimization; Graph theory; Heuristics; street network; Pipeline networks","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:e9b17bd9-8dab-4f48-88f8-5e4a63ae8fd2","http://resolver.tudelft.nl/uuid:e9b17bd9-8dab-4f48-88f8-5e4a63ae8fd2","Coopetition in academia: How competition and cooperation can coexist between academic researchers","Berrevoets, Enya (TU Delft Applied Sciences; TU Delft Science Education and Communication)","Kalmar, E. (mentor); Flipse, S.M. (graduation committee); Rieger, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Academic research is inherently cooperative, with researchers exchanging information through formal publications as well as direct messaging. Academia are, however, becoming increasingly competitive: limited financial resources and research spots, among other things, may now affect how researchers cooperate and interact in general. In the current study, the congruence of cooperative and competitive interactions in academia was investigated. To this end, the existing knowledge on the competition and cooperation amalgamation ""coopetition"", coined for industry relationships, was used. A theoretical framework on coopetition and related theories relavant to academic relationships was constructed. This framework was used to conduct an online survey on academic coopetition among academic microscopy researchers in the Netherlands. The results suggested that competition and cooperation in academia are related yet separate, so that an increase in one does not necessitate a decrease in the other and vice versa. Additionally, the survey responses suggested a discrepancy between the respondents' reported competitive sentiments and those apparent from their other answers. To address this discrepancy, and help academic researchers reflect on what competition and cooperation mean to them, the interactive Guide for Introspection on Competition and Cooperation (GICCo) was developed.","Competition; Cooperation; Coopetition; Academic relationships","en","master thesis","","","","","","","","","","","","Applied Sciences | Science Education and Communication","",""
"uuid:a86d940b-b250-41f9-a343-3a34aeff0597","http://resolver.tudelft.nl/uuid:a86d940b-b250-41f9-a343-3a34aeff0597","Sacred care: The societal role of vacant churches in the elderly housing crisis","van Zantvliet, Marc (TU Delft Architecture and the Built Environment)","de Ridder, A.C. (mentor); Nevzgodin, I. (mentor); Mulder, K.B. (mentor); Delft University of Technology (degree granting institution)","2023","This paper is aimed at investigating the design of care homes for independent elderly people within a former church building. With the growing vacancy rate of churches, the aim of this research is to see if they can complement the housing need present among the elderly. In the Netherlands, a quarter of all religious buildings have already been transformed into a new function at this moment in time, which will only increase over the years3. In the case of elderly housing, this percentage doesn’t go above four per cent of the total transformations4. This article reveals the reasons why care isn’t at this moment of time appealing to host in a former church, as well as the design strategies that can be followed in order to make care functions more attractive to facilitate within a church. In addition, I also believe that the social character of the church can contribute to facilitating care facilities. This is due to the church already having a social role in society which can be complemented by taking care into consideration. Therefore, I would like to explore what we as architects can do with these buildings to provide the elderly with sufficient living space where they can live the rest of their lives and where their mental well-being is stimulated.","","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:20014caa-0086-4d2d-8ac6-0f7bd9e290b1","http://resolver.tudelft.nl/uuid:20014caa-0086-4d2d-8ac6-0f7bd9e290b1","Participatory Churches: A Participation Approach to Preserve the Social Function of Religious Heritage: The Case of St. Dominicus Church Utrecht","Bouma, Johan (TU Delft Architecture and the Built Environment)","de Ridder, A.C. (mentor); Mulder, K.B. (graduation committee); Nevzgodin, I. (graduation committee); Delft University of Technology (degree granting institution)","2023","This paper explores different participation methods that could be applied in redeveloping religious heritage. Due to secularisation, religious buildings are threatened with vacancy. Churches in particular are difficult to redevelop due to their ecclesiastical and social values. Active community involvement assists in overcoming the gap in designer and user interests. In this study, the St. Dominicus church is used as a case study in which a simulated participation workshop with actors is conducted. The initial goal of the simulated workshop was to determine a suitable program for a community centre inside the existing church building. Various methods were employed during the simulated workshop, structured by three participation phases identified by analysing case studies. Individual brainstorming, cognitive mapping, and a consensus design assignment were applied for research inquiry and design input. Two months after the initial workshop, the preliminary design was reviewed through individual semi-structured interviews. The individual brainstorming together with the cognitive mapping proved to be effective in determining general program possibilities, gapping the requirement of communication skills and time. After the review of the preliminary design, all participants expressed a sense of ownership of the design, thus these methods could easily be applied in other cases to boost social belonging and community values. Future research recommends a larger sample group and the mixing of age groups in the consensus design assignment, which could result in more conflicting interests regarding the community centre’s program.","participatory design; participatory methods; religious heritage; brainstorming; cognitive mapping; consensus design; architecture; heritage; church","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","",""
"uuid:bfea2174-a002-403b-8256-5a4fe39955fe","http://resolver.tudelft.nl/uuid:bfea2174-a002-403b-8256-5a4fe39955fe","Public opera: The opera of the people","van Loevezijn, Luca (TU Delft Architecture and the Built Environment)","Caso, O. (mentor); van Zalingen, J.M. (mentor); Delft University of Technology (degree granting institution)","2023","Today, we have a variety of options for listening to music whenever and wherever we choose, from CDs and the radio to downloads and online streaming. But prior to the development of this technology, how did people listen to music? Opera was an artform whereby this was possible. No instrument has the power of the human voice to reach the deepest feelings of the human spirit. It is a way to entertain and move people with vocal sounds, supported by an orchestral. Today the day, opera is a pure artform that’s got a bit in the background because of the wide span of activities that society offers us. Nevertheless, it has a rich history and offers a safe space to take a break from the neverending pressure of expectations we have to deal with every day. It offers us a reflection of who we are, how we relate to others, and what it means, collectively and individually, to be human. This research plan investigates how an opera house in Berlin can be designed in such a way it will produce a boost for the artform and will enhance the future improvement of opera Abstract together with the cultural development of Berlin. The idea of designing a framework for a 21st century opera and polishing the ‘dusty‘ imago of the opera will be the key provider for this research. To get an answer to the research the following research question is set up: ‘’How can architecture help to design an opera house in Berlin to boost the popularity of opera performances in the future?’’ The conceptual framework is based on different theories that support different levels of research. Methods such as fieldwork, literature research, and case studies extend assumptions through a varied Scala of knowledge. The outcome of the research will help with the design choices that need to be taken during the process. Furthermore, it can be used as guidelines for new research around the topic of performing arts, and encourage possible design questions regarding the site, the program, and the client of opera houses.","Opera; people; Foyer","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","",""
"uuid:0ecb7bfc-dd72-4259-89b5-776da634f024","http://resolver.tudelft.nl/uuid:0ecb7bfc-dd72-4259-89b5-776da634f024","EasyCompress: Automated Compression for Deep Learning Models","Van Steenweghen, Abel (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Software Engineering)","Cruz, Luis (mentor); Maranhao, Rui (graduation committee); van Deursen, A. (graduation committee); van Gemert, J.C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Over the past years the size of deep learning models has been growing consistently. This growth has led to significant improvements in performance, but at the expense of increased computational resource demands. Compression techniques can be used to improve the efficiency of deep learning models by shrinking their size and computational needs, while
preserving performance.
This thesis presents EasyCompress, an automated and user-friendly tool to compress deep learning models. The tool improves on existing compression research by focusing on generalizability and practical usability, in three ways. Firstly, it aligns with specific compression objectives and performance requirements, ensuring the compression accomplishes its intended goal effectively. Secondly, it employs flexible compression techniques, so that it is applicable to a diverse set of models without requiring deep model knowledge. Finally, it automates the compression process, eliminating difficult and time-consuming implementation
efforts.
EasyCompress intelligently selects, tailors, and combines various compression techniques to minimize model size, latency, or number of computations while preserving performance. It employs structured pruning to reduce the number of parameters and computations, uses knowledge distillation techniques to ensure better accuracy recovery, and uses quantization to achieve additional compression.
The tool’s effectiveness is evaluated across diverse model architectures and configurations. Experimental results on a range of models and datasets demonstrate its ability to reduce the model size at least 5-fold, inference time by at least 1.5-fold, and the number of computations by at least 3-fold. Most compression rates are even higher, reaching up to 10, 20, and even 100-fold reductions.
The tool is available online at https://thesis.abelvansteenweghen.com.","Deep Learning; Compression; Automation; Green AI","en","master thesis","","","","","","https://thesis.abelvansteenweghen.com Deployed version of the web app. https://github.com/abel-vs/thesis GitHub repository containing the thesis code. https://github.com/abel-vs/thesis-app GitHub repository containing the web app code.","","","","","","Computer Science | Software Technology","",""
"uuid:03334587-9cc3-4ab4-ba75-49a2f44c33d7","http://resolver.tudelft.nl/uuid:03334587-9cc3-4ab4-ba75-49a2f44c33d7","Machine Learning-Assisted Analysis of Energy Consumption Profiles and Efficiency in Uilenstede Campus Buildings: An Analysis of User Energy Profile Patterns and Clustering of Uilenstede Campus Energy Consumption Data","Tung, Yu (TU Delft Architecture and the Built Environment)","Itard, L.C.M. (mentor); Andriotis, C. (mentor); Delft University of Technology (degree granting institution)","2023","The study of energy consumption across various building clusters offers a path to discerning intricate patterns and establishing energy efficiency metrics. However, these analyses have mostly been limited to small, controlled settings, leaving a vast potential for broader application in energy efficiency management and classification untapped. This research leverages machine learning models to determine gas consumption patterns and energy efficiency characteristics of buildings in real-life settings, based on a range of parameters including insulation properties and year of construction. The developed system was applied to a comprehensive dataset comprising eight distinct clusters of buildings, with a total of nearly 10,000 hourly gas consumption. To supplement the analysis, additional data was gathered concerning the building features. The findings indicate that the average gas consumption varies significantly across clusters, with dependencies shown for the age of the building, insulation characteristics, and building orientation The developed framework proved to be suitable for gaining insights into average gas consumption and usage patterns at a building level, non-intrusively and on a large scale. The additional data provided comparative insights between different building groups. The developed system can be easily expanded for other building characteristics and could be used to drive tailored feedback on energy efficiency improvements within buildings. This research paves the way for a more comprehensive approach to building energy efficiency, one that goes beyond the traditional parameters to include a broader set of variables such as building usage, occupant behavior,and heating system efficiency","Building Energy Modelling; Machine learning; Energy consumption; Smart meter data; Consumption profiles; clustering; Time Series Analysis; Time series classification","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:212fc4bb-ba21-4d10-8156-45c5cbe4d31a","http://resolver.tudelft.nl/uuid:212fc4bb-ba21-4d10-8156-45c5cbe4d31a","Integrated Synchromodal Transport Planning and Preference Learning","He, Mingjia (TU Delft Civil Engineering & Geosciences)","Hoogendoorn, S.P. (mentor); Atasoy, B. (mentor); Zhang, Y. (mentor); Krishnakumari, P.K. (mentor); Delft University of Technology (degree granting institution)","2023","A comprehensive understanding of shippers’ preferences can help transport freight forwarders create targeted transport services and enhance long-term business relationships. Nevertheless, limited research examined the benefit of considering shippers’ preferences in the decision-making of synchromodal transport planning and the collection of relevant data is still not straightforward.
This research proposes an innovative framework to learn shippers’ preferences in synchromodal transport operations and optimize transport services accordingly. A preference learning method is developed to capture shippers' preferences through pairwise comparisons of transport plans. In order to model the underlying complex nonlinear relationships and detect heterogeneity in preferences, artificial neural networks are employed to approximate shippers' utility for a specific plan. Based on the learned preference information, a synchromodal transport planning model with shippers’ preferences (STPM-SP) is proposed, with the objectives of minimizing the total transportation cost and maximizing shippers’ satisfaction. An Adaptive Large Neighborhood Search algorithm is developed for solving this optimization problem. This algorithm takes into account the two different objective functions and searches for Pareto solutions to the planning problem.
A case study is conducted based on the European Rhine-Alpine corridor to demonstrate the feasibility and effectiveness of the proposed methodological framework. Basic discrete choice models, binary logit models, are used as benchmarks for preference learning and the synchromodal transport planning model without preferences (STPM) is used as the benchmark for planning. The results show that the proposed preference learning method has better predictive power than the baseline model, achieving higher accuracy and lower variation. With the consideration of shippers’ preferences, STPM-SP can significantly increase shippers' satisfaction with transport services. Scenarios with different types of preferences are tested and results show that the average of maximum improvements in satisfaction reached 37.76%. This research contributes to learning shippers' preferences in the transport operation process and highlights the importance of incorporating these preferences into the decision-making process of synchromodal transport planning.","","en","master thesis","","","","","","","","2025-07-30","","","","Civil Engineering","",""
"uuid:e936cc23-4b01-41de-b7b7-9a92b8a21769","http://resolver.tudelft.nl/uuid:e936cc23-4b01-41de-b7b7-9a92b8a21769","Demand response in a container terminal: A stochastic optimization of the operational planning considering energy consumption","Stoter, Jasper (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Electrical Engineering, Mathematics and Computer Science)","Schulte, F. (mentor); Cvetkovic, M. (mentor); Palensky, P. (graduation committee); Polinder, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Seaport operators are becoming more environmentally conscious and are looking to electrify their terminals to reduce their greenhouse gas emissions. This leads to higher energy-related costs and more congestion on the electricity grid. This thesis investigates the potential of demand response as a viable strategy to reduce energy-related costs. By modifying operational planning, energy consumption could be deferred from peak to off-peak hours, resulting in cost savings. Different potential ways within the terminal to provide demand response are identified. I propose a two-stage stochastic mixed-integer programming model to optimize operations planning, incorporating energy-related costs. Both energy demand and supply uncertainties are accounted for, exploring various scenarios for vessel arrival times and fluctuating electricity prices. The model is decomposed using a progressive hedging algorithm. Operational aspects considered in this model include vessel arrival scheduling, temperature control of refrigerated containers, allocation of handling capacity across quay cranes, yard cranes, and automated guided vehicles, as well as a charging schedule for the automated guided vehicles. A case study of the Altenwerder container terminal in Hamburg was conducted to test the model. Preliminary results suggest potential cost savings in the range of 12.0-13.2 % with a varying electricity prices based on wholesale market rates. Furthermore, it was found that stochastic modeling improved the solutions found of up to 20.6 % compared to a deterministic model. These findings underscore the substantial potential of demand response strategies in the context of container terminal operations","Demand response; Container terminal; Load flexibility; Energy consumption","en","master thesis","","","","","","Double degree in Sustainable Energy Technolgy & Multi-Machine Engineering,","","","","","","Mechanical Engineering | Multi-Machine Engineering","",""
"uuid:d5c93033-e049-4403-835e-691d96a38ab0","http://resolver.tudelft.nl/uuid:d5c93033-e049-4403-835e-691d96a38ab0","Under-Screen Camera Detection","van der Kolk, Niels (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Embedded Systems)","Langendoen, K.G. (graduation committee); Ye, Hanting (graduation committee); Wang, Q. (mentor); Liang, K. (graduation committee); Delft University of Technology (degree granting institution)","2023","Hidden spy cameras are a growing worldwide threat to people’s intimacy and privacy. With the growing interest in full-screen devices and the underlying development of under-screen cameras, a new type of potential security risk is introduced. Recent smartphones such as the ZTE AXON 40 already demonstrate that it’s infeasible to detect the camera with the human eye. There exist several techniques to detect hidden cameras, however most of these techniques are not resilient to the unique deployment scenario of the under-screen camera. A recent optical detection technique, which relies on the retro-reflective effect of hidden cameras, is promising but is also greatly hindered by challenges introduced due to reflections from the screen that is placed in front of the under-screen camera. In this work, these challenges are addressed, by proposing a detection principle that exploits the difference in reflective nature between the USC and the screen. Using reflection detection in a sliding window approach, a detection methodology is given to detect the USC. Furthermore, a detection architecture is designed that incorporates the proposed detection principles using a combination of computer vision, image processing and machine learning techniques. Using an off-the-shelf Time-of-Flight sensor, this architecture is implemented into a detection system and evaluated on its robustness and detection accuracy. Experiments on a dataset of 200 videos with a variety of measurement conditions show that this detection system is capable of achieving a USC detection rate of 71.5% while having a false-positive rate of 21.5%. It also proves excellent results while the screen is displaying content.","Under-Screen Camera; Full-screen Devices; Spy Camera Detection; Time-of-Flight Sensor; Retro Reflectors; Image Processing; Computer Vision","en","master thesis","","","","","","","","","","","","Electrical Engineering | Embedded Systems","",""
"uuid:8fefa31e-636d-48b6-9647-1181859c8300","http://resolver.tudelft.nl/uuid:8fefa31e-636d-48b6-9647-1181859c8300","LuminaCity: a Real-Time Daylight Analysis Tool for Architectural and Urban Development Using Unreal Engine","Meines, Siebren (TU Delft Architecture and the Built Environment)","Rafiee, Azarakhsh (mentor); Brembilla, E. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis presents the development of a real-time daylight analysis tool for architectural and urban development using the Unreal Game Engine. The tool offers architects and urban planners a fast and precise way of analysing outdoor daylight conditions in their designs. The Unreal Game Engine provides real-time visualisation and analysis of daylight conditions, which makes it an effective tool for real-time decision-making during the design process. The study compares the light values and the process of extracting these values in Unreal with the validated light model Radiance used in Honeybee & Ladybug in the visual scripting program Grasshopper for Rhino. The thesis compares the values of Unreal & Honeybee/ladybug based on outdoor illuminance values, calculation time and ease of use. The comparison demonstrates the potential of Unreal as a valuable daylight analysis tool, with measurements showing a Mean Absolute Error of 9.78% between Honeybee and Unreal. In terms of computational time, the Unreal application requires only 0.6ms to execute and recalculate the daylight analysis, whereas the complete Honeybee script took an average of 93 minutes to calculate daylight values, and a new angle calculation took approximately 645 seconds. Furthermore, unlike Honeybee, which is sensitive to the complexity of urban or complex geometries and requires challenging adjustments, the Unreal application effortlessly accommodates complex geometry without the need for extensive modification.
The thesis concludes that the tool provides a robust and efficient method for analysing daylight conditions in architectural and urban design. The tool's ease of use and real-time visualisation capabilities make it an essential addition to the design workflow. Finally, the thesis presents the tool as a proof of concept for a geospatial urban development platform with built-in geospatial analysis. The research has demonstrated the potential of real-time simulation and analysis using the Unreal Game Engine as a powerful tool for architects and urban planners.
The Resilience-based Facade Design Framework assesses the impact of multiple hazards on a building facade, taking into account its fragility. The framework provides a quantitative approach to decision-making regarding facades, both in the early design stage and in retrofitting. Users can input project location, building geometry, and existing facade specifications to create a facade package. This package is then assessed for resilience under different hazards, including seismic and heat hazards. The resilience performance, defined in terms of resilience loss and economic loss, is integrated into a multi-attribute decision-making tool. This tool allows users to select a facade package based on its integrated resilience performance value, or to configure a facade package based on individual enhancements to resilience attributes.","Facade Resilience; Multi-hazard Approach; Quantitative Resilience Assessment,; Resilience-based Design; Multi-attribute Decision Making","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology | Sustainable Design","",""
"uuid:8a314c3d-81ad-45e4-9b78-a00a3dae0a86","http://resolver.tudelft.nl/uuid:8a314c3d-81ad-45e4-9b78-a00a3dae0a86","Correcting Global Elevation Models for Canopy and Infrastructure Using a Residual U-Net","Dong, Haoyang (TU Delft Architecture and the Built Environment)","Pronk, Maarten (mentor); Ledoux, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Digital Surface Models (DSMs) are commonly employed to investigate topographical characteristics and processes; however, the presence of canopy and infrastructure in urban and forested areas can lead to height biases and inaccuracies. In this study, I aim to correct such biases by applying a deep learning approach known as Residual U-Net to remove the selected pixels and generate Digital Terrain Models (DTMs) that accurately represent the Earth's surface without canopy and infrastructure influence.
The Residual U-Net model was trained and tested on a dataset of DSM and DTM pairs, which were acquired from resampled AHN4. The model was evaluated on its ability to predict DTMs from DSMs, and its performance was compared with other existing methods. Additionally, the model was tested on different resolutions and the Copernicus DEM to assess its adaptability and generalization capabilities.
The results indicate that the Residual U-Net model outperforms conventional techniques, effectively reducing the influence of canopy and infrastructure, and resulting in DTMs with enhanced precision. The study also explores the errors in detail and identifies the model's error causes, highlighting its limitations and areas for potential improvement.
This study concludes by demonstrating the efficacy of applying deep learning techniques, such as Residual U-Net, to correct global elevation models for canopy and infrastructure. The results indicate that the model is a promising tool for topographical investigation in both urban and woodland situations, offering a versatile solution for generating accurate DTMs from DSMs.","DTM extraction; Machine learning; GIS; Digital Elevation Model; U-Net; residual network (ResNet)","en","master thesis","","","","","","","","","","","","Geomatics","",""
"uuid:44436fce-7572-4a5b-830b-4f3fe1d88921","http://resolver.tudelft.nl/uuid:44436fce-7572-4a5b-830b-4f3fe1d88921","Light-based tomography for mixing in bio-reactors","Fiuk, Rafał (TU Delft Applied Sciences)","Haringa, C. (mentor); Portela, L. (mentor); Straathof, Adrie J.J. (mentor); Delft University of Technology (degree granting institution)","2023","Presented works describe a novel approach to assess mixing in a stirred vessel using light-based tomography. The study is driven by key research questions: What are the obstacles in three-dimensional dynamic tracer distribution reconstruction? How should the experimental equipment be constructed to obtain the best possible data from three cameras recording the back-lit stirred tank? How can raw images be processed to isolate the ray-dye interaction? And, how can relevant mixing information be obtained from projections and reconstructed volumetric data? The research begins with a short introduction of traditional mixing measurement techniques, establishing the context and relevance of the work. The theoretical background of the study is then presented, including the principles of tomographic reconstruction and the main algorithms used in the process. The methodology involves the use of synthetic data obtained from LES for the framework and baseline creation followed by the acquisition of experimental data. A significant part of the methodology is dedicated to image pre-processing, which incorporates as main steps the inverted grayscale transformation, brightness normalization, background removal using image similarity metrics and object removal with the use of a neural network. The use of the simplistic forward model based on the Lambert-Beer law is described, followed by the implementation of the projection matrix-free Simultaneous Algebraic Reconstruction Technique. The outcomes of both synthetic and experimental data reconstruction are presented and despite the shortcomings of the used experimental setup the 2D and 3D mixing maps were created, supported by the local Coefficient of Variance calculation to gain further insight into the process. The conclusions highlight the potential of light-based tomography for evaluating mixing while acknowledging the need for significant refinement and validation of the methodology. Recommendations include the improvement of imaging, equipment modifications, and reconstruction implementation. ii","Stirred tank; Tomography; Mixing; CFD","en","master thesis","","","","","","","","","","","","Chemical Engineering","",""
"uuid:da859291-050a-4eee-9bdd-e2fcd13e774d","http://resolver.tudelft.nl/uuid:da859291-050a-4eee-9bdd-e2fcd13e774d","From Church to Religious art museum: Adaptive reuse of Citykerk Het Steiger","Lin, Ian Neng (TU Delft Architecture and the Built Environment)","Mulder, K.B. (mentor); de Ridder, A.C. (mentor); Delft University of Technology (degree granting institution)","2023","This interdisciplinary research project focuses on the adaptive reuse of Het Steiger Rotterdam church, employing a comprehensive approach integrating extensive research and cohesive design strategies. The study begins with a thorough analysis of contemporary churches' architectural and urban context, emphasizing spatial appropriateness in religious architecture. A distinctive methodology is applied to conduct a value assessment, offering profound insights into heritage values intrinsic to the church's architecture and its historical significance. Tailored methodologies and design strategies are devised to expand and transition the existing structure while preserving the perception of religious space and monumental value. The design prioritizes aesthetic beauty, proportion, and a lasting structure, diverging from the 'zero church' concept, with careful consideration of sustainable material usage and minimized carbon footprint. This research showcases a significant advancement in sustainable architectural interventions, exemplifying the harmonious blend of sustainability and aesthetic appeal. The project sets a notable precedent for preserving and revitalizing religious architecture in contemporary society through meticulous research, innovative methodologies, and thoughtful design choices.","Adaptive Reuse; Function; Spatial appropriateness; Perception; religious architecture; Secularization; Rotterdam; church; Museum","en","master thesis","","","","","","","","2023-11-30","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","","51.919845, 4.484716"
"uuid:bd16742e-f75e-4348-8cf0-044013c3a059","http://resolver.tudelft.nl/uuid:bd16742e-f75e-4348-8cf0-044013c3a059","Glucose conversion by aerobic granular sludge","Li, Linghang (TU Delft Civil Engineering & Geosciences; TU Delft BT/Environmental Biotechnology; TU Delft Water Management)","van Loosdrecht, Mark C.M. (mentor); de Kreuk, M.K. (mentor); Pronk, M. (mentor); Elahinik, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Aerobic granular sludge (AGS) is an innovative biotechnology extensively applied for treating municipal wastewater, and it can potentially treat sugar industry wastewater. Glucose is a prevalent substrate in sugar industry wastewater; nevertheless, the effect of glucose on AGS systems remains unexplored. In this study, an AGS reactor using glucose as the sole carbon source was operated in anaerobic-aerobic cycles. The system maintained a solids retention time (SRT) of 10 days, resulting in good granulation and enhanced biological phosphorus removal (EBPR) performance. The glucose fed was rapidly taken up within 10 minutes, with a portion stored as intracellular polymers such as glycogen and poly-hydroxy-alkanoates (PHAs), while another portion underwent anaerobic fermentation to lactate and formate. The carbon balance was not completely closed, with 16% of the carbon speculated to be utilized for the production of an unidentified polymer. The microbial community consisted of diverse organisms, with Micropruina identified as the most abundant genera and Ca. Accumulibacter (a typical type of PAOs) as the second most abundant genera based on metagenomic analysis. A batch test was conducted by adding an excess of glucose, lactate, and formate, revealing that lactate was the probable substrate utilized by PAOs. Additionally, Micropruina was hypothesized to be involved in glucose consumption, glycogen storage, and lactate production. Micropruina and Ca. Accumulibacter collaborate in utilizing glucose, providing them with a significant competitive advantage within the system. Due to their slow growth rate, these bacteria play a crucial role in achieving favorable granulation when supplied with glucose. Promoting the growth of these organisms can be a valuable strategy in engineering applications.","Aerobic granular sludge; Glucose; Phosphate accumulating organisms; Enhanced biological phosphorus removal; Carbon balance","en","master thesis","","","","","","","","2024-07-01","","","","Civil Engineering | Environmental Engineering","",""
"uuid:cbdb18bd-762a-42c5-be96-8c54214e43d0","http://resolver.tudelft.nl/uuid:cbdb18bd-762a-42c5-be96-8c54214e43d0","Klimaforum Berlin: Immersive Spaces in a Nutshell","Drolenga, Stijn (TU Delft Architecture and the Built Environment)","van Bennekom, H.A. (mentor); Speksnijder, F.J. (graduation committee); Blom, C.E.M. (graduation committee); Bet, E.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Klimaforum is Berlins new interactive art, science and technology forum, located on a soon to be vacant heizkraftwerk site along the Spree river.
Commissioned by The German Ministry of Culture & Media, The City of Berlin and exploited by the Staatliche Museen zu Berlin, the TU Berlin, several NGOs & private companies.
The Klimaforum will be at the forefront of the radical shift to a climate neutral society by shortening the road to a much needed debate about climate change.","Klimaforum; Berlin; Moabit; Westhafens; Heritage; Heizkraftwerk; Coal; Spree; Interactive Museum; Immersive Spaces; Exhibitions; Climate","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","Complex Projects Graduation Studio: Bodies and Building, Berlin","52.538091,13.351117"
"uuid:74a9f68c-4905-4283-9823-a6fde2864837","http://resolver.tudelft.nl/uuid:74a9f68c-4905-4283-9823-a6fde2864837","Neuer Gerichtskomplex: Envisioning the Courthouse of Berlin and its embodied civic identity.","Naik, Harin (TU Delft Architecture and the Built Environment)","van Bennekom, H.A. (mentor); Speksnijder, F.J. (graduation committee); Blom, C.E.M. (graduation committee); Geuze, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","Courthouses are the very front face of the judicial system. It can also be referred to as a connecting link or the only link between the people and the fundamental rights to justice described under the Constitution. Situating in the city of Berlin, with a dynamic history of changing power and law, the new Judicial complex aims to open itself to the people of Berlin, bringing back the lost civic identity of the courthouse complex. The proposal finds itself in the beating heart of the city and along the future cultural spine - river Spree. The larger vision thrives towards designing a courthouse that forms the centre of public activities and blends into the daily dynamics of people’s life. Bringing the law back to the city centre would allow more people to be part of it and how their involvement would shape the decisions taken, and how people’s opinions could play a vital role in judicial systems. Looking at the future idea of a modern public space and the court being a part of it will reflect the needs of the dynamic group of Berliners and generate an atmosphere where the city and the law can share the space. Breaking the historic stereotypical barrier will help dilute the threshold that separates the city to participate in the law.","Courthouse Architecture; Court of Justice; Civic Participation; Spatial experience; Urban Landscapes; Berlin; Germany","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Projects","Bodies and Building Berlin","52.526615, 13.366737"
"uuid:8ac45fcd-ed00-4e6e-819b-75ed2367f531","http://resolver.tudelft.nl/uuid:8ac45fcd-ed00-4e6e-819b-75ed2367f531","On the Edge: A study into social integration within community boundaries","Chen, Deying (TU Delft Architecture and the Built Environment)","van Lierop, J.P.M. (mentor); Eckardt, H.F. (mentor); Alkan, A.S. (mentor); Delft University of Technology (degree granting institution)","2023","This research paper focuses on the phenomenon of social and urban morphological contrasts within community boundaries caused by socioeconomic inequality and the process of urban development. This paper also discusses the reason and the spatial needs for social integration. This topic is becoming increasingly relevant in London because of the gentrification process in multiple urban edges around central areas of the city. However, it is also relevant in cities around the world where gentrification has caused social segregation problems. Hence there is an urgent need for an urban development strategy that provides spatial opportunities for interactions and innovations.","urban edges; integration; interaction; openness; Porosity; crossovers","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:e0490818-de03-4896-be46-fb9c1697f956","http://resolver.tudelft.nl/uuid:e0490818-de03-4896-be46-fb9c1697f956","Places of Belonging for the Displaced: Thuisplekken voor Ontheemden","Eikelboom, Rivka (TU Delft Architecture and the Built Environment)","van der Putt, P.S. (mentor); Lee, Rachel (graduation committee); van den Ban, R.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","The starting point for this graduation project was a fascination with how architects can design for people who live at the margins of society, people that are invisible to many. The research was an investigation into places of belonging of displaced people in the Netherlands. In this case, the term displaced people was used for refugees, asylum seekers, status holders, etc. During the project's research phase, eight places of residence for displaced people were researched using ethnographical research methods like fieldwork and semi-structured interviews. During the research, I was confronted with how these spaces of residence were designed; it is not easy to get a feeling of belonging in them. The following four conclusions are things that do help people in the sense of belonging to a place; 'Accessibility and Predictability', being able to 'Share Culture and Background', 'Being in Control' and 'Privacy and Intimate spaces'.
These four points were used to create a design for a place of residence and culture centre for status holders in Utrecht. The design is located in 'het Wolvenplein', a former prison in the city centre of Utrecht. The design shows that if you can open a former prison and create a place of home there, it should be possible to create a good place to live for displaced people in any building. The design proposes a paradigm shift in how we design for displaced people.
The created workflow was then applied to two wells in the Geneva Basin, Switzerland; associated with a geothermal project aiming to produce heat and electricity from the Upper Cretaceous limestone rocks. Research has shown that these rocks form a tight reservoir, and fluid flow will rely on the presence of fracture networks. In the two wells, a total of eight fracture sets were defined based on movement and relative chronology, and used to reconstruct the fracture history of the basin. This led to the identification of four Mesozoic to Cenozoic stress regimes: 1) a normal or strike-slip regime, 2) a NE-SW reverse regime, 3) a normal regime, and 4) a NW-SE reverse regime. The latter is considered the main deformation event of the region: the Late Miocene fold-and-thrust tectonics. In the Geneva Basin, this event is represented by a pair of NE-SW striking conjugates, which forms the majority of observed fractures. The fifth fracture set is believed to be fault-related and was only observed in one of the wells.
Beyond the regional implications, this study emphasizes the importance of uncertainty reduction in the early stages of fracture analysis, and its effects on establishing a reliable fracture history.","Natural Fractures; Geothermal Energy; Fractured Reservoirs; Image logs; Geneva Basin; Uncertainty reduction; Structural Geology","en","master thesis","","","","","","","","","","","","Geo-Energy Engineering","GEothermie2020 project","46.204391, 6.143158"
"uuid:19d0f248-a3c5-4803-a936-f57221a7000f","http://resolver.tudelft.nl/uuid:19d0f248-a3c5-4803-a936-f57221a7000f","ESG (Environmental, Social, Governance) in Radiology Workflow to drive Strategic Business Value of University Hospitals in the Netherlands","Lee, Hyelin (TU Delft Industrial Design Engineering)","Diehl, J.C. (mentor); Hinrichs-Krapels, S. (mentor); Pupazan, Elena (graduation committee); Delft University of Technology (degree granting institution)","2023","Healthcare is an essential part of our lives, promoting and preserving the health of individuals and society. The industry has been evolving at a high pace over the last few decades, driven by technology and growing care demands. This progress is currently having an opposite effect on the overarching objective of serving healthy living due to the increasing environmental footprint and social toll of the industry. Today, healthcare accounts for nearly 4.4% of global CO2 net emissions worldwide, while in the Netherlands, the sector accounts for about 7% of the national footprint. In particular, Radiology represents a clinical area of great concern, being a major contributor to the healthcare carbon footprint due to its high energy consumption and hazardous waste generation. Furthermore, Radiology professionals face heavy workloads due to rising demands for imaging exams, driven by patient expectations on the one hand, and the convenience of leading doctors, as well as existing differences in protocols across hospitals, on the other hand. These complex Environmental, Social, and Governance (ESG) challenges must be approached in an integrated way, to effectively enable the embedding of sustainable practices at the core of healthcare, accelerating in this way the transition towards a circular economy across the industry. This research aims to provide broad and deep insights into the Radiology ecosystem in the Netherlands, ESG definition guidance, and an end-to-end ESG strategic design toolkit that aims to simplify, measure, and integrate ESG efforts across healthcare. An integrated department-, hospital-, national-, and global approach is being proposed to streamline efforts towards 2030 ESG goals and beyond.
A systemic design approach is proposed for this study, combining mixed methodologies of qualitative, quantitative, and co-creation efforts with cross-disciplinary experts from six university hospitals, as well as the national Radiology association in the Netherlands. The steps towards framing, prioritizing, and embedding ESG into the Radiology workflow are consistently captured as research findings, concluding contextual insights on the ESG impact across the different levels of the system. These are represented as an ESG pyramid in this study. Identifying key ESG healthcare challenges and influential entities in the system offers a foundation for strategic dialogues on ESG goals definition. Additionally, an ESG healthcare ecosystem map has been developed to further understand the dynamics among key system stakeholders, on three main dimensions: financial, clinical compliance, and ESG. The perspective of embedding ESG in Radiology, within the Netherlands, is taken as a representative industry case study. Consolidation of clinical workflow data, operational insights, and current ESG context resulted in a cross-hospital view of Radiology ESG hotspots, dependencies, and opportunities. Interventions on ESG hotspots are then addressed and positioned, at corresponding decision power levels, within the larger system. Furthermore, a solution for integrated ESG value-impact measurement and continuous monitoring is proposed. Such a simplified and transparent way of working has the potential to increase awareness, drive collaboration, significantly reduce ESG spending and identify new circular value opportunities.
The outcomes of this research indicate that embedding ESG hotspots into the Radiology workflow should be approached in a holistic and systemic way. The ESG strategic design toolkit can facilitate relevant dialogues on the value and impact of ESG interventions across levels in the healthcare ecosystem, enabling hospitals and departments to effectively define ESG goals and programs. This simplifies complexity and provides consistency across the broader system hierarchy.","ESG; Sustainability; Circular Economy; Radiology workflow; Healthcare Ecosystem","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:2a0b5cb1-ab4d-44bf-b6b6-929c612941fb","http://resolver.tudelft.nl/uuid:2a0b5cb1-ab4d-44bf-b6b6-929c612941fb","Kite tether force control: Reducing power fluctuations for utility-scale airborne wind energy systems","Hummel, Jesse (TU Delft Aerospace Engineering; TU Delft Control & Simulation)","Pollack, T.S.C. (mentor); Eijkelhof, D. (mentor); van Kampen, E. (mentor); Schmehl, R. (mentor); Delft University of Technology (degree granting institution)","2023","Power output during flight operation of multi-megawatt airborne wind energy systems is substantially affected by the mass of the airborne subsystem, resulting in power fluctuations. In this paper, an approach to control the tether force using the airborne subsystem is presented that improves the quality of the power output. This kite tether force control concept is implemented on the 3DOF dynamic simulation of the MegAWES reference model. First, the winch of MegAWES is resized because an analysis of winch inertia and radius shows its effect on power output and tether force overshoot. Second, the power consuming sections during the traction phase are eliminated by using a feedforward winch controller. Finally, the peak power is substantially reduced by implementing the kite tether force controller which uses a measurement of the tether force, angle of attack, and airspeed to keep the tether force constant when the system is at its power limit. This reduces the range between minimum and maximum power output by 75%.","airborne wind energy; power smoothing; winch control; kite control; 3DOF; dynamic analysis; winch sizing","en","master thesis","","","","","","","","","","","","Aerospace Engineering | Control & Simulation","",""
"uuid:b8cbe2f6-d241-4b0b-84cc-c00a2c7758b4","http://resolver.tudelft.nl/uuid:b8cbe2f6-d241-4b0b-84cc-c00a2c7758b4","In the middle of Delfland: The cultural landscape where agriculture and recreation coexist","Jansen op de Haar, Carmen (TU Delft Architecture and the Built Environment)","Aalbers, K.P.M. (mentor); Hausleitner, B. (mentor); Delft University of Technology (degree granting institution)","2023","This graduation project explores the implementation of integrated and sustainable land use planning as a crucial strategy for transforming the BPL MD region into a thriving and biodiverse area that benefits both humans and nature. By emphasizing landscape identity, water storage, the livability of meadow birds, and balancing recreational activities, this project proposes a comprehensive design that ensures the long-term health and well-being of both the environment and communities. A new perspective on the open polder landscapes in the metropolitan region of Rotterdam and The Hague in 2070 is created. Creating three zones, with local food and recreation on the outskirts and a nature center at the heart. These zones create water buffers, improve diverse recreation opportunities, and provide quiet zones for meadow birds. This new design for the BPL MD could be used as inspiration for the future of this region. In addition, this research provides new insights into combining climate change and cultural heritage in such a historically significant location.","Urbanisation; Climate change; Ecology; Recreation; Agriculture; Polder landscape; Midden Delfland","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:2f0dd01b-1294-466b-af1e-e890ee006b1e","http://resolver.tudelft.nl/uuid:2f0dd01b-1294-466b-af1e-e890ee006b1e","What is a museum for?","Karpeta, Michał (TU Delft Architecture and the Built Environment)","Parravicini, M. (mentor); De Vocht, S. (mentor); Zeinstra, J.S. (mentor); Delft University of Technology (degree granting institution)","2023","The museum institution, despite the drastic changes that happened in its understanding over the centuries, was always directly connected with the concept of archivization. The following work is an attempt to draw attention that this tendency has significant negative aspects related to a particular model of thinking about the concept of a contemporary art museum. It aims to be understood as a manifesto; an architectural provocation that questions the existing guidelines in thinking about the institutions that focus on art of today. Although, it is not a criticism of a concept of archive itself, it tries to point out substantial democratic values that seem to be lost due to the above tendency. Due to the Derridian theory of deconstructivism, the human endeavour to archive is extensively rooted into our existence. It is an artificial attempt to tame a fear of loss, a civilizational mechanism to preserve the presence and a cultural product aimed to create the collective memory. Although, over the course of ages the ethicality of archivization was not a subject of question, nowadays it is evident that this matter is inextricably connected with politics and social conditions. Understanding the role that the archive plays in creating a historical context and that it provides an effective reference point for the work of both artists and art historians, the thesis challenges the global practice of understanding museums as institutions primarily storing the past. Taking as its subject the relatively young institution of the Flemish Museum of Contemporary Art, the work suggests a new conception of the archive as a place that is primarily a transcript of contemporary trends in art. Simultaneously, following the anti-museum theory, it proposes a new vision for the museum as an open habitat, an organic catalyst of the present and a transparent institution that brings together both resident artists and the international community.","Museum; Museum experience; Contemporary Art; archive fever; Archive","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Interiors Buildings Cities","Flemish Museum of Contemporary Art",""
"uuid:37519d2b-29ad-4ba6-a038-c86ea67b28b2","http://resolver.tudelft.nl/uuid:37519d2b-29ad-4ba6-a038-c86ea67b28b2","Bodenschatz Berlin: Preparing the Ground with Design Science Fiction","Mengel, Fynn (TU Delft Architecture and the Built Environment)","Vrachliotis, Georg (mentor); Lee, Rachel (mentor); van den Ban, R.R. (mentor); Delft University of Technology (degree granting institution)","2023","Boden – a German term that can refer to soil and land, ground and floor, territory and habitat. Overhearing Boden in Berlin’s streets these days will, however, oftentimes result in tuning into a conversation about land ownership, the soaring cost of living and the city in transition. People, it seems, are worried. They are increasingly affected by effects of market processes, speculation, a marginalising financial approach* towards the city. They are not alone: Also Berlin's diverse ecological actors are threatened by such profit-oriented practices. Therefore, the term “Boden“ in Berlin has been conceptualised for discussing processes originating in and revolving around land tenure. In turn, its tangible qualities and positive notions are covered up, buried by a merely technical and somewhat pessimistic discussion. The inherent ambivalence is leaving Berlin’s Boden, stripping it off its multiple social and ecological facets: Boden has become a bare quantifiable medium.
This overheard finding is the spark of this thesis. While unsuccessfully searching for a singular translation of Boden, I started to discover more and more dimensions, relations, associations with the term. Thus, the question arose: Can we solve the multi-dimensional issues Boden faces in Berlin by exploring its stratified character, its layers of meaning? How can Boden in the city become tangible, meaningful, a resource - Bodenschatz - again? Can Boden itself become an ally for the city’s human and non-human inhabitants to solve the Bodenfrage issues?
The resulting proposal, Bodenschatz, is a multi-layered exploration of dimensions comprised in Berlin’s Boden. This is approached by science fiction: taking into account the status quo of science and research, it is reimagining urban futures as a fictional prototype of cyber-socio-ecological cohabitation on Berlin’s Boden. Its main vector is not analysis but action towards re-taking socio-ecological urban agency in Berlin. Architecture becomes a vehicle to spatialise this, the missing link to fill in the gaps between science and fiction. Without romanticism or fatalism, the project is a comment on the current state of affairs - and how the city of the future could be imagined by the means of today.","science fiction; soil; soil remediation; financialisation; land ownership; blockchain; sonic research; ecology; technology; cohabitation; machine design; Socio-ecological symbiosis; architecture; prototype; Berlin; Germany; urban; Neukölln","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","","52.483969, 13.459683"
"uuid:43b3db0c-d9c6-4c38-aafe-a01cf81b4f9d","http://resolver.tudelft.nl/uuid:43b3db0c-d9c6-4c38-aafe-a01cf81b4f9d","Circular Retreat: House of Modern Rituals: Rethinking the St. Dominicus church","Homsma, Agnes (TU Delft Architecture and the Built Environment)","de Ridder, A.C. (mentor); Nevzgodin, I. (graduation committee); Mulder, K.B. (graduation committee); van Deudekom, A.B.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research explores how the St. Dominicus church in Utrecht can be revitalised with the help of contemporary spiritual values. As a result of secularisation and the shift from religion to spirituality, there is a noticeable increase in vacant church buildings. In this research, a design strategy is proposed that revises the original function in a way it can better accommodate the modern spiritual needs. Incorporating the results of different literature and analytic studies in spiritual architecture, this study demonstrates a way to create a design that accommodates
more spiritual experiences through architecture. It argues that these experiences can be evoked through architectural qualities that adhere to the bigger spiritual concepts of sensuality, humanity and sustainability.","Contemporary spirituality; Architecture; Heritage; Church; Secularisation; Spiritual experience","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","",""
"uuid:4681332d-633d-406e-b425-ff37f8a14a40","http://resolver.tudelft.nl/uuid:4681332d-633d-406e-b425-ff37f8a14a40","Facilitating flexibility trading between asset owners and system operators: Creating a protocol for flexibility exchange between the grid operator and flexible assets","Caracciolo, Carlo (TU Delft Electrical Engineering, Mathematics and Computer Science)","Cvetkovic, M. (mentor); Palensky, P. (graduation committee); Delft University of Technology (degree granting institution)","2023","As the electrical grid becomes more constrained and grid reinforcement/expansion is no longer the only viable solution, electric flexibility is slowly becoming a more practical approach. Additionally, with the increasingly greater share of flexible devices being deployed, there is immense potential to solve this problem. While flexibility provision is already an implemented market mechanism, it mostly revolves around large industries that have more predictable behavior. At the residential or distribution level, said flexibility is harder to harvest due to the unpredictability and heterogeneity of the systems and actors involved. This report’s focus is creating a protocol facilitating the flexibility exchange between asset owners and the grid operator. In order to bridge these two actors, an aggregator program is created with the task of managing in a responsible way these exchanges. The protocol has used The Green Village, an aggregate of smart residential housing located on the TU Delft campus, as a physical system to base the protocol and program. Although The Green Village has been used as a reference, the protocol and program should be versatile for any application. The main goal, when developing the protocol, was to have the aggregator program take in as many tasks related to flexibility exchanges as possible to increase compatibility (interoperability). The protocol and aggregator program were also designed to facilitate modifications and upgrades (plug-and-play) while preventing communication errors (redundancy). To fulfill these requirements, the report takes the following structure. First, the different methods and frameworks enabling flexibility as well as involved actors are discussed. Then the protocol and aggregator program are explained in depth. Finally, a validation through simulation is presented and inspected.","","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:d037d10c-4de2-41f6-8d33-8c76e7899090","http://resolver.tudelft.nl/uuid:d037d10c-4de2-41f6-8d33-8c76e7899090","An Architecture For Art: The New Flemish Museum of Contemporary Art in Antwerp","Cichocki, Mikołaj (TU Delft Architecture and the Built Environment)","Pietsch, S. (mentor); Parravicini, M. (mentor); Thomas, A.R. (mentor); Rosbottom, D.J. (graduation committee); Pimlott, Mark (graduation committee); De Vocht, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Humankind has always made art. Through its appeal to the human senses, it has helped to shape the ways in which we perceive the world around us and, in its varied forms, offers a means beyond language through which we have become able to articulate our relationships with one another. Throughout the ages art and architecture were facilitators of societies’ development and transformation, of which the peak was embodied by the 19th century palaces of culture: public museums and galleries. Later, as modernity rapidly adapted the world to human needs and subsumed sublime nature within its own image, art became means of resistance. Expanding out of the studio and the gallery it moved outside, to portray and critique the relentless industrialisation against its own backdrop. Artists co-opted and adapted redundant structures left behind by the contemporary city’s rampant process of change.
The competition brief for the development of the M HKA, the contemporary art museum in Antwerp embodies many of the larger issues that arise from the forementioned shift. It emerged out of the activities of the ICC (Internationaal Cultureel Centrum), which was founded in the revolutionary spirit of the late 1960s, and had a long history of making place for itself in which an adaptation of an actual palace and an old disused office building were considered, until it finally settled in an old grain warehouse to become a full-fledged museum in 1982. The next step in its development, which is planned to move the museum into a new, purposely build location asks therefore to be consciously understood and developed. It is to be placed near the current building, as an urban figure in focus of undergoing conversion of a monumental former dock into a linear public park.
The proposal turns challenges of the competition brief: an existing building on site, the scale of the programme and limited budget into factors that generate quality. Efficiency is used to shape identity, linking to the legacy of Antwerp as a harbour city. It is a building that does not mimic the expression, but follows the logic of efficient structures for the industry. A museum that accommodates existing structure, the art, the general public, gallery visitors, and the neighbours. It creates environments, provides striking curatorial settings and versatile workspaces and does so with minimal means while fulfilling strives of the competition brief and reducing the carbon footprint. It welcomes the legacy of the M HKA as a pioneering institution that always appropriated spaces, exposes the back office to the visitors to contrast them with pristine gallery interiors, and becomes an icon by establishing its own league instead of trying to fit in. Yet it fits within the city.
(de Geyter et al., 2002; Geuze et al., 2016; Koolhaas et al., 1993; Palmboom, n.d.; Van der Woud, n.d.)","Adaptive Design; Peri-Urban; Technophilic; Biophilic","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","52.017536, 4.575508"
"uuid:85064373-e427-49b8-adfd-4dcae8505b61","http://resolver.tudelft.nl/uuid:85064373-e427-49b8-adfd-4dcae8505b61","Effect of Substrate Concentration & Elevated CO2 Partial Pressure on the Odd & Even Carboxylate Formation","Chowdhary, Kshitij (TU Delft Civil Engineering & Geosciences; TU Delft Water Management)","van Loosdrecht, Mark C.M. (mentor); Weissbrodt, D.G. (graduation committee); Laureni, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Of all the greenhouse gases (GHGs), carbon dioxide (CO2) has been the target of most climate recovery efforts as it is the most abundantly emitted GHG by mass. In fact, in 2015 a legally binding international treaty was adopted by 196 parties in Paris, France to constrain the anthropogenic warming to 1.5-2.0˚C above the pre-industrial level. In order to meet this goal, a carbon budget was formulated as an estimate of the amount of carbon that can be emitted while limiting the anthropogenic warming to prescribed levels. However, the global CO2 emissions from industries are rapidly depleting this budget. Therefore, to mitigate the effects of climate change, CO2 emissions must be reduced by employing alternative commodities that can replace petrochemical resources. In this context, mixed culture fermentation presents an opportunity for redefining CO2 and waste streams as raw material for production of commodities traditionally derived from petrochemical resources. Previous studies by on this topic have indicated a potential association between elevated CO2 levels (pCO2) and butyrate formation from mixed culture fermentation. However, the cellular mechanism underlying this association are still poorly understood. Therefore, the principal objective of this research was to investigate the effects of initial substrate concentrations (g/L) and elevated pCO2 (bar) conditions on selectivity (moli/moltotal) of biomolecules produced from anaerobic conversion of glucose. For this purpose, a between-subject mixed factorial experimental design was developed to gauge the main and interaction effects of initial substrate concentrations (g/L) and elevated pCO2 (bar) conditions on selectivity of biomolecules. The principal findings of this research indicate that a strong positive relationship exists between the pCO2 and butyrate formation as the application of CO2 in reactor (EPBs) headspace resulted in higher butyrate selectivity compared to the control reactors (APBs). However, contrary to the conclusions reached by previous studies it was found that increasing the initial substrate concentration steered the product formation towards lactate and not butyrate. Whereas the highest recorded butyrate selectivity for EPBs was 30.41% for experimental condition with 5 g/L substrate concentration and 4 bar pCO2, the highest recorded butyrate selectivity for APBs was only 11.72% for 10 g/L substrate concentration and atmospheric pressure conditions. Conversely, the highest recorded lactate selectivity for EPBs was 15.13% for 20 g/L substrate and 3 bar pCO2 while the highest recorded lactate selectivity for APBs was 47.95% for 25 g/L substrate concentration and atmospheric pressure conditions. As a result of these investigations, theories concerning formation of butyrate and lactate were proffered in context of the role of CO2 in mixed culture fermentation. By confronting the existing understanding regarding product formation with new evidence this investigation seeks to advance theories concerning mixed culture fermentation.","CO2; Mixed culture fermentation; gas fermentation; Anaerobic treatment; Design of Experiments","en","master thesis","","","","","","","","","","","","Civil Engineering | Environmental Engineering","",""
"uuid:20bc1d99-f92b-4165-a95d-02fc9d4bed8f","http://resolver.tudelft.nl/uuid:20bc1d99-f92b-4165-a95d-02fc9d4bed8f","Facilitating aging-in-place: A research on the spatial improvements of community centers for elderly that age in place","den Held, Lisanne (TU Delft Architecture and the Built Environment)","Miedema, E. (graduation committee); Medici, P. (mentor); Kuijper, J.A. (mentor); Delft University of Technology (degree granting institution)","2023","This thesis explores how contemporary community centers can be improved to better serve the needs of future generations of elderly. The research is positioned by previous studies that elaborated on subjective values attached to space and the theory of aging-in-place, and emphasizes on the role the community center could play in creating more social interaction for the elderly who age-in-place. It highlights the significance of social connections by addressing loneliness which is an significant topic relation to the elderly. The study includes observations, interviews, and a survey involving 35 individuals aged 50 and older. The findings underscore the importance of tailored programs for the future generation of elderly, such as adapted space for elderly with dementia and intergenerational involvement. Further research is needed to delve into specific design features that enhance social interaction within the community centers.","","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Interiors Buildings Cities","",""
"uuid:9e35fc57-5148-4b51-acbc-a657c7eb801d","http://resolver.tudelft.nl/uuid:9e35fc57-5148-4b51-acbc-a657c7eb801d","The shopping mall as an urban catalyst: The case of Leyweg Winkelcentrum","SPYRIDONIDOU, PELAGIA (TU Delft Architecture and the Built Environment)","Pottgiesser, U. (mentor); Koopman, F.W.A. (graduation committee); Spoormans, L.G.K. (graduation committee); Delft University of Technology (degree granting institution)","2023","The present graduation thesis “The shopping mall as an urban catalyst” explores the idea of an urban structure where working, crafting, living, meeting, and learning are entangled. The aim of this exploration mainly lies in the creation of a “skill city”, where groups that have not yet been fully integrated into the area under research can be the protagonists of a vibrant neighborhood, while the shopping mall from a place of consumption is transformed into a community core, a place of production and exchange. At the same time, this project is a continuous research on how an existing structure with its embedded values can become future-proof, ensuring it both remains a point of reference and accomodates change according to the shifting needs and demands. This aspect was examined under the scope of urban adaptability and its interplay with the notion of heritage; revealing design strategies that were implemented on the redesign of Leyweg Winkelcentrum. To conclude, the exploration of the new role that shopping malls, as urban centers, could adopt in an ever-changing context as condensers of public life, its diverse aspects, and social groups, as hubs for social interaction and as cores of community life can be regarded as the motivation for the development of this project.","Dutch post-war shopping mall; Heritage & Architecture; 20th century heritage; urban adaptability; shopping mall; urban catalyst; Leyweg Winkelcentrum; Heritage re-design","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","","52.04709118806475, 4.278101879315044"
"uuid:336d1f77-6a88-4468-a5a1-574431b79726","http://resolver.tudelft.nl/uuid:336d1f77-6a88-4468-a5a1-574431b79726","How to Lead Governmental Executive Agencies towards Enhanced Adaptability: The Q-methodology conducted within the Dutch Tax Administration","Verberne, Tijn (TU Delft Technology, Policy and Management)","van der Voort, H.G. (mentor); Janssen, M.F.W.H.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","2023-10-01","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:53f5bc74-4b39-4be6-9106-2d76aa5e5581","http://resolver.tudelft.nl/uuid:53f5bc74-4b39-4be6-9106-2d76aa5e5581","HORUS: Drone assisted autonomous vehicles","Malak, Marcus (TU Delft Mechanical, Maritime and Materials Engineering)","Caesar, H.C. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Vehicle Engineering | Cognitive Robotics","",""
"uuid:35d8f8d7-016e-420b-a3d8-408987a114ff","http://resolver.tudelft.nl/uuid:35d8f8d7-016e-420b-a3d8-408987a114ff","The use of knowledge transfer in campus real estate management about sustainability: An explorative study","Wardenaar, Neva (TU Delft Architecture and the Built Environment)","den Heijer, A.C. (mentor); van Marrewijk, A.H. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Dutch national climate agreement mentions important goals related to sustainability. The task is to reduce CO2 emissions by 49% in 2030 compared to 1990 and by 80 to 95% in 2050. To achieve these goals, every sector, including public real estate like universities, needs to contribute. This study focuses on the 14 Dutch universities. Universities are urged to set an example because of their socially responsible character. In 2008, the Dutch universities signed a covenant to reduce their energy consumption and CO2 emissions. However, there is still a lot of improvement needed. A network of academic experts for knowledge exchange can help with this. Exchanging knowledge within an inter-university network could give many new and valuable insights for implementation. At this moment, universities are mainly reinventing the wheel for themselves instead of exchanging insights with others. This research is about the different existing networks, drivers, barriers, and tools of knowledge sharing between universities and provides an answer to the main research question: “How can inter-university knowledge transfer support university campus managers to achieve the universities’ sustainability goals?”. It serves as exploratory research for the larger Campus NL research from the TU Delft Campus Research Team. One of the topics they are going to research is campus learning. Through an extensive literature review, 10 in-depth semi-structured interviews, strategy-analysis, and observations, this research concludes that every university has the same goals, and by working together, they might find possible ways to achieve these goals sooner. They can stick together to get more funds or guidance and get insights into what others are doing. This research has provides an overview of the barriers and drivers of knowledge transfer that campus managers working on the energy transition are experiencing at this moment and therefore contributes to knowledge about knowledge transfer and also serves as a starting point for the Campus NL research.
Keywords | Knowledge transfer, campus management, barriers and drivers, sustainability goals, real estate
Machine learning is utilized for abnormal driving behaviour detection because it offers a data-driven approach that adapts to different scenarios and captures subtle patterns. Furthermore, its scalability allows for efficient analysis of large datasets, leading to accurate identification of abnormal driving behaviour and valuable insights for enhancing road safety measures. Most existing machine learning (ML) based abnormal driving detectors rely on (fully) supervised ML methods, which require substantial labelled data. However, in the real world, labels are only sometimes available, and labelling large amounts of data is tedious. Thus, there is a need to employ unsupervised or semi-supervised methods to make the detection process more feasible and efficient. Luckily, it is possible with the advent of deep neural networks, especially autoencoder-based ones. This thesis develops and compares three ML methods: supervised (e.g. XGBoost and Random Forest), unsupervised ML (e.g. Isolation Forest and Robust Covariance), and semi-supervised ML (Hierarchical Extreme Learning Machines). Comparison results show that the semi-supervised deep learning model outperforms unsupervised methods exhibiting higher prediction accuracy and delivering acceptable results compared to the fully supervised models.
Moreover, previous ML-based approaches predominantly utilize basic car motion features (such as velocity and acceleration) to label and predict abnormal driving behaviours. In contrast, this thesis introduces Surrogate Measures of Safety (SMOS) as features for ML models to identify abnormal driving behaviour.
The results indicate that the supervised model performs best under the same conditions. However, relying on a large amount of labelled data in supervised models can pose challenges in real-life scenarios or when dealing with massive datasets. The study highlights the significance of Surrogate Measures of Safety (SMOS) and demonstrates the potential of HELM in effectively identifying abnormal driving behaviour. The introduction of SMOS significantly improves the performance of both unsupervised and semi-supervised models. The unsupervised model shows the most substantial improvement, increasing accuracy from less than 50% to over 90%.
While the Isolation Forest and Robust Covariance models fail to detect abnormal driving behaviour without including SMOS, the semi-supervised HELM model exhibits promising results even without SMOS. However, further research is necessary to address limitations and enhance the findings. While valuable, the current dataset used in this study may only encompass some types of abnormal driving behaviour. Future research should incorporate a more diverse dataset that covers a broader range of abnormal driving behaviours. The analysis should include multiple SMOS features, such as Post Encroachment Time (PET), to comprehensively understand abnormal driving behaviour and improve safety measures.","","en","master thesis","","","","","","","","","","","","Civil Engineering | Transport and Planning","",""
"uuid:f14cfa3b-91cd-4236-8b43-efe433c0d016","http://resolver.tudelft.nl/uuid:f14cfa3b-91cd-4236-8b43-efe433c0d016","The social added value of public cultural real estate: the importance of social encounters","Franssen, Anneke (TU Delft Architecture and the Built Environment)","Verheul, W.J. (mentor); Zijlstra, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Public cultural real estate is a type of real estate that is publicly accessible that beholds a (mix of) cultural function(s) such as libraries and cultural centres. Besides their primary function, e.g. lending books in the case of a library, they can also have the objective to behold an additional social function. Multiple cases in the Netherlands have stated explicitly that they want to facilitate social encounters between individuals within their project. Social encounters can be characterised as a social added value and can have multiple positive benefits such as building social capital. Public real estate can be classified as a third place, possessing the necessary conditions to generate this social added value. However, a knowledge gap exists regarding how this objective can be effectively integrated into the development process.
This research investigates how the development process of public cultural real estate contributes to its social added value. To address this research, a comprehensive literature study was conducted, focusing on themes such as social added value, social encounters, and the development process. Additionally, empirical research was undertaken through two case studies involving project document analysis, semi-structured interviews, and expert interviews.
The main findings of this research are that the development process can contribute to the social added value in different ways, depending on the design of the development process and the different involvement of the actors. The development process consists of four phases. The first two phases of initiative and development, are the most influential and behold the potential to define the objective of social encounters as a social added value and the implementation within the project itself. The actor involvement in the development process can be structured in multiple ways. The contribution to the social added value is related to which extent actors are actively involved and from which moment on, and how actively steering they are on the objective of social encounters as a social added value.
This thesis focuses on a Gaussian FLORIDyn model. The objective is to explore the possibility of improving the model accuracy by quantifying the associated uncertainty in the model parameters. Uncertainty quantification consisting of sensitivity analysis and Bayesian calibration is conducted based on a 3-Turbine case simulation using the UQLab software. Since a MCMC algorithm associated with Bayesian calibration requires to evaluate the FLORIDyn model multiple times, it can result in massive computational expenses when directly applying the computational model to the simulation. To deal with this, a surrogate model is first constructed to replace the original model. This thesis assesses two types of approaches for surrogate model construction which are the Kriging-based approach and the PCE-based approach. One approach is chosen after the comprehensive comparison in terms of accuracy and efficiency. The constructed surrogate model is then applied to the sensitivity analysis using Sobol' indices to investigate how each model parameter of interest affects the model output. Last, the high-fidelity SOWFA data are used as experimental data for Bayesian calibration. Compared to non-calibrated model outputs, calibrated model outputs are closer to the SOWFA data, which means that the accuracy of the FLORIDyn model is improved.","FLORIS; FLORIDyn; Surrogate Model; Sensitivity Analysis; Bayesian Inference","en","master thesis","","","","","","","","","","","","Mechanical Engineering | Systems and Control","",""
"uuid:e2d6d1a2-01e2-4bfc-ab72-219c46e52833","http://resolver.tudelft.nl/uuid:e2d6d1a2-01e2-4bfc-ab72-219c46e52833","Breaking down barriers to Inclusive Design: Creating a safe learning environment for Industrial Design Engineering students","de Jong, Dana (TU Delft Industrial Design Engineering)","Boess, S.U. (mentor); Persaud, S.M. (graduation committee); van Hasselt, Timon (graduation committee); Delft University of Technology (degree granting institution)","2023","Our society is becoming increasingly aware of the necessity of inclusion. However, some individuals are frequently not heard or understood and, therefore, not (yet) included in design projects and designs. Designing inclusively requires a good understanding of the abilities and experiences of a diverse group of people to strive for an inclusive design. This can be achieved when designers partner up with people while considering the full range of human diversity to design for their desired participation in society. Including these individuals in the design process will benefit a much broader audience.
For this project, I researched the context of Inclusive Design activities by Industrial Design Engineering students at Delft Technical University. I studied the perspectives of students regarding their experiences with Inclusive Design projects. Findings reveal that students do not experience Inclusive Design as a learning process. They are afraid to make mistakes and mainly work towards the final design. Additionally, students experience barriers to starting Inclusive Design activities, such as their insecurities, uncertainties, moral aspects, time pressure, and high expectations. To break down these barriers, students express the need for support and information that they can directly apply to feel better prepared for the activities because they would like to work from existing knowledge rather than explore and discover it themselves. While there are tools to support Inclusive Design projects, they do not consist of fixed, predetermined steps that can be followed, which means they cannot be applied literally, and require students to experiment.
To allow students to explore Inclusive Design activities, a safe learning environment has to be created to reduce the barriers. This should ensure that each team member feels safe, supported and comfortable exploring during an Inclusive Design project.
The project’s research and design activities resulted in the co-design of a refocusing of the Master elective Inclusive Design. During my graduation project, an edition of the Inclusive Design elective ran in which several interventions were implemented based on my project findings. These interventions aim to break down barriers to exploring Inclusive Design (activities) by establishing a safe learning environment for students. Additionally, I designed a workbook for students that bundles and serves as a tool to lower the barrier. The workbook provides reflective exercises for students to process information throughout an Inclusive Design project iteratively.
The layers of critique inherent in this trajectory are evident in the counterpoints established in the clients brief for the proposed museum: questions of material, abstraction, scale, and scenography underpin an oscillation between what it describes as anti-museum fascinations and technical museum requirements. Underpinning this are fundamental questions about contemporary art’s history and its relation to wider questions of culture, society and the world of art and artistic practice, embodied in its attitude to the very idea of the museum.
The MHKA's legend was built on contacts with avant- garde movements in art of the 1950s and 1960s, such as minimal and conceptual art. Their most prominent representative was Gordon Matta Clark, who worked in Antwerp at the time and whose artworks formed the foundation of the museum's current collection. Initially informal, the movement became institutionalized over time in the form of the Internationaal Cultureel Centrum (ICC), and decades later the Museum of Modern Art in Antwerp (MHKA) became its successor. The planned construction of the new museum also involves renaming the institution the Flemish Museum of Contemporary Art (VMHK).
The duality between the avant-garde past and the institutional present is an important part of the museum's identity. How does one think about the setting of the Flemish Museum of Contemporary Art in a way that respects its critical history and context in which it operates?","museum architecture; Antwerp; Contemporary Art; Sustainability; Mass Timber Construction","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:9e7b71ba-6a16-4d7d-a3c2-ff0cda360990","http://resolver.tudelft.nl/uuid:9e7b71ba-6a16-4d7d-a3c2-ff0cda360990","From Energyscape To Energy Justice: Rethink Approaches For A Just Energy Transition: Revitalize the neglected regions through integrated planning: a case study in Changhua, Taiwan","Liu, Kuan-Ting (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Dabrowski, M.M. (mentor); Hackauf, U.D. (mentor); Delft University of Technology (degree granting institution)","2023","Taiwanese landscape has dramatically changed since 2018, when the Energy Transition White Paper was published. Due to the land limitation, the Taiwanese government has focused on the multifunctional use of land to create renewable energy and maintain the original activities on the ground at the same time, especially on agricultural lands. However, realistic situations are far from the ideal proposed. Tons of aquacultural and agricultural lands have become fragmented, damaging the landscape and even causing hidden threats: farmers’ work rights losses, food security issues, and ecosystem hazards. The reason for these derivative problems is complicated and cannot be blamed on a single factor. But the key argument in this thesis is because of the lack of integration of spatial and social perspectives in energy transition programs. Therefore, energy justice in this thesis focuses on the discussion of the derivative problems led by the consequence of neglected perspectives in the energy transition. From a spatial perspective, Taiwan currently has three separate spatial planning systems, urban, regional, and national park planning systems. Each of them follows different laws and manages the land use type in its own way. Due to the development-oriented planning, some land use types have more flexibility and looser regulation in terms of construction. And due to this fact, energy developers work with landowners to apply for changes in land use from aquaculture or agriculture to specific land uses to generate the most renewable energy, losing the purpose of multifunctional use and resulting in absurd landscape changes. More specifically, the lack of holistic spatial planning and the integration with the energy transition plan makes responsibility unclear that causing collaboration between sectors more difficult. The systemic deficiency has led to social consequences with the injustice mentioned above that have yet to have specific orders and measures to be addressed, exacerbating the public's untrust of the energy transition. In 2025, a new integrated planning system called National Spatial Planning will be implemented as a holistic spatial planning system. It merges current planning systems and includes the marine spatial area. Thus, this thesis chose Changhua County, an area with high renewable energy development but huge hidden threats in various domains, as the case study area to test how to integrate this new spatial planning system based on purposes of different zoning with energy strategies that include spatial and social aspects. There are three products in this thesis. First, the energyscape template design demonstrates how to prevent hidden threats and create procedural and distributional justice based on the considerations of societal factors that influence people’s acceptance of the energy transition. Second, regional strategies with spatial and social perspectives to examine value creation that rebalances regional development, bringing back identities to uncompetitive areas that are neglected or sacrificed within the energy transition process. Lastly, developing National energy transition advice, operational or strategic, to help create a justice energy transition in Taiwan.","Integrated Planning; Participatory Planning; Energyscape; Energy Justice","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Cities","",""
"uuid:efd2cbee-d047-428b-9ec4-f85cb90540d4","http://resolver.tudelft.nl/uuid:efd2cbee-d047-428b-9ec4-f85cb90540d4","Urban Nodes: weaving community connections through interconnected hubs","Dardzińska, Weronika (TU Delft Architecture and the Built Environment)","Alkan, A.S. (mentor); Eckardt, H.F. (graduation committee); van der Meij, A.M.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research aims to uncover the hidden/overlooked potential of high streets, which will contribute to enhancing their quality and highlighting the significant role these streets play in the formation and creation of community spaces in the city. The design aims to use the opportunities of different spots along the shopping streets that are important places for communities as a catalyst for change in the streets themselves. By identifying and connecting ""hot spots,"" where a prominent presence of people is observed, and introducing new places, an interconnected system is formed, strengthening the overall fabric of the high street. Furthermore, through the strategic placement of a diverse range of functions within the existing building of the former shopping center and a newly designed structure, the project seeks to attract various actors and activate the new hot spots inside out. This research and design endeavor strives to unlock the latent potential of high streets, fostering vibrant and inclusive community spaces that promote engagement, interaction, and a sense of belonging.","high streets; diversity; destination; liminal spaces; hot spots; transition spaces; thresholds","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Design Crossovers","","51.372840, -0.099711"
"uuid:b94825b5-61e7-4485-8580-86416632d8ff","http://resolver.tudelft.nl/uuid:b94825b5-61e7-4485-8580-86416632d8ff","Reimagining industrial heritage: facilitating tomorrow's manufacturing industry towards a circular city","Hanse, Christiaan (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Remøy, H.T. (mentor); Van den Berghe, K.B.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","For many years, the concept of adaptive reuse of buildings has been researched. A focus on heritage and specifically the implementation of circular economy frameworks is however relatively new. Adaptive reuse of heritage is often concerned a circular strategy for its material reuse. However, there are many more dimensions to circularity in adaptive reuse, ranging from socio-economic values in urban environments to buildings facilitating and stimulating the circular economy by their new functions. Urban manufacturing is one of the functions that can benefit of the added values of industrial heritage. In return, urban manufacturing can provide several values to its urban context and contribute to development of the circular city due to ongoing developments in this sector. The next generation of manufacturers can be well integrated in urban areas contribute to realising circular ambitions, but several principles should be applied to realise this and create balanced urban development. To date, integration of circular economy and adaptive reuse of heritage frameworks is limited and fragmented. An overarching conceptual framework for adaptive reuse in a multidimensional way is missing, and current research often avoids practical solutions and guidelines that can be used by developers and planners. This research aims to provide these frameworks to combine the potentials and values of both heritage and the urban manufacturing industry through adaptive reuse. The main research question: ‘How can industrial heritage facilitate the developing urban manufacturing industry?’ is answered by executing a literature review, interviews, a questionnaire, studying different cases of heritage adaptive reuse, and providing a new framework for assessment and development of industrial heritage for facilitating the urban manufacturing industry.","industrial heritage; urban manufacturing; adaptive reuse; heritage values; circular economy; circular city; development","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:95eca42a-0099-4e24-b0c0-5b5a518feadc","http://resolver.tudelft.nl/uuid:95eca42a-0099-4e24-b0c0-5b5a518feadc","Application of the seeding approach to nucleation in a CaO-SiO₂ system for obtaining the parameters for the Classical Nucleation Theory: A valuable numerical tool for screening potential glassmaking recipes","Nederstigt, Wouter (TU Delft Mechanical, Maritime and Materials Engineering)","Hermans, M.J.M. (mentor); Veer, F.A. (mentor); Sluiter, M.H.F. (graduation committee); Minkels, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","For the use of screening potential glassmaking recipes the seeding method has been applied to a Molecular Dynamics simulated CaO-SiO₂ system in order to attain the parameters for the Classical Nucleation Theory and construct a Time-Temperature-Transformation (TTT) diagram. Using this TTT diagram, the non-crystallisation temperature and the critical cooling rate of the material was determined, two quantities important for the prevention of crystallisation during the glassmaking process. The implementation of the seeding method on the CaO-SiO₂ system involved creating a novel local bond order based detection method for distinguishing the crystalline structure of Wollastonite-1A from the glass melt. Regrettably this method had less than desirable precision which resulted in results for the nucleation rate that can only be used qualitatively. In contrast the results for the crystalline growth rate can be used quantitatively, while the resulting TTT diagram again can only be used qualitatively.","Glass; Crystallisation; Molecular Dynamics; LAMMPS; Seeding; Classical Nucleation Theory; Wollastonite","en","master thesis","","","","","","","","","","","","Materials Science and Engineering","",""
"uuid:87c143fd-9d8c-4f97-b073-e6d17766b1c9","http://resolver.tudelft.nl/uuid:87c143fd-9d8c-4f97-b073-e6d17766b1c9","Fabrication of susainable free-form façades","Rusting, Henk (TU Delft Architecture and the Built Environment)","Bilow, M. (mentor); de Ruiter, P. (graduation committee); Delft University of Technology (degree granting institution)","2023","In contemporary architecture free-form buildings play an important role. The materials used, for example fibre reinforced composites or concrete, are not sustainable. Because the built environment is responsible for a significant part of the global resource usage and because it is one of the most polluting industries it is important to make every effort to reduce its environmental impact. In more conventional architecture renewable and more sustainable materials like timber are among the oldest building materials and are now being rediscovered. In curved façades however it is much less common because curved surfaces and the material properties of renewable and sustainable materials cause complexities in the production and assembly processes. Whether curved façade panels, made from a bio-composite, can be fabricated using an industrial fabrication process has not been extensively researched. The goal of this thesis is to explore the possibilities of making curved façades more sustainable by using a bio-composite and by using an industrial production process. This is done by conducting literature research and by designing a bio-composite façade panel and production process. This process has been supported by experimenting, prototyping and a case study of the Depot Boijmans van Beuningen designed by MVRDV.
Because the bio-composite façade panel is produced using a hot press and an aluminium mould it is best suited for façades of which all panels are identical or for panels with a lot of repetition. One of the advantages of the material, design and production method is that the panels consist of very few parts. The water resistance is something that needs to be improved ether by changing the composition of the material or by applying a bio-based coating.
The study aims to examine how traditional society is being reinterpreted in the face of change and loss, particularly in relation to the shifting notion of a village and its role within rural society. It seeks to redefine countryside conservation strategies in the context of the northwest New Territories by moving beyond the cataloguing of the past and embracing progressive, future-oriented practices. The research proposes a framework for self-managed design processes that actively protect community values, offering an alternative approach to the current revitalization strategies limited to eco-tourism and open-air museum projects.
The proposal accommodated for needs of both indigenous and ‘new’ residents, at the same time maximizing the use of existing developed land, and protecting the surrounding natural context. The possibilities of inter-village collaborations suggested through the project encourage the wider application of local skills and the protection of local businesses. Together come to be a strategy for the active preservation of rural cultural heritage, allowing for the change to achieve continuity.
This work focuses on the implementation and evaluation of three distinct types of log-structured key-value stores within the context of serving as state-management backends for transactional dataflow systems. A key aspect of our implementations is the incorporation of efficient incremental snapshotting functionality. We explore the performance and suitability of these key-value stores in managing state and supporting transactional operations in dataflow systems.
I discovered that TikTok's unique features like algorithm-driven content discovery and short- form video format create a space for users to voice diverse perspectives, raise awareness, and mobilize for social change. This leads to the democratization of discourse and gives voice to individuals who might have been marginalized or overlooked, particularly in the realm of activism. However, I also identified significant challenges, including the spread of misinformation, algorithmic biases, the creation of echo chambers, manipulation by malicious entities, and many more. These issues pose substantial threats to democratic values and processes, undermining trust in reliable sources and obstructing informed decision-making.
Drawing from these findings, I designed strategies to enhance the informed and ethical usage of TikTok. However, implementing these strategies demands a collective effort from a variety of stakeholders, including TikTok as a platform, its users, regulatory bodies, and wider society. A critical challenge is balancing promoting democratic values and maintaining a user-friendly, engaging environment. Implementing all the suggested strategies may not be feasible due to the platform's profitable objectives and complexity. Therefore, I recommend prioritizing the most critical and impactful measures and committing to continuous research, monitoring, and adaptation in response to the ever-changing social media landscape.
Furthermore, I highlight that the responsibility for fostering a responsible information ecosystem extends beyond TikTok. It calls for collaboration among social media platforms, regulatory bodies, educational institutions, and society at large. With concerted effort, we can envision a future where platforms like TikTok serve as spaces for entertainment, and relaxation, as well as catalysts for positive societal change, informed civic engagement, and potent activism.
As the social media landscape continues to evolve rapidly, further research into this domain is essential. We need to keep exploring and understanding the interaction between technology, legislation, and democratic processes to effectively navigate the challenges and opportunities presented by platforms like TikTok.
In order to explore the lives of the people in multicultural neighbourhoods like Bressoux, a common ground had to be found. I believe it is food. And to be more specific: bread. Bread is used in almost every cuisine of every culture. Therefore the research follows the journey of bread trough time, space and the everyday life: Daily bread. The historical and social-cultural research of the production and consumption of bread, also led to an extended research on milling and (communal) wood-fire ovens. The research gave awareness of the importance of how we deal with our primary needs of food, warmth and community. They should be more visible and more directly involved in our daily lives.
The conclusion of the research is translated into the design. The design contains a communal oven within a living room for the neighbourhood, connected with a mill factory using the traditional stone-milling. This is situated in an existing building and surrounded by seven new-built apartment blocks. The apartment blocks consists of three or five apartments, all connected with the chimney of a tile-stove which provides most of the warmth and is located on the ground floor in a shared space.
The mill gives the neighbourhood the opportunity to buy local produces and healthy flour and the communal wood-fire oven a place to make their own bread or other food and share it with their neighbours in a warm space. The housing is based on the principle of sharing the responsibility of their warmth, which creates communities within the building block. So this project tries to give people an alternative future perspective in the winner-takes it all society by reconsidering the way we handle our primary needs. In order to hopefully improve the lives of ‘those others’, simply by giving back the control of their food, warmth and community.
In this report, the author takes use of the case of Rotterdam Chinatown to develop an experimental community design methodology and apply it to the on-site practice. It aims to stimulate communication and resource links within the Chinese community in a globalization context by utilizing open spaces as a medium.
This research starts with the preliminary background study, followed by creating a set of customized pattern languages as the communication, learning, and design tools to engage the Rotterdam Chinatown community and the Chinese community in Rotterdam. A live event held in public spaces of Rotterdam Chinatown is embodied as a performance to evaluate the efficiency of the vision co-created by stakeholders. The final outcome learns about the requirements of the Chinese community of Rotterdam and concludes with refined pattern language and scenario design based on the conditions of Rotterdam Chinatown.
This participatory design methodology featured for the Rotterdam Chinatown community fosters a sense of ownership and empowerment among the community members and encourages active participation in shaping the future of the community in a pure bottom-up approach.
Keywords: community design, cultural glocalization, Chinatown, pattern language, public space
The literature review reveals that the NEC4 contract ECS4 Option C is commonly used for implementing pain/gain sharing mechanisms. Additionally, secondary option clauses such as Option X6 (bonus for early completion) and Option X20 (key performance indicators) are identified. While these mechanisms have primarily been applied in alliances or client-contractor relationships, they can also be adapted for contractor-subcontractor relationships.
Through in-depth analysis of three case studies and eleven semi-structured interviews, it is found that essential conditions for successful pain/gain sharing mechanisms are often missing. Transparency, aligned objectives, consideration of each other's interests, and early involvement of parties are identified as crucial factors for success.
To address the identified gaps in the implementation process, a comprehensive framework is developed, which is well-received by industry experts. The framework aids in selecting the most suitable pain/gain sharing mechanism and ensuring all parties understand its benefits and implications. Two options, an escape plan or commitment to the mechanism, are proposed to provide flexibility.
The developed framework enhances the understanding and implementation of pain/gain sharing mechanisms in the construction industry. By respecting and guaranteeing agreements, the optimal effectiveness of these mechanisms can be realized. This research contributes to bridging the gap between theoretical suggestions and practical implementation, paving the way for more successful construction projects in the Dutch construction industry.","pain/gain sharing mechanisms; collaboration; strategic framework; NEC4; aligning objectives; Bonus-malus","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:a24fd287-c496-4662-b533-1c8aba3b132e","http://resolver.tudelft.nl/uuid:a24fd287-c496-4662-b533-1c8aba3b132e","Green Concrete: Overcoming Challenges and Limitations for Sustainable Building","Zaharia, Alexandru (TU Delft Civil Engineering & Geosciences; TU Delft Materials- Mechanics- Management & Design)","Ye, G. (graduation committee); Ninan, Johan (mentor); Lukovic, M. (graduation committee); Bruins Slot, Bas (mentor); Delft University of Technology (degree granting institution)","2023","This graduation project investigates the integration of green concrete in construction projects for sustainable development. Green concrete, characterized by the use of recycled materials, reduced cement content, and environment-friendly processes, is crucial in minimizing the construction industry's environmental impact. The study addresses the central question of how green concrete can be effectively implemented in construction projects, considering industry-specific challenges.
A mixed-methods approach, incorporating literature review, an online survey, and expert interviews, was utilized. The study extends the definition of green concrete to encompass lower energy and water consumption, a longer lifespan, and contributions to environmental, social, and economic sustainability.
The results indicated moderate awareness of green concrete among industry professionals, highlighting the need for further education. Despite this, the relevance and importance of green concrete were acknowledged. Environmental considerations were found to be the most influential factors in decision-making, followed by social and economic aspects. Seven critical factors affecting the decision-making process were identified, including industry support, personal attitudes, resources, market conditions, leadership, collaboration, and communication barriers. The most significant barrier to innovation was short-term thinking.
Expert interviews reaffirmed the significance of green concrete, though they also emphasized challenges such as high costs, performance concerns, and the lack of established norms.
In response to these findings, the study introduces the Green Concrete Integration Model (GCIM), an adaptive, six-step iterative framework to streamline the incorporation of green concrete into construction projects. The GCIM focuses on adaptability and continuous improvement, addressing technical, economic, socio-cultural, and regulatory challenges through identification, estimation, planning, testing, refinement, scaling up, and monitoring. The model also stresses the importance of stakeholder management and continuous assessment of environmental, social, and economic impacts.
In conclusion, the study offers a pioneering framework in the form of GCIM to facilitate the effective implementation of green concrete, promoting sustainable advancements in the construction industry.","Green Concrete; implementation of innovation; Sustainable building; Challenges & Obstacles; strategic appraoch","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:42123629-e559-4dcf-9e12-3e9a4b20460c","http://resolver.tudelft.nl/uuid:42123629-e559-4dcf-9e12-3e9a4b20460c","Embedding a shelter for the homeless: research and design proposal for a homeless shelter integrated in the streets of Bressoux","van Dillen, Teun (TU Delft Architecture and the Built Environment)","Vermeulen, P.E.L.J.C. (mentor); van Deudekom, A.B.J. (graduation committee); Schreurs, E.P.N. (graduation committee); van der Schans, R.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","This project was concerned with the design of a homeless shelter in the neighbourhood of Bressoux, Liège. Positions of three architects regarding the attitude of Weiterbauen are explored and expressed through an essay, ultimately shaping a personal architectural position towards the social and architectural embedding of a homeless shelter in the neighbourhood.","Urban Architecture; Liège; Homeless shelter; Weiterbauen; Bressoux; Weiterbau","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","50.643912, 5.596683"
"uuid:98d915be-e666-4dd6-bb08-d413de429c5d","http://resolver.tudelft.nl/uuid:98d915be-e666-4dd6-bb08-d413de429c5d","Retreat the line: Empowering play as an other way of making architecture","Biernacki, Jakub (TU Delft Architecture and the Built Environment)","Alkan, A.S. (mentor); Reinders, L.G.A.J. (graduation committee); Eckardt, H.F. (mentor); Delft University of Technology (degree granting institution)","2023","In the face of the prevailing norms and practices in contemporary architecture, there is a growing need to find alternative ways of making architecture that prioritize human experiences, social interactions, and a deeper connection with the built environment. The examination of empowered play as a revolutionary approach to design is the focus of this thesis, ""Retreat the Line,"" which offers a break from conventional paradigms. It emphasizes the importance of challenging the prevailing urban planning trends that prioritize financial gain and rigid quantification, often leading to the commodification of public spaces. Instead, the argument for a qualitative approach put out by suggested moments of architecture embraces wastefulness, unexpected moments, and the co-creation of spaces that encourage serendipity and delight.
""Retreat the Line"" is an appeal for architects to adopt a more all-encompassing and human-centered approach to design. In order to build lively, inclusive, and meaningful built environments that improve quality of life and develop a feeling of community and belonging, it urges practitioners to investigate the potential of the architectural agency.","play; derive; line; detail as motif; architectural agency","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Design Crossovers","","51.482125, -0.085683"
"uuid:fe3e79ad-a0b0-459e-ab07-cfa7af661455","http://resolver.tudelft.nl/uuid:fe3e79ad-a0b0-459e-ab07-cfa7af661455","Carbon net-zero urban area developments in the Netherlands: A framework for developers to influence carbon offsets","Bedeaux, Daphne (TU Delft Architecture and the Built Environment)","Heurkens, E.W.T.M. (mentor); Greco, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","To prevent global warming, CO2 emissions must be reduced by 95%. The
building and construction industries account for over 15% of all direct CO2
emissions. However, currently there are no regulations for carbon net-zero
developments. Investors adhere to current sustainability requirements because
there are many barriers develop carbon net-zero. To achieve carbon net-zero,
buildings have to be energy-neutral, and climate-friendly as possible all other
emissions must be minimized and compensated. What we now often see is that
a forest is planted somewhere else to compensate for the carbon emissions.
Instead, urban areas themselves could be a solution to compensate for carbon
emissions. Furthermore, the UN argues that plans against global warming are
still inadequate and also other parties have to take responsibility to reduce
carbon emissions. Yet, how they should act is unknown. The one thing that is
known is that private parties have a steering role in sustainable urban area
developments. Based on this problem statement, the following main research
question is formulated: What can developers do to influence carbon offsets in
the development areas in the Netherlands? To answer this question, a literature
review is conducted. Moreover, case studies with semi-structured interviews
and an expert panel have been used to validate. Developers could translate
indirect and direct influenceable barriers into opportunities and thereby
influence each phase with the necessary parties and the necessary tool. The
framework provides a structured approach to navigate complexities and
maximize impact, resulting in a greener future with less carbon.","developers; influence; carbon net-zero; urban area development; conceptual framework","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:ea36cb04-0d1b-4b85-92ba-7f056f457646","http://resolver.tudelft.nl/uuid:ea36cb04-0d1b-4b85-92ba-7f056f457646","New Building for Flemish Museum of Contemporary Art in Antwerp","Moskal, Marianna (TU Delft Architecture and the Built Environment)","Pietsch, S. (mentor); Parravicini, M. (mentor); Thomas, A.R. (mentor); Pimlott, Mark (graduation committee); De Vocht, S. (graduation committee); Rosbottom, D.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This year's Interiors Buildings Cities graduation studio focused on reimagining the contemporary art museum typology through the lens of M HKA in Antwerp. The process of designing a new museum building, guided by principles of anti-monumentality and a dedication to public engagement, led to a site-specific intervention revolving around the excavation of the former Zuiderdokken area. This intervention not only influenced the architectural expression, but also resonated with M HKA's anti-institutional identity.
The act of excavation serves to shape the architectural character of the museum and the park's topography, as the excavated soil is repurposed for rammed earth construction. The idea of excavation extends beyond the physical act of digging, it also encompasses the exploration of negative spaces and interstitial areas within the design. These spaces are intentionally integrated to create opportunities for art encounters, social interaction and various levels of public engagement throughout the museum experience.","museum; museum architecture; contemporary art museum; contemporary art; architecture for art; M HKA; Antwerp; Antwerp Zuid; Zuiderdokken; Interiors Buildings Cities","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Interiors Buildings Cities","",""
"uuid:edded4fe-b6de-4c85-a349-5993c09a2ab3","http://resolver.tudelft.nl/uuid:edded4fe-b6de-4c85-a349-5993c09a2ab3","Adaptable load-bearing timber structures: Using a scenario based design methodology","Peet, Myrthe (TU Delft Architecture and the Built Environment)","Brancart, S. (mentor); Koskamp, G. (mentor); Naldini, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","The life span of buildings is currently largely determined by economic motives. This often results in a large number of vacant buildings, or unsubstantiated demolition. Since the building industry has the most significant consumption of natural resources compared to other industries worldwide, it is important that the ecological motive gets prioritized more. A strategy to minimize the use of new materials and prevent demolition is to adapt existing buildings to new functional requirements. Current existing buildings are most often not built with the intention to be adapted in the future, making adaptation activities not feasible. Structures that are intented for future adaptation, are mostly focused on complete deconstruction, while most functional changes only require small adaptations. The aim of this research is to develop a framework for the design of adaptable load-bearing structures, focused on adapting individual building components to extend the functional life span of a building. Since there is a level of uncertainty related to the future life span of a building, a scenario based design method is used to formulate different design scenarios to which an adaptable structure should comply. The framework consists of five design domains: material, structural layout, kit-of-parts, building layers and construction process. These domains are explored parallelly on the aspect of adaptation and are evaluated using circular design qualities. The design proposal addresses a structural principle that consists of a primary and secondary connection, in which the secondary connection is used during an adaptation process. The adaptable structural system performs better on the circular design qualities when compared to existing circular systems, mainly on the independency and compatibility. However, the adaptability performance of a building is not solely reliant on the load-bearing structure. This principle only works when the other building layers are also designed with adaptability in mind. This research contributes to the building industry research field by providing a well-rounded overview of factors where adaptability could be implemented into the design process and rethinking the approach to handling the life span of a building.","Design for adaptability; Hardwood; Circular design qualities; Glulam; LVL","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:18c0c679-2b62-4f04-be33-e2ca77775e94","http://resolver.tudelft.nl/uuid:18c0c679-2b62-4f04-be33-e2ca77775e94","Rethink Modern Mobility","Kaasschieter, Thomas (TU Delft Architecture and the Built Environment)","Cavallo, R. (mentor); Eckhardt, V.P. (graduation committee); Kuijper, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This research paper is the research that will be comple- mentary to the mobility hub design made for Architectural Design Crossovers Graduation studio. The aim of this research is to find out which urban design interventions are needed to move the city of Lon- don out of the car and get them to walk, cycle and use public trans- port. First several concepts are discussed that form the theoretical framework. Then the research starts with positioning within literature about design handles for implementing cycle infrastructure. After, the future of mobility is discussed and several precedents where the car was removed in favour of pedestrians and cyclist are analysed. The findings are then bundled and implemented at the design site in Il- ford, London. The result is an urban proposal for the area, with a focus on slow traffic and the transfer to public transport, which the design of the graduation studio will build further on.","Cycling; Mobility hub; ARCHITECTURE","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Design Crossovers","Decelerated Hub","51.55806766358689, 0.06602677057433456"
"uuid:239ca9e6-152e-435b-ac7b-91e10b386436","http://resolver.tudelft.nl/uuid:239ca9e6-152e-435b-ac7b-91e10b386436","Multilateration based ADS-B validation using a Particle Filter","Landzaat, Tom (TU Delft Electrical Engineering, Mathematics and Computer Science)","Driessen, J.N. (mentor); van Hintum, Hans (mentor); Yarovoy, Alexander (graduation committee); Joseph, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Automatic Dependent Surveillance Broadcast (ADS-B) allows aircraft to broadcast their own position, speed, altitude, and other information to ground stations and other nearby aircraft. This information is then used by air traffic control for situational awareness, and collision avoidance. ADS-B spoofing is possible due to the lack of authentication and encryption in the ADS-B protocol. This can result in incorrect decision-making and potential safety hazards. Validation of the location of the ADS-B message is required for Luchtverkeersleiding Nederland (LVNL) such that it can maintain separation minima between civil aircrafts, whilst using ADS-B operationally.
Analysis of possible approaches for ADS-B validation has resulted a multilateration (MLAT) based approach. Time of Arrival (TOA) measurements of the ADS-B messages are used to validate the location. For validation, at least two Ground Stations (GS) are required instead of the four GSs required for a MLAT track, allowing for ADS-B validation in a larger area than it is currently used for in a tracking application. If an ADS-B message is considered validated, its content can be used by ATC. Therefore MLAT based validation results in an increased surveillance coverage. Validation is achieved in two steps, first a tracker is used to compute the state of the target using the TOA measurements, secondly this state is compared to the ADS-B location using a likelihood ratio test.
Tracking is done using a Sequential Importance Resampling (SIR) Particle Filter (PF). Classical PF issues as the degeneracy problem and sample impoverishment problem are mitigated by using a novel sampling method that samples directly from the measurement at the initialization of the SIR filter. Without this novel method a traditional SIR filter (where the proposal density is uniformly distributed) requires roughly a million particles to converge on the location of the target. Below this amount of particles the traditional SIR filter fails. The proposed SIR filter can converge on the location of the target using only 1000 particles.
To provide LVNL options and insights, three different likelihood ratio tests are proposed, namely the Minimum Bayes Risk, The Neyman-Pearson and the Minimax Hypothesis test.
Performance of the algorithm is investigated using a case study where data from LVNL’s Surveillance Data North Sea (SDNS) MLAT system is used. Results have found that each test is capable of correct ADS-B validation. The limiting factor in the validation algorithm is the quality of the state estimate. At lower altitudes (<FL20) state estimation can fail and therefore also the hypothesis test. Above this altitude, spoofed targets can be detected if the distance between the spoofing transmitter and the location inside the spoofed message is roughly 1000 to 2000 meters depending on the hypothesis test used. Horizontally, this falls within LVNL’s separation minima, vertically, this falls outside the separation minima.","ADS-B; Multilateration; Validation; Hypothesis Testing; Air Traffic Control","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:cbf14001-0160-4ae5-8a12-6ce3e308ac90","http://resolver.tudelft.nl/uuid:cbf14001-0160-4ae5-8a12-6ce3e308ac90","Accessibility enhancement by the 15-minute city in Amsterdam Nieuw-West: To what extent is the 15-minute city concept able to enhance social sustainability?","van Os, Thijmen (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Geurts, E.H.M. (mentor); Ersoy, A. (mentor); Bilow, M. (graduation committee); Mulder, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The 15-minute city is an urban planning concept which seeks to enhance social sustainability by improving accessibility. In this master thesis accessibility in Amsterdam Nieuw-West is explored to better understand the link between the 15-minute city concept and social sustainability to answer the research question: To what extent is the 15-minute city able to enhance social sustainability? Empirical research is conducted to identify the indicators that truly affect accessibility. Literature regarding the subject has pointed out two scale levels which affect accessibility. The macro scale relates to accessibility of the infrastructure network and availability of facilities while the micro scale relates to the indicators affecting the accessibility of a place. There where also two categories of accessibility indicators defined. Physical accessibility indicators experienced directly because of the built environment and social accessibility indicators experienced because of the behaviour of people inhabiting the built environment. This creates an interesting dynamic of the designed physical accessibility and the social accessibility resulting from it. After the initial literature review, three research methods were used in the case study in Amsterdam Nieuw-West. First two project mangers affiliated with the municipality were interviewed which alongside case study documents aided the framework of known accessibility indicators in Nieuw-West. Thereafter, the way accessibility is experienced was studied on both scale levels. The macro scale by interviewing residents about their decision making process regarding their routes and destinations utilising mental maps and the micro scale by street interviews with users of Osdorpplein. The findings show that the 15-minute city concept is not applicable as a one size fits all solution for urban development as that would be discriminatory because of the different desires and capabilities of inhabitants. However, indicators of the 15-minute city on their own are able to improve accessibility and thus social sustainability.","Accessibility indicators; Physical accessibility; Social accessibility; X-minute city; City of proximities; Walkability; Accessibility factors","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","","52.35721100357895, 4.8073932535039985"
"uuid:076ad719-fd82-4d31-b629-b6fb815e13dd","http://resolver.tudelft.nl/uuid:076ad719-fd82-4d31-b629-b6fb815e13dd","A ten-step framework for finding applications for a breakthrough technology: Partially applied to the case of quantum dots","'t Hoen, Jesse (TU Delft Technology, Policy and Management; TU Delft Applied Sciences)","Ortt, J.R. (mentor); Veeneman, Wijnand (graduation committee); Delft University of Technology (degree granting institution)","2023","New Product Development (NPD) of applications incorporating breakthrough technologies can be beneficial for companies, but can also come with serious drawbacks. Therefore, the NPD process must be approached with great care. Rather than adopting the chaotic trajectory of the NPD process, where applications are introduced, withdrawn, and reintroduced naturally over time, business prosperity could be enhanced if companies can up-front formulate a set of possible future applications for a breakthrough technology. The most promising alternative can hereafter be chosen to be further developed in the NPD process, possibly reducing the chance of having to switch to the development of other applications (and thus circumventing the Collingridge dilemma). In this thesis, I start with defining the terms (breakthrough) technology and application. Then, I suggest a ten-step framework that is suited for formulating applications for a breakthrough technology, based on the comparison and symbiosis of five existent frameworks that are helpful in reaching the aforementioned goal. Factors that are of importance in that process are also investigated. None were discovered in scientific literature, but some suggestions are made based on the current work. The framework is applicable to breakthrough technologies of which it is non-obvious, and even unsure, what the technology can do, how it can be implemented into applications, and whom it might serve. Next to this, the breakthrough technology must still be in the innovation phase. The process itself must make use of qualitative and quantitative approaches in a balanced way, must continuously involve known sets of experts, must look into the future, and must formulate concrete applications for the emerging technology. The framework is then partially applied to the breakthrough technology of quantum dots (QDs). The technology profile and the application profile were gathered, first, based on scientific records. Then, the most frequently used keywords and the most increasingly used keywords were retrieved for both profiles. The most frequently used keywords showed that carbon dots are the most dominant area of research that is being conducted on QDs and that optics and imaging are the two major fields where QDs are being incorporated. The most increasingly used keywords confirmed the observation that QDs are in the adaptation phase, where QDs are still surrounded by substantial uncertainty. Finally, with the aid of text mining software of VantagePoint and programming software of R, two dendrograms were formed. The remaining steps of the framework were not carried out in the current thesis project. It was concluded that the ten-step framework is most likely better suited for breakthrough technologies that are more in their infancy than QDs (so, breakthrough technologies still in the innovation phase). The framework should, next to this notion of novelty, be applicable to any breakthrough technology, regardless of the field that the breakthrough technology is situated in. As long as it is non-obvious, and even unsure, what the breakthrough technology can do, how it can be incorporated into applications, and whom it might be useful for.","breakthrough technology; finding applications; framework; quantum dots","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:2b7ecb73-862d-456e-883f-4fe7dc93cb69","http://resolver.tudelft.nl/uuid:2b7ecb73-862d-456e-883f-4fe7dc93cb69","From Oil to Soil: Time-space speculations for ecological regeneration in operational landscapes","Ferreira Martins, Luísa (TU Delft Architecture and the Built Environment)","Alkan, A.S. (mentor); Medici, P. (graduation committee); Sohn, H. (mentor); Delft University of Technology (degree granting institution)","2023","Extractive and productive landscapes are the backbone to contemporary
urban life. However, they are commonly overlooked by the fields of spatial
studies and practices. One amongst many, Cubatão’s petrochemical hub
reveals the systematic ecological degradation resulting from resourceintensive
commodified politics, couched in the widely diffused rhetoric
of development and progress and distinctly linked to events of the Global
North. Impending global shifts, such as the retreat of fossil fuels and the
increase in renewable energy sources, raise questions on the vulnerability of
these places and on their abilities to evolve spatially, ecologically and socially.
Through a critical review of what has been conducted so far in terms of
design, planning and policies and a reflection on the agency of Architecture,
the research speculates over new forms of space production that engages
with the complex spatial conditions and the diversity of agents on site.","speculative design; Operational landscapes; Post-Development","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Transitional Territories","","-23.895278, -46.425556"
"uuid:5a693de4-8c4b-4cd9-9571-a9627d3478c4","http://resolver.tudelft.nl/uuid:5a693de4-8c4b-4cd9-9571-a9627d3478c4","Interwoven: rethinking work-live relations in a post-industrial neighbourhood","Vink, Femke (TU Delft Architecture and the Built Environment; TU Delft Urban Architecture (OLD))","Vermeulen, P.E.L.J.C. (mentor); van Deudekom, A.B.J. (mentor); Schreurs, E.P.N. (mentor); van der Schans, R.M. (mentor); Hoekstra, J.S.C.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","""Interwoven: Rethinking Work-Live Relations in a Post-Industrial Neighborhood"" is a graduation project focused on the neighbourhood Bressoux in Liege, Belgium. This project aims to address the socio-economic challenges of a post-industrial city by reimagining the relationship between work and living spaces. By repurposing existing industrial structures within the neighbourhood and integrating new buildings, the project creates a vibrant and interconnected community. It provides opportunities for local craftsmen, entrepreneurs, and residents to work, collaborate, and engage in shared activities. Through thoughtful design, the project seeks to revitalise the neighbourhood, foster social cohesion, and empower the financial and social position of the city.","Liège; Post-industrial; work/live","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","Black Hill City","50.644522, 5.596047"
"uuid:b58b589b-ed20-4dd7-9523-9cdca424a965","http://resolver.tudelft.nl/uuid:b58b589b-ed20-4dd7-9523-9cdca424a965","Narration of Embodied Memories: Funerary and Memory Space","Kobayashi, Anji (TU Delft Architecture and the Built Environment; TU Delft Architecture)","van Lierop, J.P.M. (mentor); Eckardt, H.F. (mentor); Alkan, A.S. (mentor); Delft University of Technology (degree granting institution)","2023","The research deals with funerary scenes of London through various aspects of significance such as memory, material and ritual. The expression and reaction to one's death in the built environment are studied through both quantitatively and qualitatively, where the first looks into the underlying facts in London's history and current statistics depicting indifferent facts at a meta-level. The later focuses more into human experiences and feelings throughout grieving period and influences of spacial quality in how people remember and practice rituals. The project, which derives from this research is a funeral and memorial space in St. George's Garden, which locates itself within the bustle of London city centre. The ultimate goal of the project is to provide a collective memorial where encloses personal attachment and their remembrances. Therefore, through modulating of embodied experiences and sequences of different spacial character, one creates their individual memories with the deceased and exchange farewell. The physical fabric of the memorial is shared collectively, while the personal sentiment towards the material is established through funeral ritual.","Funerary Ritual; funerary space; memorial architecture; cemetery; memory space","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","51.525838,-0.121010"
"uuid:e3e80e91-c374-4b04-b9f5-208bc638e2de","http://resolver.tudelft.nl/uuid:e3e80e91-c374-4b04-b9f5-208bc638e2de","Steering on feasibility in the context of urban area development: A case study research that explores how public and private actors in collaborative governance steer on feasibility in the context of urban area development","Sep, Isis (TU Delft Architecture and the Built Environment)","Daamen, T.A. (mentor); de Jong, P. (graduation committee); Delft University of Technology (degree granting institution)","2023","Contemporary urban area development is a complex and multifaceted task, requiring the integration of diverse actors, interdisciplinary knowledge, and financial resources. Collaborative governance approaches have emerged as a response to these challenges, emphasizing knowledge-based collaborations and consensus-oriented decision-making. This study aims to investigate how public and private actors in collaborative governance steer on feasibility in the context of urban area development. The research focuses on understanding the assessment of feasibility, important milestones in the governance process, risks that substantially pressure feasibility, and how these risks are managed within collaborative governance agreements. The main research question is: How do public and private actors in collaborative governance steer on feasibility in the context of urban area development? The theoretical framework highlights the role of collaborative governance in steering towards feasibility and managing risks in urban area development. The empirical research, based on a case study of the Vroondaal project in The Hague, examines the assessment of feasibility and the management of risks. The findings reveal key risk factors, such as revenue increase, cost increase, cost of land development, interest rate fluctuations, land price, land sale, and market decline. Risk-management strategies include financial models, financing and interest rate considerations, land sale rate and real estate development strategies, investment and revenue adjustments, and collaboration agreements and responsibilities. The study concludes that public and private actors steer on feasibility through collaborative governance processes, as stakeholders collectively identify, analyze, and manage risks in the context of urban area development.","Public and Private Actors; Risk-management; Collaborative Governance; Urban Area Development; Feasibility","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:9ec9ad5a-d060-4850-84b1-a0553e92a3c1","http://resolver.tudelft.nl/uuid:9ec9ad5a-d060-4850-84b1-a0553e92a3c1","Brutally Honest, on why should we save ugly buildings: Research and Design Project of adaptive reuse and socio-architectural rehabilitation of Atlas Tower in Liège, Belgium","Murzyn, Zuzanna (TU Delft Architecture and the Built Environment)","Ronner, E.I. (mentor); Lafeber, J.W. (mentor); Stalker, S. (graduation committee); Reinders, L.G.A.J. (mentor); Delft University of Technology (degree granting institution)","2023","Unappreciated by the public, and ambiguous with its history, Brutalism has its followers, among designers and architects yet it is still a stylistic outcast. La tour de Droixhe, the high-rise at hand, thus, is seen not only as heritage but also as a lonely giant towering over the city of Liège, Belgium. This brutalist social housing development presents itself as an example of many other similar cases across Europe where brutalist buildings are met with discontent from the public and with growing mismanagement, they often face a certain demolition, therefore this project explored both within design and programme why should we save ugly buildings and how to change their fate. Taking on the original message of brutalism as an ethical approach, the project brings in the possibilities of enhancing social cohesion in the case of high-rise housing. Answering the challenges that living off-the-ground creates through designing clusters within the tower working on the premise of cooperative living. Humanising brutalism and reclaiming its aesthetics as unique and worth building on and upon.","Brutalism; High-rise Building; Belgium; Liege; social housing; Preservation; reuse; Adaptive regeneration; urban architecture; tower; housing typology; co-housing; 1970s; concrete structure; reuse concrete","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","50.647064, 5.602899"
"uuid:9bd11edb-977c-4878-92ee-8c782b55f066","http://resolver.tudelft.nl/uuid:9bd11edb-977c-4878-92ee-8c782b55f066","Design of architecture principles for a logistics focused, multi-sided data marketplace architecture","Kassa, Saga (TU Delft Technology, Policy and Management)","Zuiderwijk, AMG (mentor); Hernandez Ganan, C. (mentor); Delft University of Technology (degree granting institution)","2023","In today’s increasingly interconnected and digitized world, the logistics industry plays a critical role in global trade, enabling the seamless movement of goods across essential supply chains (Reinsel et al., 2020). The rapid evolution of digital technologies has led to an unprecedented proliferation of data generated from various aspects of logistics operations. However, despite the potential of this data to drive efficiency, cost reduction, and supply chain optimization, the logistics industry has been slow to embrace large-scale data sharing practices, resulting in a fragmented data ecosystem (PwC, 2019). Large-scale data sharing in the context of the logistics industry refers to the efficient exchange of information across various stakeholders, including shippers, carriers, freight forwarders, and other logistics service providers. By enabling access to a vast pool of data, logistics service providers can gain a more comprehensive understanding of the overall supply chain, leading to numerous benefits for the industry. Multi-sided data marketplaces (MSDM) are a promising concept that can play an important role in addressing this lack of data sharing on a large scale among businesses for any domain (Koutroumpis et al. (2020b)). They take the role of the neutral middleman by providing a platform to facilitate the data transactions, the ability to search for data sets and provide complementary services. Despite their perceived benefits, however, many initiatives remain in early stages (Spiekermann, 2019) and little research is published that demonstrates the usability of the concept for the logistics industry. As no open data sharing platform currently exists for the logistics industry (Bastiaansen et al., 2020), conceptualizing a multi-sided data marketplace architecture which meets the domain-specific multi-stakeholder context may contribute with novel insights...","data marketplace; logistics data sharing; multi-sided data marketplace; architecture principles; architecture requirements; qualitative study; design science research; large-scale data sharing; data sharing platforms","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:76fab473-6181-49ee-bd17-dec6138ae22a","http://resolver.tudelft.nl/uuid:76fab473-6181-49ee-bd17-dec6138ae22a","MADE IN LIÈGE: Contextualizing production with every day’s activities in a post-industrial city","Chau, Ka Yee (TU Delft Architecture and the Built Environment)","Ronner, E.I. (mentor); Reinders, L.G.A.J. (mentor); Lafeber, J.W. (mentor); Stalker, S. (mentor); Delft University of Technology (degree granting institution)","2023","Liège with its post-industrial identity has a long history as an important manufacturing center in Europe for steel making and coal mining. However, the segregation between production and the city, has made the industry detached from the urban condition and the everyday life. Today, whilst new urban productive typologies were spotted in Liège that offered chances for hybrid and innovative making, manufacturing found its root to thrive in the city – and this is what we identified – a new industrial urbanism.
“A good city has industry.”
This design project argues that industry should be part of the city. It aims to develop a new factory type with the involvement of human scale and everyday life in the current urban setting to eliminate segregation between human and machines, and thus suggests a mixed-use program with a focus of work and production.
So, how can production be visible and fit into the city?
The design approach is to go hybrid, to combine production and consumption activities, and to have a closer loop from producers to consumers. The project hopes to achieve a productive co-existence of different functions within a building.
Today’s concerns are not only about how to design a factory building working efficiently as a machine, but also the social aspect of a factory, for example, the human factors which guide the spatial design for circulation and interactions for the workers, neighbors and commuters.
“Made in Liège”, the project is strategically located next to the Bressoux train station entrance area, at the intersection of the railway and a bridge, thereby forming an important urban transition between the industrial area of Droixhe and the neighborhood of Bressoux.
Having a long and linear shape in connection with an existing bridge, the building is almost like a train with many carriages, which you can enter separately, and they have their own identity but still be able to work together. In different carriages, it responds to different researched aspects (productive urbanism, working environment, social interactions) under the topic “Human & Machine”. When different cabinets come together, it becomes a mini city in one building.
As a result, the present study aims to investigate the impact of implementing the metaverse on the operations of B2B firms. By conducting a qualitative research approach, comprising a series of 16 interviews with participants from diverse backgrounds and expertise, this study undertakes a comprehensive examination of the definition, advantages, challenges, preparatory measures, and sustainability of metaverse implementation in B2B firms.
This research advances knowledge on metaverse implementation in the B2B sector, offering theoretical and practical insights into benefits, challenges, preparation, and sustainability. It equips managers with the knowledge to assess the metaverse's potential, address risks, and adopt successful implementation strategies.","Metaverse; Business-to-Business (B2B);; Implementation; Firm Operations","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:d53ab94d-e5a0-4c97-ba1c-83e49c5881e1","http://resolver.tudelft.nl/uuid:d53ab94d-e5a0-4c97-ba1c-83e49c5881e1","An ontology design enhancing the information transfer between project delivery and asset management: A design science research project within the municipality of Rotterdam","Kooyman van Guldener, Gert-Jan (TU Delft Technology, Policy and Management)","Annema, J.A. (mentor); Zuiderwijk, AMG (graduation committee); Sipman, Ivo (graduation committee); Delft University of Technology (degree granting institution)","2023","As digitization moves on, more data is produced and the value of this data increases. However, data is still transferred manually between different digital systems. Reason to manually transfer information is lacking interoperability between these systems. Huge costs are related to this lacking interoperability as they cause high data losses. Issues with interoperability and information losses are present as well in the field of asset lifecycle information management. Especially in the transition of project delivery to asset management.
This research investigated the stated problem within the Engineering office of the municipality of Rotterdam. The research followed a design science research approach consisting of five steps. First the scientific knowledge base was defined, second the local practice is researched. Third design requirements were listed based on the pre-taken steps. Fourth an ontology was designed based on these requirements. Fifth, based on the investigated scientific literature into the topic the following objective was constructed:
“Design an ontology linking assets and their related information to BIM data-schemes and domain ontologies in a general way.”
Based on the analyses of the scientific knowledge base and the in-depth research cases a list of design requirements was established. To optimize the value of the ontology additional requirements from the FAIR principles were derived. Based on the derived requirements an ontology was designed with the main features as showed below. A main limitation of the research is that the design is not tested or evaluated extensively.
• Objects are central in the design, meaning, all information should be linked, eventually indirectly, to an object.
• Information type is included as a specific entity to provide structure in the information.
• Information related to an information type can be expressed in attributes, documents, and activities.
• The information inside a document can be made explicit in attributes and activities.
• The modelling references can refer to a specific object in a specific BIM-model or to meta-object of a specific BIM data-scheme.
• The domain ontologies refer always to a specific instance within such an ontology.
• Findability and accessibility are incorporated by the means of a separate regulation entity to provide flexibility on the abstraction level of regulation.
The research has some clear contribution to as well the scientific knowledge base as the local practice. Scientific contributions of this research are the designed ontology and the analysing made of the FAIR principles in relation to their application on an ontology for governmental data instead of scientific data. It should be mentioned that no extensive literature research is performed into an earlier application of this kind. Regarding the local practice, the main recommendation, next to the designed ontology, is to state explicit what information is in what documentation. This makes it easier to align the information available and needed and thereby enhances an early consideration on the information transfer process.
To conclude some recommendations for future research are presented. Future research could validate the findings of this research by investigating the information transfer withing the engineering of Rotterdam or strengthen the generalizability by doing the investigation within other major Dutch municipalities or other organizations dealing with the same problem. In addition, the ontology design could be tested and validated. Finally, it is recommended to do research in the application of software analysing documentation and able to extract explicit attributes and activities within these documents. The current developments regarding artificial intelligence and language models may offer opportunities to derive explicit knowledge from documents and transfer it in an explicit way solving a part of the problem at hand.
Reducing energy consumption and raising thermal comfort is possible by adding thermal insulation to the building envelope. Most historic buildings have facades with cultural, historic and aesthetic value, therefore, internal insulation is proposed as a suitable measure. The application of internal insulation changes the hygrothermal behaviour of a facade significantly and might result in hygrothermal risks such as frost damage, interstitial condensation and mould growth. The change in hygrothermal behaviour depends on the type of internal insulation system. Nowadays, vapour-tight and vapour-open, capillary active, internal insulation systems are mostly used for historic buildings.There is no consensus about the third internal insulation system: a vapour-open, non-capillary active due to a lack of knowledge about the hygrothermal behaviour of this system from theoretical and practical perspectives.
The aim of this research is to gain insight into the most influential hygrothermal properties of vapour-open, non-capillary active, internally insulated historic solid brick masonry. Through literature review, background information is obtained on the hygrothermal behaviour of vapour-open, non-capillary active internal insulation of historic solid brick masonry by exploring the factors that influence this behaviour. Prerequisites for the risk-free hygrothermal performance of a vapour-open, non-capillary active, internally insulated historic solid brick masonry wall are defined based on the risk of mould growth due to interstitial condensation and taking moisture-sensitive wooden elements into account. This approach is sufficient for one-dimensional and two- or three-dimensional situations.
The influence of hygrothermal properties on the hygrothermal behaviour of vapour-open, non-capillary active, internally insulated solid brick masonry of historic residential buildings is studied by a parameter study, which is carried out through Heat, Air and Moisture simulation software WUFI 2D.4. To gain insight into the influence of the hygrothermal properties on the hygrothermal performance, the boundary condition of the outdoor environment is simplified by excluding solar radiation and wind-driven rain. In the parameter study, four hygrothermal properties were studied: the μd-value of the finishing layer, the μd-value of the insulation layer, the thermal performance of the insulation layer and the moisture storage capacity of solid brick masonry. Finally, a prediction method of the hygrothermal performance of a building component is explored, which can be a useful tool to quickly assess the hygrothermal performance of a building component, without conducting advanced Heat, Air and Moisture simulations which might not be available.
From the research, it can be concluded that for the studied hygrothermal properties and boundary conditions, the moisture storage capacity of historic solid brick masonry has the most influence on the hygrothermal behaviour of a vapour-open, non-capillary active internally insulated historic solid brick masonry facade. The outcome of this research shows that a high moisture storage capacity of solid brick masonry has a lower risk on mould growth due to interstitial condensation at the interface between the solid brick masonry and insulation layer. Furthermore, the explored prediction method shows quite adequate predictions for the single variation of the parameters.","Hygrothermal performance; Hygrothermal behaviour; vapour-open, non-capillary active; internal insulation; historic solid brick masonry","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:84e2f973-71f6-4875-82e9-25e434f9ebb2","http://resolver.tudelft.nl/uuid:84e2f973-71f6-4875-82e9-25e434f9ebb2","GPU-Accelerated GOMEA: Solving the max-cut problem by large-scale parallelisation of GOMEA using GPGPU","Kartoredjo, Nando (TU Delft Electrical Engineering, Mathematics and Computer Science)","Bosman, P.A.N. (mentor); Bouter, P.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","With the advances in General-Purpose computing on Graphics Processing Units (GPGPU), it is worthwhile to explore whether other areas in the field of Artificial Intelligence (AI) can reap the benefits. One such area is Evolutionary Algorithms (EAs), which—among other processes—involves the repetitive exchange of genes among individuals. This repetitive nature aligns with our intuition for parallel optimisation, precisely what GPGPU is designed for. Currently, the state-of-the-art approach in EA is known as Gene-pool Optimal Mixing Evolutionary Algorithm (GOMEA), which capitalises on the information embedded within the population by computing the linkage between genes across the entire population. However, when it comes to parallelising the exchange of complete linkage sets, particularly in the context of our specific problem of interest, the challenge becomes more intricate.
In the case of our problem, known as Max-cut, there are dependencies between genes that must be considered when constructing parallel sets of linkage sets, referred to as packages. We propose three solutions: contamination, revision, and association. Contamination fully utilises parallel capabilities but deviates from the concept of linkage sets. Revision constructs the linkage sets as described by GOMEA, but keeps the dependencies between linkage sets within a package untouched. Association on the other hand attempts to resolve the dependencies by generating a dependency graph to create the set of packages.
From our experiments, we can conclude that parallel acceleration using GPGPU is roughly on par with—and sometimes even outperforms—its non-parallelised counterpart. Out of the three solutions, it is evident that association demonstrates the most promising performance profile in terms of approaching the optimal solution. However, the performance falls significantly short of matching the capabilities exhibited by GOMEA. Furthermore, all of the solutions face a significant burden when evaluating the fitness for each exchanged linkage set. An option to consider as an extension to the current setup is known as partial evaluation, although the performance exhibited by contamination implies that simplicity could be the key to success. Further exploration of the acceleration process using widely employed parallel operators—such as those found in linear algebra—has the potential to yield valuable insights for enhancing performance.","GPGPU; GOMEA; EA; Max-Cut","en","master thesis","","","","","","","","","","","","Applied Mathematics","",""
"uuid:a39973d6-f497-4857-9ee9-9ece204ec180","http://resolver.tudelft.nl/uuid:a39973d6-f497-4857-9ee9-9ece204ec180","Towards a Sustainable and Liveable Desakota: Designing for sustainable industry transition in the peri-urban territory of the Greater Bay Area","Liu, Shiru (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Wandl, Alex (mentor); Yu, Hsinko Cinco (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis explores spatial strategies for achieving sustainable industry transition in the dispersed urbanised areas of the Greater Bay Area (GBA) in China. Since 1978, urbanisation in the GBA has accelerated, significantly expanding beyond metropolitan regions. These dispersed areas, described as the Desakota by Terry McGee, encompass a patchwork landscape of urban and rural settlements, as well as industrial and agricultural lands. However, this region faces pressing issues, including unsustainable industrial activities, fragmented landscapes, inadequate public services, and a loss of identity. The existing urban-rural dichotomy planning system fails to address these challenges, leading to environmental degradation and a decline in quality of life. Therefore, there is an urgent need for a regional strategy to explore the potential for sustainable and liveable urbanisation in Desakota.
Hence, this thesis adopts the “Netzstadt” concept as a guiding methodology from a regional perspective. It leads to defining Desakota’s spatial and functional nodes and connections, identifying potential elements for sustainable industrial transformation, adapting structural features through reference projects, experimenting with a design project, and proposing an adapted planning system.
These assignments yield three significant outcomes. Firstly, the adapted structure plan proposes a decentralised development pattern of Desakota, envisioning a circular and symbiotic industry conversion, repurposing industrial redundancy for metropolitan publicness, and enhancing the green and public network. Secondly, a showcased design project incorporates industrial, open space, and residential elements, proposing a specific spatial morphology and physiological flow. It emphasises the local identity and demonstrates the importance of involving local actors in the transition. Thirdly, a more decentralised and flexible spatial planning system should be employed to materialise the proposed Desakota structure.
By implementing these strategies, the desakota of GBA can progress towards a sustainable and liveable future that integrates nature, supports diverse livelihoods and lifestyles, and optimises resource management. This thesis can also provide transferable knowledge to other similar dispersed areas on how the pattern, flow and policy could be synthesised into future urbanisation and contribute to ensuring the sustainable development of society and ecology.
The dense water formation and export in the North Atlantic contribute to AMOC. Motivated by the aim to understand the strength and processes of AMOC in the Subpolar (SPNA), the water origins and transport routes are explored in this study, based on the inspiration of the previous studies of water pathways in the Labrador Sea.
The objective of this study is to investigate the origin of water in the Irminger Sea and the transport routes before arriving at Cape Farewell, the tip of Greenland where water continues to flow from the Irminger Sea to the Labrador Sea. Based on simulated field data in SPNA obtained from Modular Ocean Model (MOM), the Lagrangian method is applied in the Ocean Model Connectivity Modeling System (CMS), to track particles in the Irminger Sea. The properties of particles, such as location and temperature along their trajectory, are calculated at advection timesteps.
Origins of water reaching Cape Farewell through the Irminger Sea are discovered, including the source from the Denmark Strait, the Iceland-Scotland Ridge, and the South Iceland Basin. Water from these origins follows different routes before arriving at Cape Farewell and qualitative and quantitative analyses of these routes based on particle trajectories and particle numbers, provide insights into how water crosses the Irminger Sea and the importance of the routes.
In summary, water following the route from the Denmark Strait contributes 25 percent of the water through the Irminger Sea arriving at Cape Farewell. Water follows a direct and straight route along the East Greenland Boundary, as the cold source in the surface layer (50-150m). Water staying in the Irminger Basin contributes 50 percent. It travels in curved and blended routes in a deep layer (1200-1500m), as the warm source. Water from Iceland-Scotland Ridge follows a long-distance trajectory, which crosses the Iceland Basin, Reykjanes Ridges, and Irminger Basin. Water in this route contributes 20 percent. They travel in a surface layer as the warm source, while the temperature of water in this route decreases when water arrives at Cape Farewell. Water from the South Iceland Basin is in the surface layer (0-150m) along the routes, with the highest temperatures. The contribution is not accurate as the long-distance route requires a longer tracking period.
The research findings provide valuable insights into the dynamics of water masses in the Irminger Sea. It relates to the currents, and oceanic activities in the Irminger Sea, such as convention and eddies, which contributes to a better understanding of AMOC in SPNA.","AMOC; Climate changes; Lagrangian particle tracking; CMS model; Water origins; Overturning","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:52c14949-d76d-46e0-8b86-6e09fb8dc65b","http://resolver.tudelft.nl/uuid:52c14949-d76d-46e0-8b86-6e09fb8dc65b","The River as Endless Territory: Restoring the social-ecological continuum in the Philippine Archipelago","Mejia, Francisca (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Sepulveda Carmona, D.A. (mentor); Piccinini, D. (mentor); Delft University of Technology (degree granting institution)","2023","As an archipelagic territory of 7,641 islands, the Philippines is dealing with multiplicities of identities, resources, and risks that are pressured by a centralized and homogenizing development paradigm, fragmenting critical socio-spatial landscapes that further creates a hyper-vulnerable state in the face of the climate crisis. The project touches on uncovering the dialectics between indigeneity and modernity in space, and revealing critical values that traditional and indigenous knowledge systems have that are potentially made for evolutionary resilience with identified river basins traversing critical biodiversity corridors as sites for exploration and understanding. These critical zones - The River Interface - encompass megadiverse complex social-ecological systems, coalescing frontiers of pristine Philippine biodiversity, ancestral domains, urban domains, and the rivers that tie them altogether.
Framing the River Interface Unit’s pattern from The Source, The Confluence, and to The Mouth, with its embodied values of Extraction-Interposition-Consumption, respectively over the riverscape, then prompt envisioning of futures to be tackled more deeply and how these can be formed from cycles of memory that are present in practices of care as well as the biophysical phenomena shaping this, opening up which adaptive capacities can be enhanced and enabled. Where these cycles occur in space are then identified to see opportunities as to how they can be guided by principles of a decolonized process, embedded recognition of pluriversality, and implemented socio-spatial justice through a renewed form of governance. These shall then guide the making of space and allowing care to be the mode of an evolutionary and adaptive riverscape fabric. Projects for each part of the River Interface Unit system are then developed through a process of nostalgic envisioning.
With the identification of ‘Memory’ as the key adaptive quality that the indigenous hold, the use of Nostalgia as a design strategy aims to envision collective climatic futures through the River Interface’s capacities for memory, consciousness, and organization. These capacities are then enhanced by Nostalgia’s ability to reinforce self-continuity in moments of struggle and crisis undergoing the processes of assimilation, accommodation, and co-evaluation. Hence, this can establish through space, multiplicities of culture with a core memory system, embedding in long-term memory an evolutionary resilient and truly adaptive way of life. In the search for a solid archipelagic identity and its significance in the response to the urgency of climate change and its effects, the project aims to offer clarity and freedom for a country longing to know who it is, its potentials, and believe in its true worth. From the accumulated identities of Exoticism, Exhaustion, and Helplessness, we turn to the true and beautiful nature of our group of islands of a nation we call home that is clearly Delicate, Diverse, and Dynamic.
As a design exercise to display the work of artist James Lee Byars, I developed a series of rooms that relied on geometry and proportions in search for an absolute architecture that in turn created a sublime atmosphere. The pristine, immaculate space of one white room after another created an overwhelming space for me to which I responded by two strategies, one by creating a ‘anti-space’ room, a room that acts like a breather between the different rooms of the museum and second by introducing windows to see the city beyond as a relief for the eyes as well as giving the visitor a moment of connection with the context that gallery rests in.
I believe, contemporary art requires this relation to the everyday. It is very much about the public, of the public, created with an intent for the public. With this understanding I ask, “Is it possible to get traces of the city within the gallery? More so relevant to M HKA, as a museum for the Flanders, what would a museum for Flemish art look like? Buildings have always given a sense of identity, they are representations of the people that live and operate within them. What is then the language of M HKA ? Is there a possibility to arrive at a balance between the white walls and the rundown found spaces? What happens when musesums become places with active visitors ? How does the materiality support and cater to a more inclusive environment? These were some of the questions that have shaped my proposal.","museum architecture; Contemporary Art; Belgium; Renovation","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Interiors Buildings Cities","Contemporary Art Museum",""
"uuid:4260f3fb-f0dd-4a1c-8097-58855609ba41","http://resolver.tudelft.nl/uuid:4260f3fb-f0dd-4a1c-8097-58855609ba41","Bringing Formal Verification into Widespread Programming Language Ecosystems","Juhošová, Sára (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Programming Languages)","Cockx, J.G.H. (mentor); Lofi, C. (graduation committee); Escot, L.F.B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Formal verification is a powerful tool for ensuring program correctness but is often hard to learn to use and has not yet spread into the commercial world. This thesis focuses on finding an easy-to-use solution to make formal verification available in popular programming language ecosystems. We propose a solution where users can write code in an interactive theorem prover and then transpile it into a more popular programming language. We use Agda2HS as a case study to determine what challenges users find in using such a tool, improve selected features, and then conduct a user study to evaluate the usability. We find that detailed documentation, support for commonly-used features in the target programming language, features that facilitate verification, integration of the tool into the target ecosystem, and user studies are necessary for the accessibility of such a tool.","formal verification; theorem proving; programming language ecosystems; transpilation; usability","en","master thesis","","","","","","https://github.com/sarajuhosova/agda2hs-user-study/ The GitHub repository containing the programming assignments used during the user study. https://github.com/agda/agda2hs Repository link The GitHub repository containing source code for Agda2HS - a tool that was investigated and improved as part of this thesis.","","","","","","Computer Science","",""
"uuid:e6d243ed-7a94-4f63-8fd1-667fb5fa4fb9","http://resolver.tudelft.nl/uuid:e6d243ed-7a94-4f63-8fd1-667fb5fa4fb9","Efficiency optimisation of the prototyping process for injection moulding","de Heer, Mathijs (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Industrial Design Engineering)","van Heur, R.J.H.G. (mentor); van Dam, J.J.F. (graduation committee); Hermsen, Pieter (graduation committee); Delft University of Technology (degree granting institution)","2023","This research provides a recommendation for Sonion, a company specialised in the development and production of hearing aids, on the production of moulds for the injection moulding machine.
The goal was to develop a process that reduces the cost and the time to manufacture an injection mould while maintaining a similar accuracy as in conventional mould production. Usually, Sonion outsources the production of injected moulded parts, resulting in parts with a tolerance of ~ ±10 µm that cost €15.000 for a 1000 pieces with a delivery time of 6-8 weeks. For Sonion’s development process, which is prototype heavy, this is too expensive and takes too much time. A micro injection moulding machine is acquired to shorten the iteration time of their design process and to reduce cost.
Before and during this research, the possibilities of 3D printing the moulds with a thermal resistant resin were being explored. For that reason, 3D printing with these materials was left out of the scope of this project. Although the accuracy for this method is sufficient, it struggles with the high pressure and temperature it is exposed to while injection moulding.
After identifying many possible manufacturing methods, the most promising techniques capable of withstanding the injection moulding temperature were chosen and validated through testing, research and consulting experts. For a total of six methods, the physical performance (a combination of accuracy, surface roughness and tool life) and the feasibility (iteration time, cost, form freedom and ease of execution) was determined. From the selected six methods, two were recommended for further exploration and investigation; Micro Metal Casting and Powder Injection Moulding. The other methods fell short due to a lack of accuracy, causing iteration time to be too long and the inability to manufacture certain parts of the mould.
The Micro Casting method works on the principle of the lost wax casting and is taken to a higher level by increasing its ability to replicate a shape. Both fully outsourcing the production and in-house production have been tested with similar results; the in-house production being slightly more accurate with a lower surface roughness. Metal moulds can be produced with a tolerance of ±25 µm for a price of around €750 within one to two weeks. It is recommended that for the continuation of this method, first the already acquired moulds are tested and future designs are fully outsourced until higher accuracy and lower surface roughness are required.
Powder Injection Moulding is a method capable of moulding metal parts at a temperature of 190 °C by mixing a fine metal grain with a polymeric binder and therefore could be used to produce a metal injection mould. In theory, the 3D printed moulds should be able to resist this temperature since it is relatively low. After moulding, the part goes into the oven for the polymer to debind and the grains to be sintered together.
A collaboration with the Powder Injection Moulding company Demcon had been setup for testing, but due to misaligning agendas it could not be set in motion. A test with a similar material has been conducted with highly detailed results. It is suggested to explore the possibilities of this method further.
in the southeastern North Sea, stretching along the coasts of Denmark,
Germany, and the Netherlands. It is known for its vast tidal flats, salt
marshes, and barrier islands, forming a dynamic and constantly changing
landscape, creating rich biodiversity.
The Wadden Sea in the Netherlands is composed of the mainland
coastal areas and the Wadden Islands (such as Texel, Vlieland,
Terschelling, Ameland, and Schiermonnikoog). This area plays a crucial
role in protecting the land and supporting the people who live there. It
serves as a natural defense against storm surges and flooding, supports
local businesses through fishing and tourism, and provides a vital
ecosystem for numerous plant and animal species.
However, infrastructure development in the Wadden Sea area, including
offshore wind farms, shipping routes, and coastal engineering, has
impacted some ecosystems. The development is necessary for economic
growth, such as shipping infrastructure for tourism on the Wadden
Islands and offshore wind energy due to the energy crisis. These
developments will definitely shape the landscape in the coming years.
In the thesis, data on infrastructure and ecology was collected and
analyzed to find conflicts between them and how they affect the
landscape. This research formed the basis for the design phase. Then,
for the territorial scale, three strategies were put forward. Moreover, two
sites are chosen to demonstrate how these strategies can be applied to
site-specific design. Both sites share the idea of preserving and adapting
positive landscape features and restoring the natural process, achieving
minimal intervention.","Wadden Sea; infrastructure; Nature restoration; Offshore Wind Farm","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","53.393566, 5.882034"
"uuid:460a37d6-66a0-40a8-8c39-d797f2f36dac","http://resolver.tudelft.nl/uuid:460a37d6-66a0-40a8-8c39-d797f2f36dac","Mudscapes: Embracing Nature and Feeling Culture in the Ems Estuary","Li, Irene (TU Delft Architecture and the Built Environment; TU Delft Landscape Architecture)","Cipriani, L. (mentor); van Prooijen, Bram (mentor); Delft University of Technology (degree granting institution)","2023","The Wadden Sea region is characterized as a diverse and contradictory area. On the one hand, it stands as the largest intertidal area globally, supporting a rich and diverse flora and fauna habitat showcasing nature’s power and allure. On the other hand, it is a landscape shaped by human habitation and extensive transformations, including establishing numerous polders, reclaimed land, and imposing dikes. The region’s history reveals the enduring struggle of its inhabitants against the sea over the past millennium, leaving behind a valuable cultural heritage.
One particular area exemplifying this dichotomy is the Ems estuary, which represents the Wadden Sea’s most ecologically compromised section, often referred to as the “yellow river” of Europe. The industrial development occurring along the estuary, including activities like waterway deepening and riverbank embankment, has resulted in substantial alterations to the morphology, hydrology, and ecological composition of the area. The major challenges are the loss of brackish and freshwater marshes and the conflicting objectives of ecological preservation and further waterway deepening. Additionally, the area’s cultural significance often remains overlooked due to its designation as a natural heritage site. Consequently, the region lacks sufficient allure, resulting in a declining population and an inferior economic state compared to the southern Netherlands and the surrounding islands.
This master’s thesis addresses the crisis in the Ems estuary by focusing on the transformative potential of mud as a catalyst. Through a comprehensive study analyzing the status and role of mud and integrating various strategic options, the project explores opportunities for revitalizing the estuary. As the muddiest estuary within the Wadden Sea and the last naturally connected river in the Netherlands, mud plays a vital role in the overall estuarine system, significantly influencing the economy, ecology, and cultural memory formation. The thesis proposes a spatially dynamic transformation of the Ems estuary through small-scale design interventions and pilot projects by conducting research and analysis in these three domains.","Ems Estuary; Mudscape; Economic Booster; Ecological Crisis; Cultural heritage","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","53.297787, 7.162763"
"uuid:72aff639-d044-4ab2-8383-8c2cb44e3176","http://resolver.tudelft.nl/uuid:72aff639-d044-4ab2-8383-8c2cb44e3176","Deeper piping erosion: Finding how to model it and what contributes to the emergence of a deeper pipe","Coevert, Steven (TU Delft Civil Engineering & Geosciences; TU Delft Hydraulic Engineering)","Aguilar Lopez, J.P. (mentor); Kanning, W. (graduation committee); van den Eijnden, A.P. (graduation committee); van der Linde, E.M. (graduation committee); Engels, Stef (graduation committee); Delft University of Technology (degree granting institution)","2023","class=""MsoNormal"">The Netherlands is a country that is being threatened by water, both from the rivers and from the sea. The Dutch have built dikes to keep their lands from inundation. To ensure the strength and stability of these dikes, they are being assessed on the basis of several failure mechanisms. One of these failure mechanisms is Backward Erosion Piping, or piping for short. In piping, the current underneath a dike is strong enough to take soil particles with it. Tests on piping in tidal subsoil were conducted in the summer of 2021, where a pipe was found to have grown at greater depth than expected The occurrence of this deeper piping has rarely been seen before, let alone described. This lack of knowledge poses a potential safety risk, as it may underestimate the vulnerability of certain subsoil configurations. Therefore, the objective of this thesis is to develop a comprehensive understanding of deeper piping and identify the key parameters influencing its formation. To achieve this objective, a definition of deeper piping and its differentiation from conventional piping is established. Sub-mechanisms governing deeper piping are examined by analysing the forces responsible for grain movement and the forces that maintain grain stability. A Finite Element Model of the subsoil is constructed to quantify the driving forces within the subsoil, which, when combined with resisting forces, enables the determination of whether deeper piping can occur in a given subsoil configuration. To investigate the factors contributing to deeper piping, a series of simulations are conducted using this Finite Element Model. By varying the parameter values while keeping other factors constant, the influence of each parameter on the occurrence of deeper piping was examined. The analysis revealed that several key parameters significantly affect deeper piping formation, including cohesion force (𝑐), cohesion anisotropy (𝛼𝑐 ), permeability and thickness of the top layer (𝑘0 and 𝐷0, respectively), permeability of underlying layer (𝑘1), permeability anisotropy (𝛼𝑘 ) and representative grain diameter 𝑑𝑟𝑒𝑝. Also, it was found that the entrance configuration plays a large role in deeper pipe formation. These findings provide valuable insights into the mechanisms underlying deeper piping and enhance our ability to identify subsoil configurations that are prone to this phenomenon. These findings enhance the identification of subsoil configurations prone to deeper piping, thereby improving risk assessment and mitigation strategies associated with this failure mechanism.","Backward erosion piping; Finite Element Method; COMSOL Multiphysics; Sensitivity Analysis; Hertogin Hedwigepolder","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:c9c207e0-1a27-49e3-b4da-cd1686f5ba70","http://resolver.tudelft.nl/uuid:c9c207e0-1a27-49e3-b4da-cd1686f5ba70","Rank Detection Based on Generalized Eigenvalue Threshold in Arbitrary Noise","Zhong, BingXiang (TU Delft Electrical Engineering, Mathematics and Computer Science)","van der Veen, A.J. (mentor); Hendriks, R.C. (graduation committee); Heusdens, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Rank detection is crucial in array processing applications, as many algorithms rely on accurately estimating the rank of the data matrix to ensure optimal performance. Under Gaussian white noise, rank can be detected through eigenvalue analysis. However, in arbitrary noise, prewhitening the data matrix with the noise covariance matrix is necessary, and rank detection is achieved by examining the generalized eigenvalues. Existing methods often assume the noise covariance structure or require a large number of noise samples. This thesis focuses on addressing the rank detection problem in scenarios with limited noise samples and arbitrary noise environments.
Firstly, we investigate the largest generalized eigenvalue threshold for the prewhitened data sample covariance matrix according to the random matrix theory. We develop a rank detection algorithm based on the threshold via a sequential test, and provide the performance analysis. A series of simulations demonstrate its superiority over conventional methods such as Minimum Description Length (MDL) and Akaike's Information Criterion (AIC).
Secondly, since the Short-time Fourier Transform (STFT) is commonly used for non-stationary signal analysis, we extend our rank detection method to the STFT domain. The correlations introduced by the STFT have a significant impact on the distribution of the noise. Therefore, we develop a technique to remove correlations among time-frequency bins based on exact expressions of these correlations. After successfully eliminating these correlations, our proposed rank detection method achieves enhanced reliability and performance in the STFT domain.
Lastly, we evaluate the effectiveness of our rank detection method in speech enhancement applications. Simulations confirm that utilizing the estimated rank improves speech quality compared to using the known number of sources.
The visibly precarious conditions of public living imply that public space is not a place meant for all functions. Theories on human motivation suggest that basic human needs are not linked to spaces per se but to an action rendered possible by the protective frame of the built environment. Thus, the project explores the potential outcomes of reimagining domestic patterns outside the confines of conventional residential units. Through ethnographic fieldwork paired with experiments on filmmaking as an architectural method of analysis, a human-centred design evolved that aims at transforming ""street dwelling"" into a tangible and viable alternative to conventional urban living.
The design endeavours to embed a system for both residential and commercial use within the existing urban reality of Munich. Building on current and necessary transformation processes of the urban fabric, the redevelopment of an exemplary streetscape of the Baaderstraße in Munich houses different acts and scales of appropriation in a design frame that works at various temporalities. The first and most permanent intervention docks on the cities' above- and below-ground infrastructure. On top of it, units are placed that in their design adapt in shape, size, and functions to the local ground-floor conditions. Different lifetimes are included in the temporalities of the site by designing the initial unit for a possible future disassembly. The modularity of the prefabricated wooden construction and sanitary units also allow for a possible scalability to other streets.
Highlighting a necessary shift of the role of the architect to a mediator between the user and the corporate client, the project presents a compromise at the intersection of realism and utopia, offering new dimensions to concepts of urban, communal, and private notions of comfort and emphasizing the importance of inclusive spaces and innovative approaches to address the housing crisis in Western cities.","homelessness; urban displacement; housing crisis; system design; urban strategy; filmmaking; ethnography; neoliberal city; design for disassembly; Modular Construction; streetscape; appropriation; adaptability","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:bd8a501d-5ab8-4b55-9b20-60810ba21045","http://resolver.tudelft.nl/uuid:bd8a501d-5ab8-4b55-9b20-60810ba21045","Creating Safe Space: Enhancing neighborhood safety in Hillesluis, Rotterdam South through spatial design","Groen, Dennis (TU Delft Architecture and the Built Environment)","Verschuure, G.A (mentor); Bet, E.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Several areas in the Netherlands are considered vulnerable. These areas have poor livability and safety. Creating safe space is about improving the perceived safety and livability in neighborhoods by designing spatial interventions. One of these vulnerable areas is Rotterdam South. In Rotterdam South, Hillesluis is perceived by the inhabitants as the most unsafe and has low livability. The following research question is guiding this thesis:
‘‘How can the perceived livability and safety in Hillesluis be improved by designing spatial interventions?’’
In many cases, improving vulnerable neighborhoods involves gentrification; lower-income people are driven out of the neighborhood by higher-income classes. The goal of this thesis is to find a solution to improve the perceived livability and safety of Hillesluis without gentrification. To achieve this, the resident’s perspective will be used. Being in contact with residents reveals the negative and positive aspects of the neighborhood. In addition, locations in the neighborhood will emerge that need improvement because here livability or safety is poor.
To improve the safety and livability of a neighborhood, there are six important principles. These principles are social cohesion, attractivity, connectivity, accessibility, visibility, and territoriality. The pattern language method will provide a set of interventions related to these principles. These patterns were applied to the locations in need of improvement. The patterns used in this thesis are summarized in an accompanying pattern atlas, which can be used as a toolbox for improving safety and livability in neighborhoods.
This thesis sought to improve perceived safety and livability in Hillesluis by creating spatial designs for 5 locations. These locations were obtained by conducting a survey among residents of the neighborhood and making a spatial analysis.","safety; livability; gentrification; social cohesion; spatial interventions; pattern language","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","",""
"uuid:83ee99a1-18df-465f-8b3f-fe8e916d173c","http://resolver.tudelft.nl/uuid:83ee99a1-18df-465f-8b3f-fe8e916d173c","Water & Culture, Adaptation & Integration: An integrated urban transformation for river flood resilience and sustainable leisure industry in the city of Maastricht.","XIANG, DANYI (TU Delft Architecture and the Built Environment)","Forgaci, C. (mentor); Sepulveda Carmona, D.A. (mentor); Cuperus, I.J.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The survival and development of the city of Maastricht are facing enormous threats from the increasingly frequent and severe fluvial floods, while the leisure industry is the most vulnerable to flood damage as a crucial segment of the economy, accounting for about 50% of the total economic damage. However, flooding is essentially just a natural process with numerous ecological benefits, and can even stimulate diverse recreational activities under certain conditions.
Therefore, this report aims to explore integrated transformation from an urban design perspective for the city of Maastricht to adapt to river flooding and to promote sustainable leisure industry. It implies a transformed urban fabric that prevents the damage caused by river flooding and converts floods into a resource for sustainable leisure industry development through a series of spatial interventions and programs that cross three scales (regional scale, city scale, and neighborhood scale).
The key findings are that first the aviation has to be targeted and made less attractive for the train to become the main mode. Furthermore, the experts indicated that the vision formulated is hard to reach because of the barriers that exist for the construction of new rail infrastructure.","Sustainability; Transport; Air to Rail; Backcasting Analysis","en","master thesis","","","","","","","","","","","","Industrial Ecology","",""
"uuid:ebe2f767-cd4e-405d-bba5-503b33d2bb62","http://resolver.tudelft.nl/uuid:ebe2f767-cd4e-405d-bba5-503b33d2bb62","Elevating Horticulture in Ghana: Designing a go-to-market strategy for commercial smallholder farmers in Ghana","Struijk, Charlotte (TU Delft Industrial Design Engineering)","Hultink, H.J. (mentor); van Engelen, J.M.L. (mentor); Delft University of Technology (degree granting institution)","2023","This report presents the findings and recommendations of a research project focused on developing a comprehensive strategy for commercial smallholder farmers in urban and semi-urban areas of Kumasi, Ghana. The objective was to co-create a strategy that addresses the specific needs and challenges of farmers in the region. The research involved extensive field visits, interviews, and collaboration with farmers, stakeholders, and relevant institutions.
The study identified three design challenges: developing a B2B strategy for farmers, enhancing farmers' brand awareness through marketing, and improving communication between market queens and farmers. In-depth interviews concluded that prioritizing the B2B strategy would be most beneficial due to demand from restaurants and hotels. Based on the chosen design challenge, strategic and tactical roadmaps were developed, drawing from literature research and coded interview transcripts. The roadmap consists of three horizons.
The first horizon focuses on enhancing cooperation among farmers within a farmer organization to improve the effectiveness and efficiency of their produce sales. This involves building a brand identity and establishing a strong sales structure.
The second horizon centers around raising awareness among farmer organizations through various advertising channels, with a particular emphasis on packaging. Packaging plays a crucial role in extending shelf life, developing a strong brand identity, and enabling traceability. Efforts at the farmer level aim to increase brand awareness and drive customer demand for the farmer organization's produce. Students will also be involved in understanding brand development and designing effective marketing materials.
The third and final horizon focuses on securing storage and cooling facilities that can be collectively utilized by farmer associations. These facilities, provided by the government, are contingent upon farmers' progress and achievements. Additionally, an educational component is proposed, targeting students at Kwadaso Agricultural College through workshops that highlight the importance of advertising, storage and cooling spaces, and collaborative efforts among farmers.","Horticulture; Ghana; Strategy creation; co-creation; Creative sessions; Kumasi","en","master thesis","","","","","","","","","","","","Strategic Product Design","Archipelago",""
"uuid:53acc329-7990-4fe0-8374-3418d10c3f85","http://resolver.tudelft.nl/uuid:53acc329-7990-4fe0-8374-3418d10c3f85","Coupling for multi-models: A practical study of the process of a loose coupling between TEACOS and an agent-based model","Prisse, Menghua (TU Delft Technology, Policy and Management)","Huang, Yilin (mentor); Auping, Willem L. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","","",""
"uuid:782146ab-bd76-4cf3-83b0-c0483d282c51","http://resolver.tudelft.nl/uuid:782146ab-bd76-4cf3-83b0-c0483d282c51","Open Kitchen, Public House: refiguring kitchen(s) in English pub as new space for constructing diasporic identity","LAI, Kevin S.F. (TU Delft Architecture and the Built Environment)","Grossman, Vanessa (mentor); Gosseye, J. (graduation committee); van de Voort, J.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis project studies the possibilities of designing a new space for migrants to construct their diasporic identity in a post-colonial language. The thesis is situated in the context of the mass migration from Hong Kong from 2019, specifically looking at Reading in the UK as a key arrival location. The thesis explores the design hypothesis of the alimentary-spatio-identity triangle and proposes an ""open kitchen"" based on intervening into an abandoned English pub in Reading to afford a new space for strange encounters for the HK migrants to construct their new belonging.","Belonging; postcolonialism; Identity; HongKong; Migration; English pub; Kitchen; Hospitality","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","",""
"uuid:c8d4c858-6aff-4d38-b9f6-ea21f98f7272","http://resolver.tudelft.nl/uuid:c8d4c858-6aff-4d38-b9f6-ea21f98f7272","Designing for the issue of labour exploitation: A systemic intervention to empower labour migrants","Bleekemolen, Sophie (TU Delft Industrial Design Engineering)","Tromp, N. (mentor); Brouwer, W. (graduation committee); Snel, Senna (graduation committee); Delft University of Technology (degree granting institution)","2023","The issue of labour exploitation in the Netherlands has been gaining more attention lately. Regularly stories appear in the news in which employees are treated inhumanely by their employer, work in unsafe conditions, or live in unhealthy accommodations. The issue has also been gaining attention in politics. The advice of Aanjaagteam Bescherming Arbeidsmigranten, which was presented in 2020, marks an important milestone. Partially inspired by this advice, multiple major developments have been taking place recently. For example, the modification of the definition of labour exploitation in criminal law (Rijksoverheid, 2023), the compulsory certification of employment agencies as of 2025 (Rijksoverheid, 2022), and the separation of working- and housing contracts (ABU, 2023).
While these developments are a step forward, they will not completely tackle labour exploitation. Due to the complex nature of the phenomenon, multiple solutions are required to bring about systemic change. This project explores what systemic design could offer to the issue of labour exploitation in the Westland area.
Through different research methods, an understanding of the system surrounding labour migration and labour exploitation was created. Insights were yielded on the nature of the phenomenon, different response strategies and involved stakeholders, and the Westland context. Based on these insights, three search areas were identified and explored more in-depth. Next, the final focus for the design phase was determined and formulated into a design goal: empowering labour migrants through an intervention that gives them a sense of control over their own lives.
Finally, an online buddy platform that facilitates the exchange of experiences among migrants was designed. The interaction aims to build awareness about healthy (in)direct working conditions in the Netherlands and potential helplines. With this knowledge, migrants can prevent risks proactively, identify problematic situations quicker, and have the tools to deal with them. Evaluation of the concept with the target group and relevant experts indicated that it provides a valuable intervention to empower labour migrants. However, further development and evaluation as discussed in the recommendations are necessary. In addition, this intervention should be seen as one of many necessary to systematically improve the situation of labour migrants.","Systemic Design; Social Design; Labour Exploitation; Labour Migration; Openbaar Ministerie","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:57d0b923-33b2-4549-9c05-f315be846878","http://resolver.tudelft.nl/uuid:57d0b923-33b2-4549-9c05-f315be846878","Architecture as a Sounding Board","Kopan, Yaren (TU Delft Architecture and the Built Environment)","Bultstra, H.J. (mentor); Eckardt, H.F. (graduation committee); Corbo, S. (graduation committee); Delft University of Technology (degree granting institution)","2023","""The proclivity to unrest and 'culture of conflict' can be linked, in part, to Berlin residents' characteristic tough, combative physiognomy and how they have imagined and represented themselves and their city over time"" (Davis, 2007). Berlin has witnessed conflicts on various fronts, including politics, social issues, economics, and culture, often resulting in major violent incidents. The city continues to exhibit inconsistencies, contradictions, and conflicts regarding the identity of the Commons. As power structures and capitalist formations push people further apart, the architecture of the future must seek ways for people to live together.
The proposed project aims to explore the relationship between architecture and communication by recognizing architecture as a medium. In this case, architecture is seen as an urban collective infrastructure that not only accommodates but also generates diversity in every sense. The Public Condenser of the 21st century is envisioned as an active infrastructure playing an urban role, serving various forms of expression and ideologies.
Representation and imagination of the Commons, as well as the expression and transmission of knowledge, are closely associated with the communication organs and how they are employed within the city. Recent history has shown that essential information is often transmitted through a top-down mechanism that reflects the agendas and ideologies of specific communication bodies and institutions. However, the 21st century allows people to access and compare information more freely and easily. Therefore, the ""old"" ways of communication need to adapt to the ""new"" in order to remain relevant. Otherwise, certain values and existing communication organs, such as the Neues Deutschland building, will no longer be able to effectively respond to the public's needs.
In general, Berlin lacks spaces for expression and communication platforms, not limited to virtual ones, where these expressions can be showcased through bottom-up media formations. The project aims to address this gap by creating a Public Condenser that serves as a physical and virtual platform for diverse expressions and communication. By providing spaces for people to express themselves and facilitating bottom-up media formations, the project seeks to foster a more inclusive and participatory urban environment.
In conclusion, the proposed project recognizes the historical conflicts and culture of unrest in Berlin and aims to address them through architecture as a medium for communication. By adapting to the changing dynamics of information transmission and creating spaces for expression and communication, the project strives to build a more inclusive and diverse urban environment where people can come together and share their ideas and perspectives.
The research aims to integrate circular building principles into the design process of transitional housing units (THUs) to help bring economical value back to the donors, strengthen the community resilience and retain material value. By examining existing transitional housing options and their lifecycle, stakeholder involvement, and circularity principles in the built environment, the thesis develops a suggestive tool for circularity informed design decisions, while introducing a circular transitional housing design proposal for the extreme conditions of upper Sindh province, Pakistan.
The literature review highlights the lack of information on the end-of-life phase of transitional housing units. The most common scenarios, as well as circular alternatives, are mapped out. Circular building principles across the topics of materials, design, manufacturing, and management, are investigated for their ability to be integrated in humanitarian construction. This provides the scientific basis for the development of a recommendation set and a visual evaluation tool for THU planners. The efficacy of the suggestive tool is shown through the design proposal.
The extreme conditions of repeated flooding and high temperatures in upper Sindh necessitate resilient design strategies. Vernacular inspired passive techniques and the use of locally available biobased materials, such as bamboo and hemp, are proposed to mitigate temperature impacts and enhance sustainability. Design principles such as design for disassembly, and adaptability, are implemented as a means to increase the circularity potential of the developed THU. The design proposal portrays the unit as a stock of valuable components, which can be reintroduced in the local economy at the end of the displacement period – a material bank.
Incorporating circularity in transitional housing projects has the potential to foster innovation in the humanitarian sector, which in turn could also be applied to tackling challenges faced by conventional architecture. The findings contribute to the development of circularity practices in the humanitarian sector, thus contributing to the well-known principle of do-no-harm.
Traditionally, the delta has been a dynamic landscape shaped by tides, wind, and currents. However, over the centuries, human intervention has increasingly dominated and transformed this landscape, leading to reduced dynamics, adaptability and biodiversity. The dynamic landscape is mechanically controlled with dikes, dams, sluices, and pumps. These highlights of water engineering make the Netherlands considered a pioneer in water management and flood protection. But to keep this postion we need to adapt and react on the changing future a head.
The human utilization of the delta aligns with the prevalent anthropocentric worldview, where nature is perceived as serving the direct or indirect needs of humans. This exploitative attitude towards the natural world has resulted in negative consequences, as we are currently witnessing. Nevertheless, there is a shift in this perspective, with two-thirds of the Dutch population considering themselves part of nature and feeling a responsibility to care for it. This view is a combination between the roles of stewardship and participant. (Schouten, 2013).
This master thesis has explored the research question of what the return to a (semi-) open delta would mean for the green-blue network of Schouwen-Duivenland, and what spatial framework and guiding design principles would be necessary to sustain and upgrade both the green-blue networks and livability, now and in the future.
By conducting a comprehensive analysis at the delta scale, this study identifies 6 key challenges: water safety, sediment deficiency (zandhonger), biodiversity loss, water quality, and saltwater intrusion. A more focused analysis at the island scale enables the exploration of solutions to these challenges and the establishment of design principles.
The proposed design principles, guided by a nature-based solutions approach, highlight the fundamental importance of soil and water. These principles address challenges posed by agriculture, urbanization, water management, and climate change, aiming to create a resilient and nature-inclusive environment. To facilitate their implementation in different contexts, the design principles have been transformed into practical pattern cards, offering clear and practical guidance.
In conclusion, this master thesis has provided valuable insights into the potential benefits and spatial implications of returning to a (semi-) open delta for Schouwen-Duivenland. The research highlights the importance of integrating green-blue infrastructure, ecological considerations, and livability aspects to create a resilient and thriving environment for both nature and people. This study offers valuable insights for policymakers, urbanists, landscape architects and researchers in the pursuit of a sustainable and nature-inclusive delta landscape.","Delta landscape; Nature-based Solutions; Green-blue infrastructure; Pattern Language; Design principles; Climate adaptation; Schouwen-Duivenland; Soutwestern Delta","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","51.7256511,3.9136514"
"uuid:3d7e1f26-144d-48f6-aa30-5fb76d4fa353","http://resolver.tudelft.nl/uuid:3d7e1f26-144d-48f6-aa30-5fb76d4fa353","Towards Normalization: De-Institutionalising Mental Healthcare and Catering the Youth","Kapoor, Rushil (TU Delft Architecture and the Built Environment)","Miedema, E. (mentor); van Andel, F.M. (mentor); Lafeber, J.W. (mentor); Delft University of Technology (degree granting institution)","2023","The thesis aims to investigate the role of the built environment with the help of design elements, in promoting mental health among young adults. The study will focus on how architectural design choices can contribute to- wards normalization and de-institutionalisation of mental healthcare facilities for the youth. The academic paper aims to explore the impact of the built environment on mental health in an ever changing modern society. The research will examine several literature studies, academic writings, case studies and primary sources through fieldwork, observations and interviews. Social and environmental factors which might influence the youth and how design choices can address these factors will also be analyzed. Overall, the thesis seeks to provide insights on how architects can create spaces that not only prevent mental illnesses but also promote mental wellbeing among young adults. While mental health is a very prominent topic currently, this thesis aims at providing an alternative approach towards the topic through architecture.","Mental Illnesses; Young Adults; Normalisation; De-Institutionalisation; De-Stigmatisation","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Dwelling","",""
"uuid:5a5fb95a-bad4-4b01-a8e5-d2ea72fabca4","http://resolver.tudelft.nl/uuid:5a5fb95a-bad4-4b01-a8e5-d2ea72fabca4","EMBRACE THE FLOOD: Introducing a Symbiotic Lifestyle: Designing Resilient and Livable Landscapes in Winnipeg by Integrating Nature-Based Solutions into the Urban Water System","Sun, Chang (TU Delft Architecture and the Built Environment)","Tillie, Nico (mentor); Aalbers, K.P.M. (mentor); Veer, F.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The city Winnipeg, built on the wet prairie, has been threatened by severe flooding for decades. The initial decision to build the city at the confluence of the Red and Assiniboine rivers led to the inevitable consequence of recurrent natural flooding. The floods prompted the provincial government to take a series of flood control structural measures to prevent the city property. However, the waterlogging crisis has still not subsided.
During the significant territorial transformations in the last two centuries, the rivers and creeks have been heavily dammed and channelized. The hasty attempt at city development resulted in immediate economic benefits, but at the expense of eliminating the land’s ability to drain water through naturally occurring streams and coulees. Due to environmental impacts on a wider geographical scale, most notably climate change, both floods and droughts are hitting the extremes, which is escalating the challenge on flood management.
One of the main obstacles in this project is to solve the problem of threatening floodwaters and turn the negative impacts into the opportunities for a more sustainable lifestyle. Tracing back to last century, the indigenous peoples of the plains used to share a mutually beneficial living pattern with beavers and other species on the prairies. This coexistence approach towards humans, wildlife and nature deserves an opportunity to be brought back to Winnipeg. Through flood-mitigation measures based on natural processes, the urban hydrologic system is anticipated to move toward sustainable development and provide fairness and reliability to the living environment and urban ecosystems in response to challenges posed by habitat loss, climate change, and emerging conservation issues.","Flood Resilience; Urban Water System; Nature-based Solution; Green-blue Infrastructure; Livable Cities; Urban Ecology; Beaver Recolonization","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:992a3d9a-8289-43d9-b28c-7a3e1c6982f6","http://resolver.tudelft.nl/uuid:992a3d9a-8289-43d9-b28c-7a3e1c6982f6","The Time-Line: A multi-phase project in Friedrichshain, Berlin that responds to urban changes","Meere, Max (TU Delft Architecture and the Built Environment)","Bultstra, H.J. (mentor); Corbo, S. (mentor); Eckardt, H.F. (mentor); Dijkstra, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","In Friedrichshain, Berlin, modest architecture with minimal interventions is utilized as a thoughtful response to the complex social and economic issues, including gentrification, that characterize the constantly evolving environment. This architectural approach seeks to tackle these challenges by embracing a restrained and humble style, while remaining flexible and responsive to the unique needs and dynamics of the local community it serves.","Gentrification; adaptive re-use; public condenser; Friedrichshain; berlin; removable; multi-phase system; Sustainability; resilience; hybridity; multiplicity","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","52.51115466766368, 13.440368221261723"
"uuid:8025c9dd-b7c2-4816-9bc2-98e744e5f2b7","http://resolver.tudelft.nl/uuid:8025c9dd-b7c2-4816-9bc2-98e744e5f2b7","Optimal control of offshore wind farm collector systems during outages: Harvesting the full potential of inter-array cabling","Ubbens, Martiene (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Delft Center for Systems and Control; Vattenfall)","De Schutter, B.H.K. (mentor); Haghani, A. (mentor); Grammatico, S. (graduation committee); Polinder, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Ambitions to limit climate change are incentivizing the expansion of renewable energy. In particular, offshore wind energy is expected to grow rapidly. To harness the full potential of existing as well as prospected offshore wind farms, the limited capacity of the internal cable network of the offshore wind farm, called the collector system, should be efficiently used.
The operation of the collector system during cable outages presents significant potential in this regard. Currently, during these outages, a conservative approach is taken that under-utilizes the capacity of the collector system and consequently limits power production excessively. The available headroom of the system can be unlocked by optimizing the power routing and turbine setpoints. This optimization problem is the topic of the MSc Thesis, carried out
within Vattenfall.
Two novel optimization-based rerouting and setpoint decision frameworks are developed for collector systems with arbitrary topologies: an open-loop control strategy and a receding horizon control strategy.
The open-loop control strategy assumes that the network can only be reconfigured at the beginning of the outage. It is formulated as a mixed-integer linear programming problem, in which the cables are modeled as binary control variables and the setpoints as continuous control variables.
The receding horizon control strategy is deployed in real time, leveraging cable temperature measurements and power forecasts to derive optimal control actions dynamically. Dynamic thermal rating is applied, which entails that the power flows are constrained based on the cables’ temperatures rather than on a static rating. The resulting control strategy is formulated as a mixed-integer quadratically constrained programming problem.
A case study is performed to compare the performance of the developed strategies to existing strategies. Simulations concerning seven occurred cable outages at an offshore wind farm show an average increase in power production with respect to the industry control strategy of 0.82% for the open-loop control strategy and 4.2% for the receding horizon control strategy.
Berlin is a diverse city where graffiti that can be seen everywhere and the beer truck with six wheels are the embodiment of people's self exploration and imagination of life. These echo the description of play in homo ludens. Play is a way of understanding what is around us and engaging with others, a catalyst for communal activism, a process of commoning. This project explores that rules are an indispensable part of the play,how to embody the rules in the play in the architectural space, and how to view the traditional elements that make up the space from the perspective of the play. When these elements have different meanings which generate new rules, and how to guide diverse play behaviors.","public condenser; play; rules","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Public Building","","52.512314, 13.438492"
"uuid:1880450c-422d-4bb4-9196-f608b4b702a3","http://resolver.tudelft.nl/uuid:1880450c-422d-4bb4-9196-f608b4b702a3","Kalman filtering approaches to enhance scanning speed and precision in automated microscopy","van den Brink, Rick (TU Delft Mechanical, Maritime and Materials Engineering)","Verhaegen, M.H.G. (mentor); Vdovin, Gleb (mentor); Agbana, T.E. (mentor); Delft University of Technology (degree granting institution)","2023","Fast and accurate diagnosis of illnesses or other health complications is not accessible in many locations around the world. Due to this, illnesses are unnecessarily left untreated. Therefore, AiDx Medical has developed a portable automated diagnostic microscope with re liable and rapid AI-assisted detection specifically for low-resource settings.
Scan speed is a key issue for the popularisation of whole slide imaging systems [1]. To address this issue a recent paper by [29] proposed a Kalman filter-based scanning algorithm. This approach eliminates the necessity for focus map generation prior to scanning and in doing so, reduces the scan time. Importantly, the proposed approach requires no additional hardware, and is more robust to noise.
In this thesis, two modifications to this work are proposed. Firstly, higher order process models are used to generate more precise estimates of the best-in-focus positions. Secondly, a two-dimensional Non-Symmetric Half Plane Kalman filter is developed to incorporate neighbouring state estimates in the prediction – an approach previously thought inapplicable for this purpose [24]. In a simulation, the new scanning algorithms are applied to scan thin smear malaria specimens and compared to state-of-the-art focus map surveying procedures.","Kalman Filter; Microscopy; Whole Slide Imaging; Non Symmetric Half Plane","en","master thesis","","","","","","","","2025-06-29","","","","Mechanical Engineering | Systems and Control","",""
"uuid:45fb73ff-3f86-425a-9421-184098219a8b","http://resolver.tudelft.nl/uuid:45fb73ff-3f86-425a-9421-184098219a8b","Re-Peating Nature: Ruralities in Transition","Gorokhova, Anna (TU Delft Architecture and the Built Environment)","Cipriani, L. (mentor); Sepulveda Carmona, D.A. (mentor); Delft University of Technology (degree granting institution)","2023","The Wadden Sea Region spans the Dutch, German, and Denmark coasts, creating one of the most culturally and environmentally rich areas, recognized as a UNESCO World Heritage in 2009 (Centre, U. W. H, 2009). The natural and cultural value of the heritage site possesses unique features and characteristics that are important to preserve for future generations. Like many other places in the world, the Wadden Sea region is facing significant uncertainty in the future. Climate change plays a vital role in planning such a vulnerable region. It is a complex landscape that has already been impacted by climate change and is awaiting big decisions and changes for its resilient future.
Due to its unique history, the Frisian landscape has developed into the largest dairy producer in the Netherlands. Years of scaling up and production growth created a situation in which the productive landscape conflicts with Friesland’s cultural and natural heritage and contributes to climate change. The Frisian agricultural practice puts immense pressure on the peat soils in the province, resulting in significant carbon emissions and is likely to contribute to water shortages in the future. “Peatlands are the largest terrestrial carbon store on earth, storing about 25% of global soil carbon, which is twice as much as forests” (UN Environment Programme, 2019). Peatlands have immense value both for the region’s natural and cultural development.
The graduation project looks into the past, present, and future of the agricultural landscape of Friesland. It aims to envision an alternative resilient future for its peatscapes, in which the productive properties of the landscape are not lost but strengthen the cultural and natural Frisian narrative of the future. The future scenarios are formed based on different outcomes related to agricultural practices, through which the most desired one is explored further. The thesis seeks an in-depth analysis of the productive landscape, resulting in a strategic design proposal for peat restoration and preservation. The strategies are implemented in close conversation with the local stakeholders on a regional and small scale-design. The alternative scenario involves strategies that strengthen ecological connectivity and cultural heritage while proposing acts for climate mitigation and adaptation. Further exploration on forming carbon banks, paludiculture corridors, and people nature is all part of the project for peat restoration and preservation for future generations.","Nature and Culture Inclusive Agriculture; Agricultural Climate Mitigation and Adaptation; Carbon Banks; Peatlands; New Economies","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","",""
"uuid:a3c72969-371e-4b76-8814-9708ea546a93","http://resolver.tudelft.nl/uuid:a3c72969-371e-4b76-8814-9708ea546a93","Investigating and Improving the Timepix Performance in Imaging Ac-225 and Daughter Nuclides","van Lieshout, Erik (TU Delft Applied Sciences)","Denkova, A.G. (mentor); Plomp, J. (mentor); Delft University of Technology (degree granting institution)","2023","The main objective of this research was to determine the feasibility of using the Timepix3 detector, a novel hybrid-pixel detector developed by CERN, as a tool for imaging ex-vivo slices of tumour tissue treated with 225Ac Targeted Alpha Therapy. Targeted Alpha Therapy using 225Ac-PSMA shows promise as a therapeutic method, as it allows direct targeting of the tumour and precise irradiation of cancer cells while sparing healthy tissue due to the short range of α-particles (typically 50-100μm). However, due to the recoil effect, the daughters of 225Ac can break loose from the targeting vector, potentially diffusing away from the tumour site and harming healthy tissue resulting in unwanted side effects. To investigate this, several experiments were conducted using the Timepix3 detector, capable of energy, spatial and time-resolved measurements. Before experiments could be conducted, a data processing tool was developed and an optimal bias voltage of 30V was determined. Subsequently, the Timepix was calibrated using a γ and α calibration, which yielded energy resolutions of 4.27±0.06% and 4.96±0.06% compared to 6.35±0.04% without calibration when measuring α-particles from 225Ac locally. The Timepix3 was then tested using different collimators for whole surface measurements. For a plastic collimator (L/D=2.5, ⌀=1mm) the energy resolution improved from 15.7±0.3% to 11.9% and 9.6±0.1%. For a lead-glass collimator (L/D=50, ⌀=24.8μm) the energy resolution improved to 13.5% and 12.6±0.4%, here the uncalibrated resolution could not be determined. Finally, the spatial resolution of the Timepix was determined using the plastic collimator, which was 310±10μm. Based on the findings, the Timepix3 detector is not suitable for the proposed application, an imaging tool to determine nuclide distribution in 225Ac-PSMA treated tissue samples, using this particular setup. However, using a different collimator with a more suitable L/D ratio should definitely be capable as this improves spatial and energy resolution further. Furthermore, the Timepix3 detector was used in a clinical test to directly measure and determine the nuclide contents of the radio-pharmaceutical 225Ac-PSMA separated by high-performance liquid chromatography. The results indicated an initially lower amount of 213Po in the sample which grew over time This indicates that the HPLC is capable of separating individual nuclides. This result also demonstrated the potential use of the Timepix3 detector for these types of applications. In experiments where the Timepix3 was placed inside a 70MeV and 120MeV proton beam to test its feasibility as a beam verification tool, the results were less successful due to the high intensity of the proton beam. However, it was concluded that it was still possible to measure scattered protons and secondary products. ","Targetted Alpha Therapy; Timepix3; Actinium 225","en","master thesis","","","","","","","","","","","","Applied Physics","",""
"uuid:657afdc6-8a2c-4802-a27d-932149cab5b8","http://resolver.tudelft.nl/uuid:657afdc6-8a2c-4802-a27d-932149cab5b8","Video-based Event Detection in Catheterization Laboratory","Chang, Yiheng (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Mechanical, Maritime and Materials Engineering)","van den Dobbelsteen, J.J. (mentor); Dauwels, J.H.G. (mentor); Bachvarov, Chavdar (mentor); Delft University of Technology (degree granting institution)","2023","Catheterization Laboratory (Cath Lab) is a hospital examination room equipped with diagnostic imaging equipment to display the heart arteries and to detect and treat any abnormality or stenosis. This thesis addresses the urgent need to improve the efficiency of operating rooms in Cath Labs due to the increasing number of patients with cardiovascular disease. Currently, measuring key performance indicators (KPI) for efficiency in Cath Labs requires manual observation and registration of patient entry and exit times, as well as the establishment and closure of access points. This process is time-consuming, costly, and prone to errors. To overcome these challenges, we conducted a study at the Reinier de Graaf Groep Hospital in Delft, where we recorded activities in the Cath Lab and developed a video-based event detection framework to automate the identification of these crucial events. The proposed framework consist of a fine-tuned YOLO v8 object detector, a popular object tracker ByteTrack, a post processing step and different event detection algorithms for different events of interest. This thesis presents the related video-based event detection framework and a detailed introduction for the development of object detector YOLO and object tracking technologies. The materials used in the project and how they work are clearly described. Events of interest are determined as needed for KPI calculations and are defined by describing the workflow in the target Cath lab. The steps taken to prepare the event dataset are also described in detail. The process of training, the connection between the tracker and the detector and the algorithms to detect different events for reproduction are explained. A series of performance tests on the target detector and tracker concluded that the tracker can handle the occlusion to some extent, but the performance of the object detector determined the performance of the tracker. It was also found that the simpler network structure in YOLOv8 outperformed the more complex network structure in detecting smaller objects on our dataset. In addition, the event detection algorithm is tested on multiple videos to evaluate its robustness and result showed that the algorithm can correctly and accurately detect the target events. The practical applicability of the framework and some future work that could enhance its performance are discussed. In conclusion, this thesis presents a video-based event detection framework that can detect events of interest in the Catheterization Lab at Delft Reinier de Graaf Groep Hospital.","#video-based; #event detection; #workflow analysis; #Catheterization laboratory; #YOLO; #ByteTrack","en","master thesis","","","","","","","","2025-07-05","","","","Biomedical Engineering","",""
"uuid:f0a218d9-8c56-4f71-9ae5-fbba31af7481","http://resolver.tudelft.nl/uuid:f0a218d9-8c56-4f71-9ae5-fbba31af7481","Risveglio Marittimo: Awakening maritime identity in Messina","Bleuel, Philipp (TU Delft Architecture and the Built Environment)","Harteveld, Maurice (mentor); van de Voort, J.A. (graduation committee); Hein, C.M. (graduation committee); Mulder, André (graduation committee); Delft University of Technology (degree granting institution)","2023","The master thesis ""Risveglio Marittimo - Awakening Maritime Identity in Messina"" answers the question of how the concept of maritime identity facilitates the understanding of existing assets and offers opportunities for the design of Messina as a port city. Through the collection of micro-narratives and their organization into categories, Messina's maritime identity was (re)discovered in following topics: heritage and culture, water and environment, threshold and transition, transformation and production, quoditiantity and symbols.
The quadrilatero site, between the Ex-Granai and the maritime station, was chosen to design a new maritime space, with the aim of fertilizing the perception and value of Messina's maritime identity. The renovation of the abandoned silos and the fish market allows to offer spaces for culinary products of the sea and the hinterland and their consumption on the edge between land and sea, creating a vibrant public space for exchange and transition at the most important traffic node bridging land and sea transportation.","port city; maritime identity; Messina; public space; industrial heritage; waterfront","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","38.187148, 15.560300"
"uuid:95d057d5-524c-4776-9a22-8869d2bd2c56","http://resolver.tudelft.nl/uuid:95d057d5-524c-4776-9a22-8869d2bd2c56","System Performance Evaluation of Hybrid Beamforming with Shaped Beam Patterns for mm-Wave 5G Base Stations","Chen, Wenxu (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yarovyi, O. (mentor); Litjens, R. (mentor); Aslan, Y. (mentor); Delft University of Technology (degree granting institution)","2023","Hybrid beamforming (HBF) architecture provides promising trade-offs between the system performance and computational/hardware complexity in practical implementations of millimeter wave (mm-Wave) massive multiple-input multiple-output (mMIMO) 5th generation (5G) mobile networks compared to its fully digital beamforming (DBF) counterpart. In this thesis, we investigate the future applicability of deploying hybrid beamforming architectures with subarray beam pattern shaping for mm-Wave 5G base stations in spatially heterogeneous user distributions and different propagation scenarios. We propose HBF structures with a cosecant-squared pattern and a flat-top pattern as well as their HBF and DBF benchmarks. In addition to the uniform user distribution, three non-uniform user distributions, i.e., the near-site distribution, the cell-center distribution, and the cell-edge distribution are proposed to represent the traffic flow and mobility of users due to festivals and holidays. We evaluate the performance in a novel 5G new radio (NR) system-level simulation (SLS) model. Numerical results show that the HBF architecture with a cosecant-squared subarray beam pattern is more robust against differences in spatially heterogeneous traffics than the flat-top HBF and benchmark HBF under the line-of-sight (LoS) propagation scenario. Under the non-line-of-sight (NLoS) propagation scenario, more deterministic environment information and radio channel modeling are required to improve the system performance of the shaped HBF beamforming technique.","5G; Hybrid beamforming; Massive MIMO; mm-Wave; System-level simulation","en","master thesis","","","","","","","","","","","","Electrical Engineering | Wireless Communication and Sensing","",""
"uuid:3fe4c07b-5f75-4cba-a388-6071d09a1ace","http://resolver.tudelft.nl/uuid:3fe4c07b-5f75-4cba-a388-6071d09a1ace","NEW MANNAHATTA 2100: Re-interpreting the Urban Patterns in Manhattan island, NYC","Lakoumenta, Maria (TU Delft Architecture and the Built Environment)","Hausleitner, B. (mentor); Iuorio, Luca (graduation committee); Delft University of Technology (degree granting institution)","2023","New York City is one of the most densely populated megacities in the world and specifically Manhattan one of the metropolitan areas with a constantly rocketing population growth. The delirious urbanization is mainly the result of mass migration both internal and international thus forming a society of diverse economic and cultural backgrounds. As climate change emerges, Manhattan constitutes one of the areas with great flood vulnerability due to coastal flooding and storm surge. According to IPCC statistics, New York will experience a sea level rise of about one meter by 2100.
The metropolitan area itself is the epicenter of patterns, from the Grid to the skyscraper. External forces such as the impending housing crisis, social segregation and the emergent flood risk will reconfigure the pattern image of the city in the future. The region has been altered extensively during the years by significant drivers which turned the primitive green paradise into an artificial world of skyscrapers. Natural elements of the past have been covered due to the increasing demand of building industry forming a compact environment. The Grid offered the fast and effective organization of the metropolitan area, however it did not take into account in a great extent the natural landscape.
The graduation thesis explores the possibilities of the Grid into the mitigation of Flood vulnerability while bolstering the Social Inclusion in an aim to reconfigure the pattern image of the city in the future through the unraveling of important elements of Palimpsest Landscape. The flood adaptation of the Grid will question the impact on housing densification strategies for the future of the island. The identity as well as the resilience of the metropolitan area will be reinforced and people will come closer to nature.
On the other hand, population growth also influences the economy. The urban qualities create a wished business climate, which is attractive to companies to settle. Currently, the province has some strong economic sectors. However, the economy should shift towards a more circular economy by 2050 which asks space for industry to develop and retain disruptive businesses nearby living environments. This research specifies business ecosystems with a focus on construction materials.
This socio-economic structure results in scarcity of land where the spatial pressure is high. The result is a tension between space for working and living. Innovative approaches to how to use space in a multifunctional way could shape the future of these companies. An underexposed opportunity to realise this multifunctionality is mixed-use strategies at business parks.
However, when creating these environments, liveability needs to be ensured. Nowadays, business parks are inaccessible for pedestrians, have a nuisance due to externalities of the industry, forbid buildings within the zones designated by environmental regulations, and do not provide a wide range of public spaces to meet each other. That is why mixed-use is not yet assigned as a possibility in these transition zones between disruptive businesses and living environments.
Therefore, this report research which spatial qualities and characteristics shape future possibilities for mixed-use strategies in business ecosystems that focus on construction materials. By developing scenarios, the balance between providing a liveable environment and facilitating the circular economy in the Province of South-Holland by 2050 can be investigated.
Through interviews, literature, observations at specific locations, examples of projects, and research by design these future scenarios will come about.
The aim is to realise a strategic framework with guidelines that describes the different compositions of mixed-use possibilities and the role of the business parks in the circular economy on the regional scale. This divides the business ecosystem into places that can be mixed with dwellings and business parks that should remain for the circular economy.
In addition, this report uses example projects to indicate how this can be shaped. The focus lies in explaining how the business ecosystems of construction materials work in the province and at these specific locations. In addition, it creates insight into how to emphasise the urban qualities surrounding the business parks while suggesting site-specific opportunities for a balanced mixed-use area. These conclusions reflect on several scales so that the mixed-use not only affects the neighbourhood but also contributes to the city and even regional scale. The main takeaway message can be found in the infrastructure. By separating different forms of transportation spaces comes available that can be used to transform into another function.
This design is then translated towards a policy recommendation as well, which can be implemented by the Province of South-Holland. These policies are focused on regulating infrastructure, densification and spaces for industries while stimulating knowledge exchange and education.
The project centres around the shared framework of space in response to the uncertainties that we are faced with. Though these uncertainties impact various processes and elements within complex systems, the spatial conditions of a location play a crucial role in determining the functioning of the systems that are embedded within it. Recognizing the significance of (the alteration of) space offers an opportunity to address processes on different layers and promote resilience in a holistic manner.
By categorizing areas based on potentials and vulnerabilities, and employing different scenarios, the research identifies suitable locations for densification and develops strategies for improving connectivity, efficiency, modularity, redundancy, and diversity in urban environments. The multiscalar approach involves diverse and phased developments to answer to the pressure on space. By designing with higher densities with resilience as a starting point, urban environments can benefit from the transformation and the negative effects of climate change and densification can be mitigated.","resilience; densification; Gelderland; morphology; multi-scalar approach; scenarios","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","","51.958871,6.295906"
"uuid:4536b3c5-eea7-453a-bc99-278e6c48253e","http://resolver.tudelft.nl/uuid:4536b3c5-eea7-453a-bc99-278e6c48253e","Impact Of Shallow Convective Momentum Transport On Large-Scale Dynamics: Aquaplanet-Model Comparison Project","Hoebe, Nils (TU Delft Civil Engineering & Geosciences)","Nuijens, Louise (mentor); Siebesma, A.P. (graduation committee); Vizcaino, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","In the tropics, the character of the trade-winds is decisive for setting convergence and the large-scale circulation. Nevertheless, the vertical transport of momentum by shallow convection (SCMT) and yet its impact on trade- winds has not been investigated in depth yet. With this study, we aim to contribute in understanding the isolated effect SCMT has on the large scale circulations, setting the strength of the circulation and favouring zones of deep convection. Six climate models participated by each conducting three aquaplanet simulations, referring to a simplified climate model in which the entire planet’s surface is covered by ocean, useful for studying fundamental atmosphere-ocean dynamics in the absence of complex land surface interactions. In three distinctive simulations, the models either turn off or exaggerate their SCMT scheme, alternatively by altering an approximate convective momentum scheme. We hypothesized that given the typical tropical wind profile, north-easterly flow at the surface and south-westerly flow aloft, the depth of mixing is determinative for the long-term reaction in setting the circulation. By deeper types if mixing, the scheme will likely bring opposite winds to the surface, affecting both the magnitude and direction of the trade-winds. A first analysis reveals that certain confine the mixing of surface friction to the sub-cloud layer, showing a clear cut-off of induced tendency between the mixed layer and the cloud layer. It is those models that favour a local response only in the shallow overturning circulation, opposite to the models that actively mix momentum between the mix-layer and cloud-layer and show adjustments in the deep overturning circulation. Further building earlier work showing that the horizontal advection of moist static energy (MSE) in the sub-tropics drives tropical convection, we analyzed to what extent enhanced SCMT leads to a change in atmospheric cooling rates by di- or convergence of MSE. Apparent differences by enhanced SCMT are observed in the in cooling rates by advection of MSE between the models that show strong responses in the deep overturning versus the models that do not.","","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:b9fdc773-35f5-4ceb-a6d6-39aa683c1383","http://resolver.tudelft.nl/uuid:b9fdc773-35f5-4ceb-a6d6-39aa683c1383","Self-salvation beyond growth: Research on Urban Regenerative Planning for Resource-exhausted Cities in Socio-economic Transition from Smart Shrinkage Perspective","Yan, Anyi (TU Delft Architecture and the Built Environment)","Viseu Cardoso, Rodrigo (mentor); Forgaci, C. (mentor); Caso, O. (graduation committee); Delft University of Technology (degree granting institution)","2023","Due to global social and economic developments and the intensifying urbanization process, China's urban development is displaying a novel phenomenon of expansion and shrinkage oscillations. Cities dependent on natural resources are among those worst affected by China's urban shrinkage. Resource-based cities, which are essential to China's economic development, are facing the prominent challenge of urban shrinkage as a result of China's slowing economic growth, its homogenous industrial structure, and the industrial cycle of ""boom and bust"" brought on by resource depletion. In these cities, the gradual lack of urban dynamism results in the disadvantage in urban competition and attractiveness. The main challenge in these cities is figuring out how to achieve better sustainability and transformation.
The concept of ""smart shrinkage"" is a viable alternative to the conventional growth-oriented planning paradigm, which seeks to reverse the anticipated decline in the future. Smart shrinkage entails accepting the reality of shrinkage, developing policies in anticipation of urban population reduction, and investigating new models of urban intensive development under non-growth conditions. The potentials and transition based on the smart shrinkage idea are investigated in this thesis using Hegang as the study location. Hegang, a city on the border of northeast China, is on the list of resource-exhausted cities. Its population is declining, the city's mineral resources are nearly completely depleted, there is an excess of land and structures, and the quality of space is deteriorating. Does the idea of ""smart shrinkage"" make sense in the context of a municipality that is losing population with Chinese characteristics? Is local operationalization of shrinkage possible? In response to the long-term shrinking trend in the context of Hegang, this research develops a theoretical framework for smart shrinkage, suggests a strategic framework for urban recovery and resilience building, reconstructs Hegang's socio-economic and spatial dimensions, and recovers it to a resilient urban system. The results of a thorough investigation may serve as a point of reference for this particular group of cities' transformation and excellent development.","Urban regeneration; Post-industrialization; Resource-exhausted cites; Smart shrinkage","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Cities","","47.339134, 130.286241"
"uuid:07530a9a-849a-4432-b116-96d4917a8980","http://resolver.tudelft.nl/uuid:07530a9a-849a-4432-b116-96d4917a8980","Waterwerf: een ankerpunt in de stad","de Hoop, Guus (TU Delft Architecture and the Built Environment)","Milinović, S. (mentor); Reinders, L.G.A.J. (mentor); van den Ban, R.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","Kan je architectuur vermenselijken door een gevoel tot ontwerpopgave te maken? Dit is de vraag waarmee ik mijn afstudeerproject startte. Thuis is een begrip dat veel wordt gebruikt en wat sterk gekoppeld is aan de architectonische typologie huis. Zijn deze echter onlosmakelijk met elkaar verbonden en wanneer voel je je thuis? Door middel van film ben ik thuis vanuit een menselijk perspectief gaan onderzoeken. ‘Mijn Afrikaanderwijk’ is de eerste onderzoeksfilm en bevraagt de verhouding van mens en buurt als deze dreigt te veranderen door gentrificatie. De tweede en derde onderzoeksfilm, samengevoegd in de film ’t huis’, analyseren of het begrip hiervan veranderd als je daadwerkelijk je huis hebt moeten verlaten aan de hand van de ervaringen van mensen met een migratieachtergrond. Uit het onderzoek bleek dat de thema’s toe-eigening, ontmoeting en belichaming sterk verbonden zijn met het thuisgevoel. Deze begrippen zijn zo generiek en de individuele invulling hiervan zo specifiek dat ze niet op de volledige groep van mensen met een migratieachtergrond van toepassing zijn of dat ik mijzelf en mijn omgeving in Amsterdam ook kon herkennen in hun zoektocht naar thuis als je huis dit niet is. Met mijn afstudeerproject wil ik het belang van permanente plekken in de stad benadrukken die onafhankelijk van inkomen, weer of tijd toegankelijk zijn. In de laatste film komen daarom de mensen met een migratieachtergrond samen met mensen in mijn directe omgeving in het gebruik van een specifiek ontwerp voor een ankerpunt in Amsterdam. Een ankerpunt is een intieme publieke buitenruimte met binnenruimte die georiënteerd is op de elementen. Door verloederde en vrijkomende kavels als zodanig te transformeren, kan een netwerk van ankerpunten in de stad worden gecreëerd.","public space; film; feeling home; water","nl","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:5986baf6-f7ca-4264-8f39-bf322af57a40","http://resolver.tudelft.nl/uuid:5986baf6-f7ca-4264-8f39-bf322af57a40","Publicness in the contemporary museum: Project fot the new MHKA","Bueno Vega, Nicolas (TU Delft Architecture and the Built Environment; TU Delft Architecture)","De Vocht, S. (mentor); Zeinstra, J.S. (graduation committee); Parravicini, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The focal point of this graduation project revolves around the design and implementation of a new structure for the Museum van Hedendaagse Kunst Antwerp (MHKA) in the Flemish region of Belgium. Founded in 1985, this public institution is set for relocation to the site of the forthcoming demolition of the Court of Appeal in the Zuid district of the city, situated adjacent to the historic docks. Aligned to its multifaceted role as a publicly funded institution the project has to bridge the guvernamental desire to stablish it as an international flemish museum without lossing its identity and origins in the ICC. The brief presents a complex array of contrasting notions that demand careful consideration and thoughtful resolution in a physical embodiment that will transforms the city’s skyline
Thus, the new building investigates an approach that challenges the common formality and rigidity of art institutions without neglecting the required technical specifications of a museum. As a result, it questions how social, cultural and economical dynamics can interlace the principles of the modern museum that cater to the handling of art, in order to cater to the contemporary art museum and foster public spaces for social interaction.","Museum; interior building cities; art and architecture; Antwerp; Contemporary Art; publicness","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Interiors Buildings Cities","Contemporary Art Museum","51.209893, 4.388261"
"uuid:20b83c2e-0ce4-4c69-aa3f-80be778a7e0e","http://resolver.tudelft.nl/uuid:20b83c2e-0ce4-4c69-aa3f-80be778a7e0e","Shape memory origami for haptics: Exploring the potential of a material system based on SMAs to generate haptic feedback for visually impaired people","Lücker, Mila (TU Delft Industrial Design Engineering; TU Delft Sustainable Design Engineering; TU Delft Human-Centered Design)","Huisman, Gijs (mentor); Ghodrat, S. (mentor); Liu, Q. (mentor); Delft University of Technology (degree granting institution)","2023","This project explores the potential of a material system based on SMAs that generates haptic feedback for Visually Impaired People (VIPs). Since VIPs rely more than others on tactile information, there is a need for more natural and unintrusive haptic devices as the commonly used electromechanical actuations are often perceived as intrusive and less acceptable than non-vibrating feedback. SMAs emerge as a promising solution to address these challenges effectively, due to their inherent ability to create silent, organic sensations, while being lightweight, thin, and flexible (Cruz et al., 2018).
The aim of this project is to investigate the unique characteristics of SMAs and translate this into an integrated material system that could inspire designers to adopt these materials and revolutionize tactile experiences. The process had an explorative nature and included a research, form configuration and embodiment phase. Most current haptic systems based on SMAs have the limitation of small actuation ranges and/or difficulties in integrating them in soft material systems. Therefore, in this project, a soft integrated material system is designed that shows the potential of incorporating SMA and SE flat springs into an origami-paper textile to create haptic feedback.
By using the combination of the SMA-SE flat springs, an easy integration of the wires in the paper-textile is enabled and a two-way actuation is created with a displacement of around 16%. Through the use of the origami structure, the two-dimensional shape change of the SMA spring is transformed in a three-dimensional shape change creating rich tactile feedback that can be perceived passively as well as actively.
The sensations generated by the material system were easily perceived with the hands and the movement was characterized as natural, calm and gentle by sighted participants. This demonstrates that there is a potential for creating an integrated material system based on flat springs SMAs that generated haptic feedback for VIPs in a natural and new way. Additionally, based on all these findings, a guideline was developed for SMA wires with the aim to give an overview of all steps involved when designing a material system based on SMAs.","Shape memory Alloy; Haptic feedback; origami mechanisms","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:35a30a7e-b412-4651-b40d-da0f44fb0d6a","http://resolver.tudelft.nl/uuid:35a30a7e-b412-4651-b40d-da0f44fb0d6a","Smart Village: Rural revitalisation strategies based on Taobao Village development model in rural areas of GBA","Zhang, Minshi (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Qu, L. (mentor); Nijhuis, S. (mentor); Pimlott, Mark (graduation committee); Delft University of Technology (degree granting institution)","2023","China’s rapid urbanisation and industrialisation seriously impacted rural areas, which is reflected significantly in the Greater Bay Area. With globalisation and digitalisation, the emergence of Taobao villages has become a new model for rural development in China. However, socio-economic activities entering in Taobao villages with digital technology were unguided and lacked spatial planning. Consequently, the pursuit of economic growth has resulted in the sacrifice of agricultural resources, degradation of the ecological environment, and terrible spatial quality. With the regionalisation and integration of the Greater Bay Area, the need for sustainable rural revitalisation has become urgent.
To envision future possibilities for rural development, this project introduces the concept of Smart Villages, aiming to enhance agricultural productivity and improve rural liveability. With the Dutch layer approach as the main methodology, the project understands the essential resources that support smart village development and evaluates the spatial conditions of rural areas at regional, district, and local scales. To conclude, the key design elements for Smart Villages development include agricultural agglomeration, accessible networks, and rural liveability. Based on these findings, the project proposes a potential Smart Villages development framework that serves as a guide for rural areas. A series of spatial design strategies are also presented and applied to two design cases, Xingtan in Foshan and Chikan in Jiangmen. They represent rural areas at different levels of development, showcasing scenarios of agro-industrial and eco-agricultural development respectively. It demonstrates how rural areas make use of local resources to spontaneously develop into Smart Villages, thereby reducing dependence on urban centres and contributing to the development of metropolitan regions.
The project highlights the need for a flexible approach to rural revitalisation in the digital future. By embracing the concept of Smart Villages, it advocates for sustainable agricultural practices, improving infrastructures, and enhancing the quality of life for rural communities. The proposed framework and design strategies offer valuable insights for planners, policymakers, and stakeholders seeking to foster the sustainable development of Smart Villages in a spatial perspective.","sustainable revitalisation; spatial strategies; agricultural upgrading; rural liveability; digitalisation","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:35af2950-82ae-41cb-9c87-bccea55d4bc5","http://resolver.tudelft.nl/uuid:35af2950-82ae-41cb-9c87-bccea55d4bc5","Urban Fabrications: Tensile Tectonics of Labor","Klimi, Myrto (TU Delft Architecture and the Built Environment)","Geerts, F. (mentor); Rommens, O.R.G. (mentor); Koskamp, G. (mentor); Hoogenboom, J.J.J.G. (mentor); Delft University of Technology (degree granting institution)","2023","Filtering and differentiation at borders shape the composition and multiplicity of living labor, as well as the formation of often exploitative labor regimes. The relation between migration and the garments and textile Industry in the area of Istanbul, the center of textile and garments production and simultaneously a destination and hub for migration is exemplary of this condition. Transnational economic, labor and migration policies are reflected in the spatial manifestation of the textile and garments sector in the area of Istanbul. This leads to a heterogeneity of practices in the organization of the production system with main suppliers, subcontractors, retailers, home based worker’s networks and logistics, creating dense industry clusters extending deep into residential areas converting them into assembly lines, turning neighborhoods into machines. While technology is advancing rapidly labor conditions have remained problematic and outdated production models account for overproduction and waste.
Architecture can address these socio political and economic issues and these in turn shape architecture therefore it is worth it to make an experiment and let the systems networks and methods of garment production contaminate architecture: How is architecture transformed by using and reshuffling networks and production processes of the garment industry? What does a hybridization of these production processes and systems uncover about them? Which parameters can be manipulated for architecture to act as an agent in improving labor conditions and production processes?
This context sets the frame for discussing issues such as transitions in labor and production models, genericness, mass customization, flexibility, high-tech versus low-tech sparking a debate on how we fabricate architecture.
Zuiderzeewerken implemented new linear flooding defenses in the Blue Heart Delta, such as the Afsluitdijk and new dikes that surrounded the newly reclaimed polders. However, this adaptation measure had severe ecological trade-offs. It interrupted the migratory routes and completely changed the endogenous brackish water ecosystem of the Zuiderzee into a freshwater reservoir for the demand for agriculture and housing. In the process, the water infrastructure morphology eliminated most of the vital local species of the brackish water
habitat, degrading the ecological succession processes in the lakes. Currently, the lakes Markermeer and IJsselmeer have severe ecological challenges related to the lack of biodiversity, which not only impacts the global web-of-life of the Blue Heart but also the original local fishing activities. Furthermore, the Province of Flevoland was developed as an intensive agricultural land towards exportation, facilitated by favorable marine clay fertility conditions and advanced farming technologies. As new climate conditions appear in uncertain future horizons, the limits of the paradigms that maintain the current territorial conditions and
functions of the Blue Heart are increasingly urgent. The project starts by shifting the harmful conditional and functional paradigms by adopting a nexus of ecology, water infrastructure, food, and housing. Then it proposed a planned adaptation model that guides the territorial recomposition and regional deconstruction of the Blue Heart to achieve endogenous agrourban ecological interfaces. In that sense, proposing a socioeconomic system that can synergize
with the web of life. The new spatial possibilities allow a regional envisioning for Flevoland that is rooted in ecological reintegration and water infrastructure change considering the future socio-climate scenarios of Deltares.","Delta; Agro-urban; Climate Adaptation; Regional envisioning; Research-by-design","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Transitional Territories","","52.518536, 5.471422"
"uuid:d1695c8c-8c87-42c6-80cd-7207a4c460d2","http://resolver.tudelft.nl/uuid:d1695c8c-8c87-42c6-80cd-7207a4c460d2","Haydarpasa Gari - park, loop, foyer: stimulating the transformation of a former railyard through a catalyst of nostalgia","Gies, Valentin Gies (TU Delft Architecture and the Built Environment)","Geerts, F. (graduation committee); Rommens, O.R.G. (mentor); Koskamp, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Once, the Haydarpasa Railyard in Istanbul was a key link for railway traffic between Europe and Asia and a gate to the Turkish hinterland and Middle East. After the opening of the Bosphorus-crossing Marmaray Tunnel, it has lost its function and became abandoned.
Taking into account the various historical layers as well as the place of the site in the public memory, the design project proposes transforming the site into a park. This park is defined by the loop, a walkway on the path of a former sidetrack that defines the perimeter of the park and connects its different zones.
Along the loop, several interventions related to the different historical layers of the site and the inherent nostalgia are introduced. One of them is the ensemble of a panorama on top of an old turning disc as well as an existing ruinous locomotive shed, together forming a catalyst of nostalgia at the entrance of the new park. Now, after the train-traveling has stopped, this new Eastern gate to the park enables travels in time.","nostalgia; panorama; Istanbul; railyard; Haydarpasa","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Borders and Territories","Transient Liquidities along the New Silk Road","41.000222, 29.028139"
"uuid:9723dffa-76ff-4e70-bef9-a4325a1a34ab","http://resolver.tudelft.nl/uuid:9723dffa-76ff-4e70-bef9-a4325a1a34ab","Circularity adoption in corporate real estate: The consumers’ perspective","Sala De Andreis, Nicole (TU Delft Architecture and the Built Environment)","Vande Putte, H.J.M. (mentor); Chan, P.W.C. (graduation committee); den Uyl, Ruben (graduation committee); Delft University of Technology (degree granting institution)","2023","The pressure for businesses to respond and contribute to sustainability is increasing and organizations are expected to actively address these issues (Joyce & Paquin, 2016). However, achieving circularity in real estate development poses significant challenges and barriers. Previous studies have primarily focused on the drivers and barriers influencing frontrunner’s uptake of circular solutions, particularly within the supply side. Meanwhile, the perspective of non-frontrunner clients and the demand side of circularity has gotten limited attention.
This study aims to enhance circularity in the built environment by exploring how corporate real estate clients who are not early adopters can implement circular ambitions and solutions. The thesis research focuses on the demand side of corporate real estate within the Dutch context, utilizing a mixed-approach that combines theoretical research and on-field investigation. The study identifies two groups of interest: early adopters and next adopters. Then, through qualitative field interviews with representatives from 12 organizations it sheds light on the experiences of both groups. The findings reveal that the main drivers for next adopters of circularity are the organization's core business and personal motivation, while the primary barriers include uncertainty regarding circularity benefits and a general lack of circularity awareness. Based on these findings, the study concludes by providing circularity adoption recommendations tailored to corporate real estate clients who are new to the field.
By addressing the demand side and considering the experiences of non-frontrunner clients, this research contributes to a more comprehensive understanding of circularity in real estate development and offers practical guidance for organizations seeking to embrace circular solutions in their operations.","Circularity; adoption; Strategies; Drivers and Barriers","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:458ec5b8-037c-4251-ae11-dbc479e631c4","http://resolver.tudelft.nl/uuid:458ec5b8-037c-4251-ae11-dbc479e631c4","Compute, Demount, Adapt: Developing a computational workflow to aid in the design of adaptable buildings with demountable components or oversizing.","de Ruijter, Marije (TU Delft Architecture and the Built Environment; TU Delft Architectural Engineering +Technology)","Brancart, S. (mentor); Hoogenboom, J.J.J.G. (graduation committee); Delft University of Technology (degree granting institution)","2023","At the moment there is an increasing shortage of raw materials. The approach of a circular economy can be used to reduce the need for new materials. This includes design for adaptability. During interviews and a literature review, methods that are used to increase adaptability were determined, including oversizing elements and demountable connections. Oversizing elements leads to an initial increase of material use but removes the need to make changes to the structure during the building’s lifespan. On the other hand, demountable connections don’t increase the material use, but do lead to a need for changes being made to the structure. Therefore, there is always a balance between material use and adaptability. The main research question of this project is thus: “How can a computational workflow be used to increase the amount of adaptability in the design of a building’s structure, while minimizing material use?”.
The method of scenario-based design can also be used to increase adaptability, by anticipating how the building could change to meet the user’s needs over time. Scenario-based design can be combined with a computation workflow, which makes it possible to easily test many scenarios. The main reason why there are still limited adaptable buildings being constructed is the higher costs of these buildings. For instance, due to the higher material use of over-sizing elements. To lower the costs, it is possible to only apply adaptability measures where they are needed.
During this project a computational workflow is created to determine where the measures are required, by applying scenarios to a preliminary design. The workflow can also be used to compare multiple grid-sizes, as this is an important aspect relating to both adaptability and material use. The workflow uses an optimization process to determine the minimal number of changes that are required for the structure to adapt to the different scenarios. Another optimization approach was also used to determine the minimal mass of the structure, after the structure has been adapted. However, this second approach was unsuccessful.
The optimization process results in the minimal number of elements that need to be demountable or over-sized. The workflow creates a list of which elements need to be replaced with a larger cross-section for each of the scenarios. By combining these lists, all elements that might have to be replaced can be determined per design variant. By comparing mass and lowest number of demountable elements, the most suitable design variant is determined.
A test-case is used to determine whether this approach is beneficial for material use of the structure. From this test-case it was found that the structures optimized by the workflow can result in less material being used over the building’s life span if the scenarios are correctly anticipated. In case the scenarios from the workflow don’t match with the scenarios that will actually take place, the structures from the workflow offer no benefit over the traditional structure. The functionality of the workflow therefore depends on the scenarios that are determined during the design process.
of these post-war neighborhoods by using co-housing as a strategy. In the past co-hous- ing has been tried as a solution for social injustice and vulnerable groups within a society.
To develop these strategies, case studies of postwar renovation projects have been analyzed as well as co-housing spatial principles and fundamentals to combine into a design strategy for the renovation plan of IJssel- monde. The results are a design approach
for densification of a postwar neighborhood that takes into account the current resi-
dents while providing suitable housing for new residents and connecting them through co-housing.","Co-housing; Post-war Neighborhood; Urban Area Development; Shared housing","en","master thesis","","","","","","https://issuu.com/lbarz/docs/site_analysis_booklet_a4-compressed","","","","","","Architecture, Urbanism and Building Sciences | Dwelling","","51.876895711406576, 4.543364469435843"
"uuid:c80a55b7-3d45-49b7-a30c-649b1ef4fd88","http://resolver.tudelft.nl/uuid:c80a55b7-3d45-49b7-a30c-649b1ef4fd88","Artists as Agents: The Impact of Art(ists) as an Everyday Practice on the Built and Lived Environment","Kleiner, Anna-Lena (TU Delft Architecture and the Built Environment)","van der Meij, A.M.R. (mentor); Eckardt, H.F. (graduation committee); Alkan, A.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","Being an Artist is or can be an unthankful, often not even officially recognized profession. Although they entertain and sustain the cultural scene and the livelihood of our cities, they suffer to make a living and are placed at the bottom line of the Art Network.
The paper starts with a short time travel through the art world with focus on London, drawing light on the evolution and developments that have brought the cultural and artistic scene to where it is today. The research specifically enhances the role of the human figure, the ‚everyday artist’, within this urban and artistic discourse. It ends with a potential forecast that incorporates current changes, developments and technologies that impact artistic spaces, the artists as well as the public and the way we experience, perceive, sell, buy, produce and display art.
The aim was to unravel and showcase the potential the artistic practice and especially the human actor, the everyday artists, can have on the urban fabric and the social realm if only incorporated and empowered more in our daily lives.
The insights obtained through the research form the programmatic framework. They allowed to define a new model that reacts to these aspects and eventually built the foundation for the design project.","artists; Built Environment; art and architecture; cities; artistic spaces; actor network; human actor","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","51.509865, -0.118092"
"uuid:4828f3d8-ab06-4545-9d3d-bc8b192e1a23","http://resolver.tudelft.nl/uuid:4828f3d8-ab06-4545-9d3d-bc8b192e1a23","Philanthropie?: Rethinking social housing through principles of commoning","Kologlu, Tolga (TU Delft Architecture and the Built Environment)","Pietsch, S. (mentor); van den Ban, R.R. (mentor); Milinović, S. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","",""
"uuid:b4a44f69-e3a4-4a29-85c4-77a7e899b81a","http://resolver.tudelft.nl/uuid:b4a44f69-e3a4-4a29-85c4-77a7e899b81a","3D Generative Adversarial Networks to Autonomously Generate Building Geometry","Müller, Lisa-Marie (TU Delft Architecture and the Built Environment)","Turrin, M. (mentor); Andriotis, C. (mentor); Delft University of Technology (degree granting institution)","2023","Across the world, countries are facing housing shortages and the Netherlands is no different. The increasing demand for new housing exceeds the growth rate of the architecture, engineering, and construction industry. Current solutions remain small in scale and therefore unsustainable. Multi-family housing is the optimal typology to address the housing shortage but the industry cannot design and build these projects fast enough. Automation can help. In 2016, Kevin Kelly[2016] reframed the conversation about automation, by stating ""our most important mechanical inventions are not machines that do what humans do better, but machines that can do things we can't do at all."" Humans cannot address the housing crisis alone, but automation through the application of deep learning models can bring well-designed spaces to everyone.
Since the introduction of computers, architects have looked for ways to automate menial tasks. Some researchers even imagine a future where the machine becomes a partner to architects and designers, contributing to the design process. This ambition requires that the algorithms train themselves. Innovations in the field of deep learning have made this possible by allowing algorithms to train themselves through the use of artificial neural networks. When it comes to applying deep learning to generative design tasks, however, there is little research. The studies that have been done generate geometry that is small in size (64 x 64 x 64 voxels) and focuses on objects like chairs, not on buildings. 3D generative adversarial networks show promise for generating building geometry. By automating design, it is possible to apply expert knowledge on good design to all projects so everyone has access to well-designed buildings.
This research aims to develop the architecture for a generative adversarial network that produces feasible building geometry. An important first step was identifying and pre-processing a data set that could be used for this purpose. The data set is released with the publication of this thesis so it can be used for further research. Through this thesis, the Improved 3D Wasserstein Generative Adversarial Network architecture has also been developed and documented. The research found that using a combination of Wasserstein loss with gradient penalty, Leaky ReLU activation functions in the generator and the critic, and the RMS Prop optimizer results in an architecture with stable training and outputs that are similar in size, shape, and proportion to the training data with minimal noise in the output. Performance of 3D Wasserstein generative adversarial networks with these hyperparameters was improved even further when using ten layers and a larger number of channels. The experiments concluded that generative adversarial networks can be used to generate building geometry and can be an area of continued research to improve generative design tools and support the automation of architectural design.","Generative Adversarial Networks; Generative Design; Deep Learning","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:9fbc3134-525c-4062-b5ca-cb044c814062","http://resolver.tudelft.nl/uuid:9fbc3134-525c-4062-b5ca-cb044c814062","Digital Product Passport within Boundaries: Consumer Information Requirements for the EU Digital Product Passport and Boundary Conditions for its Implementation","Turner, Ben (TU Delft Technology, Policy and Management)","Ubacht, J. (mentor); de Vries, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","class=""MsoNormal"" style=""margin-bottom:4.0pt"">The continuous growth of material consumption places a high burden on the Earth's systems, causing harm to both humans and nature. Transitioning to a circular economy, in which all products and materials retain in cycles, has been promoted by the European Union as a countermeasure to this threatening development. However, progress in the circular economy transition is minor and needs to be accelerated. Therefore, reducing material consumption by implementing the highest level circular strategies, such as product-as-a-service models or reusing, is crucial.
The European Union (EU) proposes the implementation of a cross-sectoral EU Digital Product Passport (DPP) to accelerate the transition to the circular economy. The EU DPP is a standardized dataset of product-specific lifecycle information that is electronically accessible with a product. It is anticipated to benefit all actors along a product's value chain by creating transparency and trust, enabling access to more comprehensive information, and facilitating informed decision-making for sustainability.
However, research around this concept is still emerging, and it needs to be clarified how exactly the EU DPP should be designed to be impactful. Notably, actor- and product-specific information requirements are missing for the technology's near-term implementation.
This thesis research addresses the societal challenge by contributing to the called needs from the DPP research. It aspires to shed light on the contextual DPP development by eliciting information requirements for the EU DPP that addresses the information deficits of EU consumers hindering them from acquiring the most circular mobile phone alternative.
For this purpose, rigorous Design Science Research is performed through desk research and based on scientific literature to explicate the information deficits of consumers. They are translated into design principles that guide the purposeful elicitation of information requirements. Furthermore, by conducting expert interviews, the information requirements are evaluated and revised to bring value to the EU DPP's development in practice. However, as the EU DPP is a new technology that will intervene in the complex consumption system of mobile phones, uncertainties about its implementation exist. Thus, with expert input, boundary conditions are identified that determine the implementation of an EU DPP. They are structured in a Boundary Condition Framework to inform further research and development.
A total of 50 information requirements are elicited that ensure the relevance, soundness, and accessibility of the EU DPP's information provided so that consumers' information deficits are addressed. Whether the EU DPP developed based on these information requirements can mitigate consumers' information deficits is determined by four boundary conditions that need to be in place and are partly dependent on the heterogeneity of consumer needs. They are:
Suitability of the DPP The development process and the resulting DPP is suitable to serve the objectives (e.g., material consumption reduction) and address the consumer needs in the research’s context.
Information Efficiency The information and its provision to the consumer are most effective in serving the DPP objectives and addressing the consumer needs while using the least possible data.
Data Governance The data for the DPP is governed in a way that supports the intended information provision (including, for instance, roles and rights or trust mechanisms), also considering the lifecycle of the DPP’s data and the implementation of third-party applications.
Data Provision The data and its governance required to serve the consumer needs are in accordance with the interests and capabilities of the data provider (including private persons if necessary) and incorporate standards ensuring information validity.
These findings contribute to the overall understanding and development of the EU DPP and, simultaneously, the mitigation of potential risks associated with its implementation. Focusing on mobile phone acquisition from a consumer perspective informs the purposeful design of the EU DPP in a specific context. Furthermore, the identification of relevant boundary conditions facilitates its successful implementation. Ultimately, the EU DPP developed further based on this research's outcome can assist consumers in making informed decisions and fostering a more circular economy in the mobile phone industry.
Hence, it can contribute to the needed acceleration of the circular economy transition in the EU to tackle the societal challenge of continuous material consumption growth.
The thesis aims to incorporate changes in depth-induced breaking uncertainty of sea level rise in the creation and selection of adaptation pathways for rubble mound breakwaters.
As a first step to reach the objective, methods are proposed based on existing concepts from literature, modified to be applicable to adaptation pathways for breakwaters.
To consider changes in depth-induced breaking when determining wave loading on breakwaters, two empirical estimates are proposed. The maximum significant wave height at the toe of the breakwater is assumed equal to half the water depth at the toe. The spectral period at the toe is assumed to be equal to the deep-water spectral period for shallow foreshores.
To account for sea level rise uncertainty in the selection of pathways based on cost, methods for model uncertainty and for scenario uncertainty are proposed. The first method uses an approximated probability based on model uncertainty to estimate the expected cost of the adaptation pathway. The second method deals with scenario uncertainty by computing the weighted average of the cost of pathways for all considered scenarios.
The applicability of the proposed methods is tested on a case study for the location of IJmuiden (the Netherlands). For the case study, five adaptation measures are considered: placing a low-crested structure, adding a berm, raising the foreshore bed, adding a crest wall, and raising the armour crest level. The last three mainly form the optimal pathways in the case study.
Lastly, the empirical estimates and formulae used to create adaptation pathways are validated with an XBeach model and an OpenFOAM model. The estimates of the significant wave height and spectral period have a maximum deviation of 21% and 15%, respectively, compared to the numerical results. Moreover, the comparison with the numerical model indicates that the overtopping expressions of Van Gent et al. (2022) can predict overtopping results with reasonable accuracy, even for conditions which fall outside the range of validity.
Based on the case study it is concluded that the method to incorporate sea level rise uncertainty in the selection of optimal pathways gives insight into the preferred measures and the likelihood of measures being applied in the lifetime of the structure. The results of the case study also indicate that the preferred pathways do not vary between different sea level rise scenarios. Based on the numerical validation it is concluded that the method to incorporate depth-induced breaking in adaptation pathways can be used as a first estimate but more detailed calculation methods such as numerical models are necessary to accurately create adaptation pathways.","Sea level rise; Adaptation pathways; Breakwater; Wave overtopping","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:a78922f9-beee-48bf-8a17-35baa138ad63","http://resolver.tudelft.nl/uuid:a78922f9-beee-48bf-8a17-35baa138ad63","Investigation of the power estimation for inland barges","Gkioka, Despoina (TU Delft Civil Engineering & Geosciences)","van Koningsveld, M. (mentor); Koedijk, O.C. (graduation committee); de Koning Gans, H.J. (graduation committee); Bolt, Ernst (graduation committee); Jiang, M. (mentor); Delft University of Technology (degree granting institution)","2023","Inland shipping is widely acknowledged as a sustainable mode of transportation due to its low energy consumption and emissions in comparison to road and rail transport. However, with growing concerns around reducing emissions in the transportation sector, there is pressure to address environmental issues associated with inland shipping. In the Netherlands, a Green Deal has been formulated to outline the goals for reducing CO2 emissions by 2030 and other environmental pollutants by 2035 in inland navigation, to enable us to take the next step towards a climate-neutral society by 2050. This increasing pressure raises the question of how to get insight of the energy consumption and the associated emissions from inland shipping. To date, an accurate method is lacking that is able to estimate the total resistance, the propulsive power and in turn the energy consumption in shallow water and thus to quantify the CO2 emissions. Over the years, several power estimation methods have been developed for inland vessels, with the Rijkswaterstaat power estimation method being one of the most widely recognized. Recently, Backer van Ommeren (2019) investigated the Rijkswaterstaat power estimation method and found that certain assumptions and parameters used in the method were not well-founded, and that some approximations were unnecessary.
The main objective of this study to conduct a comprehensive literature analysis on Backer van Ommeren (2019) comments and recommendations regarding the Rijkswaterstaat (RWS) or Bolt (2003) method in order to clarify to what extent these recommendations will indeed improve the Bolt (2003) method or if an alternative power method should be proposed instead. This will be accomplished through a comparison process of the power results as a function of sailing speed, water depth, and channel dimensions for various types of inland vessels, utilizing the selected methods that will be derived from the literature study along with Backer van Ommeren (2019) recommendations applied to the original method. After coding these methods in Python and analyzing their results, the best practice(s) that will be derived from the test cases, will be implemented on two classes of motor vessels an M6 and an M8 to estimate the resistance and the power and then they will suggest to Rijkswaterstaat for potential future use.
To achieve the main research objectives, the following research was conducted. Initially, a literature analysis on the available resistance methods, how they consider and divide the several resistance components, and which are the shallow water effects that affect them, was done in order to evaluate their performance in terms of power estimations. Secondly, the comments made by Backer van Ommeren were presented and analyzed. Specifically, he investigated various formulations for calculating the return flow, water level depression, and characterizing the waterway as normal, narrow, wide, or very wide. This study was accomplished through the use of specific power efficiency and resistance coefficients. Based on his study, he derived a method, the Backer method (Backer van Ommeren, 2019) and suggested a number of formulas to be further tested. After completing the literature review, the findings lead to the selection of the power methods that will be treated in this thesis and the kind of improvements that will be applied to the original Bolt (2003) method.
Subsequently, from the literature study and Backer van Ommeren (2019) review, four methods were derived to be simulated and tested in this thesis. These methods include the TU Delft method, Bolt method with speed correction, Bolt method modified by Backer, and Backer method. The simulation was achieved with two rounds of tests that are conducted, firstly the “Academic test case” and secondly the “Real-world test case”. In the “Academic test case” five methods were simulated and the most promising that met specific criteria are selected. Then, in the “Real-world test case” the selected methods as they were derived from the “Academic test case”, were further evaluated for the selection of the best practice(s). The first round of tests is applied to two classes of motor vessels in narrow and wide waterways with shallow, intermediate and deep water depth conditions and the results include the total resistance and the brake power while the second round simulates only one motor vessel of class six in wide waterways for the same depth conditions as previously and the outcome includes the delivered power. The “Real-world test case” is divided in two parts. The first part includes the comparison between the estimated and the measured delivered power in order to assess the performance of the methods with the real data. The second part evaluates the performance of the methods in the presence of a current flow, by comparing the fuel consumption in upstream, downstream and round trips.
The evaluation of the methods in a real-world test case led to a number of conclusions, and the best practices were recommended accordingly. It should be noted that the comparison process was based solely on a single real-world case, utilizing a singular set of real data. It is important to be conducted additional comparisons across multiple real cases in order to increase the understanding of the accuracy of the various methods being compared. In the context of power estimation in shallow water, both the Bolt (2003) method and TU Delft method(Jiang, Baart & van Koningsveld, 2022) have demonstrated remarkable accuracy in their predictions while Backer method and Bolt method modified by Backer are not recommended for power predictions. Notably, Bolt (2003) method has proven to be effective in estimating power within a speed range of 2.5m/s to 3.5m/s while TU Delft method (Jiang, Baart & van Koningsveld, 2022) showed accurate predictions within a speed range of 2.5m/s-4m/s (accurate as defined within 20% of the observed value). Regarding the intermediate and deep water conditions, only TU Delft method (Jiang, Baart & van Koningsveld, 2022) showed acceptable performance in power estimation again for sailing speeds varying 2.5 m/s – 5 m/s. The power demand at very low speeds for all the three methods display a considerable deviation between the estimated power output and the actual values, surpassing the acceptable rate of 20%. This can be attributed to two reasons. At low speeds, the interaction between a sailing vessel and the boundary layer becomes more pronounced, causing the ship to experience turbulent effects that dominate the boundary layer more intensively. As a result, the vessel experiences increased resistance, requiring more power. Secondly, in actual operating conditions, a ship has a minimum power engine setting that is dependent on the engine characteristics. So, when the ship is moored and the ""hotel mode"" is on, as the ship not having a separate auxiliary power unit, a propeller brake is used to allow the turbine to continue running and generate power without the propeller spinning. This effect does not consider by the power estimation methods that rely on parameters such as sailing speed and water depth. The Backer (2019) method demonstrated satisfactory performance in predicting resistance and power for both types of motor cargo vessels within narrow waterways. This method effectively accounted for the variations in depth by accurately estimating lower resistance and power demand as the depth increased. However, Its accuracy in wide waterways diminished due to the equations' unsuitability for such conditions, by generating nearly identical resistance and power estimations for the three different water depths. Based on the aforementioned restriction, it is not recommended to employ this particular approach for subsequent power estimations. As regards the Bolt method modified by Backer performs poorly in estimating resistance and power across narrow and wide waterways with varying depths. It consistently yields similar results for shallow, intermediate, and deep depths at a specific sailing speed. Therefore, it is not recommended as an improvement to the Bolt method. In the presence of current flow, three methods have shown promising results. Specifically, the TU Delft method (Jiang, Baart & van Koningsveld, 2022) is recommended for motor vessel, as it produces deviations from real measurements of 0.93% for upstream, 1.36% for downstream, and 0.45% for round trips. Also, TU Delft method (Jiang, Baart & van Koningsveld, 2022) is recommended in case of pushed and coupled convoys as it has been found to produce the smallest deviations in upstream sailing, with a maximum of 3.9% while the deviations observed for downstream sailing and round trips are around 1.9%. Bolt (2003 )method and Bolt method with speed correction, were found to produce acceptable deviation rates of around 7% for upstream trips, with the benefit that these methods require less detailed input data. Nevertheless, for downstream and round trips, the deviations were much higher, reaching up to 80% and 30%, respectively and event that requires additional investigation and validation.
The initial phase of the research involves a literature review on diversity to develop an understanding of diversity within project teams. A preliminary survey collects data on diversity within two project teams and gathers relationship information based on Cross's four dimensions: frequency, responsiveness, effectiveness, and energy. This empirical data, along with social network analysis (SNA) metrics such as centrality, centralisation, density, clustering, and homophily, provides insights into the manifestation of diversity and its impact on team dynamics. The research findings and conclusions are presented to two experts in the field to enhance the understanding of diversity’s effects and obtain recommendations.
The literature review helped create a list of relevant diversity dimensions. Age, gender, language, and nationality are important personal traits, while educational background, functional background, experience, and team tenure are critical job-related traits. In addition, three parameters were integrated into the analysis to understand some of the observed network properties of the investigated project teams. These parameters include organisation, office location, and department.
The findings of this research highlight the significant impact of age, experience, education, functional background, nationality, and gender on team dynamics and collaboration within diverse teams. Age and experience were found to influence the closeness of team members, with younger and less experienced individuals being less connected to the larger network, particularly in relation to the oldest team members. In consultancy-based work or projects involving experts, higher educational degrees were associated with increased power, influence, and communication within the team. However, this trend was not observed in project management-based teams. The tendency to associate with others who share similar characteristics was evident based on nationality, with team members exhibiting a higher affinity to form connections towards individuals from their own nationality. Gender also influenced this effect, although to a lesser extent compared to nationality. Clustering based on nationality, gender, and functional background was observed.
Onboarding and extracurricular activities emerged as factors responsible for the visibility of the effects of diversity within project teams. A comparison revealed that the team where deliberate efforts were made to foster bonds among members exhibited lower variations in diversity-related network characteristics. This underscores the importance of effective onboarding processes prioritising trust-building, team integration, and establishing a cohesive unit. To enhance collaboration within diverse teams, several strategies are recommended based on these findings. They focus on reforming onboarding practices, embracing diversity and inclusivity, encouraging interdisciplinary collaboration, and addressing cultural and language barriers and considerations while building the formal organisational structure.
In summary, this research underscores the importance of understanding the various factors that influence the social structure within diverse teams. By implementing the strategies and recommendations outlined, organisations can create a more inclusive and collaborative environment, leading to improved team outcomes.","Social Network Analysis; Diversity and inclusion; Team dynamics; Diversity effects","en","master thesis","","","","","","","","","","","","Civil Engineering | Construction Management and Engineering","",""
"uuid:887c0c95-7f8a-4685-b28a-79e8a1cdd347","http://resolver.tudelft.nl/uuid:887c0c95-7f8a-4685-b28a-79e8a1cdd347","Compound Helicopter Flight Dynamics Modelling","Tzanetos Alevras, Tzortzis (TU Delft Aerospace Engineering)","Pavel, M.D. (mentor); van Kampen, E. (mentor); Bombelli, A. (mentor); Delft University of Technology (degree granting institution)","2023","This research presents a comprehensive modeling approach for the flight dynamics of a hybrid compound helicopter, employing classical mechanics methods. The derived non-linear mathematical model encompasses the individual components of the aircraft, including the rotor, propellers, wings, fuselage, and empennage, which are then integrated into a unified dynamics framework through the final Equations of Motion. Notably, the model incorporates a conventional first-order steady flapping motion and quasi-dynamic inflow modeling for both the rotor and propellers. The resulting model represents a complete 9 Degree-of-Freedom system, augmented with 6 mechanical ones and an additional 3 for the inflows of the rotor and the two propellers. As an over-actuated coupled system, it offers 7 available controls; rotor collective pitch, rotor longitudinal and lateral cyclic pitch, port-side and starboard-side propeller pitch, elevator deflection, and rudder deflection. The primary objective of this study is to identify operating trim points during forward flight, ranging from hover to the observed maximum airspeed of 255 knots. Achieving trim through numerical optimization involves a control allocation process utilizing a mapping function that prioritizes the auxiliary propulsion. The research findings demonstrate the successful implementation of a slowed-rotor strategy at high speeds, effectively mitigating compressibility effects, and a linearized mathematical system is derived, providing essential insights for future stability analysis and control system design. These outcomes contribute valuable information toward the advancement of hybrid compound helicopter technology.
This study aimed to examine to what extent attribute frames steer human food choices toward healthy ones, and in what way self-control levels influence that relationship. An online experiment was conducted, utilizing attribute frames as the independent variable, food choices as the dependent variable, and depletion sensitivity and trait self-control as moderating variables. Participants were randomly provided seven different food options, each of which came with an attribute framing message, to evaluate the effects of positive and negative attribute frames on healthy food choices. The Depletion Sensitivity Scale (DSS), and Brief Self-Control Scale (BSCC) were used to assess the individuals’ levels of depletion sensitivity and trait self-control, in respect. The findings revealed that positive attribute frames effectively encouraged healthy food choices, while negative frames had a relatively lower impact. Depletion sensitivity moderated the relationship between attribute frames and healthy food choices, suggesting that individuals with low depletion sensitivity were more likely to select healthy options under positive framing conditions. However, no significant moderating effect of trait self-control was observed. Lastly, the relationship between attribute frames and food preference was found to be significantly moderated by gender differences. In conclusion, this study provided evidence supporting the influence of attribute frames on healthy food choices and the moderating role of depletion sensitivity on this relationship. The significance of considering gender differences in the analysis of the effect of attribute frames on food preferences was also illustrated.","Nudge Theory; Attribute Frames; Food Recommender Systems; Healthy Food Choices; self-control; Depletion Sensitivity; Trait self-control; Gender; Ego-depletion","en","master thesis","","","","","","","","","","","","Management of Technology (MoT)","",""
"uuid:fd1f6195-f5c0-499a-8c73-b09ee6e27f81","http://resolver.tudelft.nl/uuid:fd1f6195-f5c0-499a-8c73-b09ee6e27f81","LT-Set: A Surrogate Model-Based Decision Tool for Low-Temperature District Heating Refurbishment","Kantawala, Naeem (TU Delft Architecture and the Built Environment)","Konstantinou, T. (mentor); Turrin, M. (mentor); Wahi, P. (mentor); Delft University of Technology (degree granting institution)","2023","The Netherlands aims to reduce greenhouse gas emissions by 49% before 2030, with the built environment contributing 15% of these emissions largely due to the heavy reliance on natural gas to meet space heating demands. To phase out natural gas, alternatives such as heat pumps and district heat networks are being considered. However, adapting existing buildings to lower supply temperature district heating requires effective refurbishment to maintain thermal comfort for occupants. The challenges hindering this process include i) addressing multiple housing typologies at the neighbourhood scale, ii) complexity of evaluating refurbishment measures by decision-makers, iii) uncertainty due to lack of consideration of life cycle costs and occupancy behaviour pre and post-refurbishment leading to performance gaps in energy savings and iv) current computationally demanding and inaccessible tools to assess refurbishment measures. Therefore, this thesis proposes a method to develop a surrogate model-based decision-making tool that can help homeowners efficiently assess optimal, combined refurbishment measures to help homeowners transition to low-temperature district heating. In order to develop this tool, the study examines literature studies that help define the input parameters for the underlying parametric simulation including. This also helped define the key performance indicators including energy savings, hours too cold and global cost. Furthermore, the underlying simulation model with 13 input parameters provides the synthetic training data with 2000 design samples using the uniform Latin hypercube sampling method for each of the three housing archetypes including i) terraced, ii) detached and iii) Portiek apartments. The best-performing model in this instance included artificial neural networks with an R-squared above 0.95. The surrogate model is then integrated into the optimization workflow that forms the framework for an interface decision-making tool that users can use to generate optimal low-temperature ready refurbishment packages. The common low-temperature ready refurbishment packages include maximum airtightness, type C2 CO2 control ventilation system, cavity wall insulation, triple glazing, and internal roof insulation. Furthermore, it can be concluded that its more financially feasible to maintain existing radiators when transitioning to low-temperature heating instead of replacing the radiators with higher capacity. This is because the initial investment in other refurbishment measures not only improves comfort but also delivers significant energy savings that help reduce global costs in the long term.","Surrogate Model; Low Temperature; District Heating; parametric simulations; optimization; Housing typologies; Global cost; Occupancy behaviour; Envelope Refurbishment; Thermal comfort; Radiator Capacity; Energy savings","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:3e15f544-0065-4464-a86a-75fa5e0be7e5","http://resolver.tudelft.nl/uuid:3e15f544-0065-4464-a86a-75fa5e0be7e5","The Gender Reveal of Space: A spatial strategic framework for a more gender inclusive planning and design of public spaces in the Netherlands","Fons, Francien (TU Delft Architecture and the Built Environment)","Kleinhans, R.J. (mentor); Bet, E.M. (mentor); Delft University of Technology (degree granting institution)","2023","What happens if we look at (public) space through the lens of gender? How would it be experienced differently? These are questions this thesis will look into. It aims to not only explore different types of gendered spaces but also to increase the understanding of designers and planners on how to make public space more gender inclusive. With the purpose of exploration, a case study is done with a neighborhood in Rotterdam, namely Beverwaard. The conclusions are translated into a strategic framework and an accompanying patternbook. The strategic framework proposes the improvement of the safety, accessibility and inclusivity of public space.","Gender equality; Inclusiveness; Public Space; Spatial justice; Strategic framework; urban planning and designing","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","",""
"uuid:d5d1aac7-aadf-4c4a-871c-e4d3304e730f","http://resolver.tudelft.nl/uuid:d5d1aac7-aadf-4c4a-871c-e4d3304e730f","Architectural Pipeline - Pipeline Architecture: An experiment into the role of topological graphs in the early stages of architectural design in the era of machine learning","Ali, Tarique (TU Delft Architecture and the Built Environment; TU Delft Architecture)","Vrachliotis, Georg (mentor); Khademi, S. (graduation committee); van den Ban, R.R. (graduation committee); van Engelenburg, C.C.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The realm of architectural design and conceptualization, despite witnessing advancements in design complexity facilitated by technological tools and fabrication techniques, appears to have experienced limited transformative change over time in the way we begin our design process. But is there an alternative way to look at architecture ? Perhaps a completely different way to begin a project ? Can the age of data abundance cause a shift in the way we look at architectural design ?","Graphs; Architectural design; Machine learning; Museum; Rome","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","","41.929503,12.467508"
"uuid:c545eb66-b1aa-43dd-b3ad-eebcf5f03ec6","http://resolver.tudelft.nl/uuid:c545eb66-b1aa-43dd-b3ad-eebcf5f03ec6","Analyzing deformation of buildings using LiDAR point clouds obtained by a Mobile Laser Scanning System","Bos, Kirsten (TU Delft Civil Engineering & Geosciences)","van Leijen, F.J. (graduation committee); Lindenbergh, R.C. (mentor); Joosten, Peter (graduation committee); Delft University of Technology (degree granting institution)","2023","Unequal deformation of the soil can cause deformation or damage to buildings, like tilted facades or cracks in walls. This research investigates how deformation of a building can be analyzed using Light Detection And Ranging (LiDAR) data. Cyclomedia captures LiDAR data yearly in the Netherlands making it possible to analyze either one or multiple epochs of data. If deformation is monitored, failure can be predicted, and repairs can be performed in time.
A subsiding area is found using the Dutch surface motion map. The data points of a single building are fetched from the LiDAR point cloud to analyze the following types of deformation: a difference in the torsion and tilt angle of the facade between two epochs of data; the tilt angle of the facade for a single epoch of data; and local deformation patterns on the facade. The data is segmented before computing the angles and analyzing the local deformation patterns. During segmentation, points that do not correspond to the façade (like a sunshade or windows) are removed. After segmentation, the facade is modeled by fitting a plane using Principal Component Analysis (PCA). The plane parameters (A, B, C, D) are used to determine the torsion and tilt angles. If the torsion or tilt angles are large (above a degree), it is expected the facade has deformed. Local deformation patterns can be analyzed by using a raster containing the distance of the segmented points with an accuracy of 8 centimeters. Besides the point clouds, Cyclomedia also captures 360° panoramic images (Cycloramas). These Cycloramas can be used to explain patterns that are visible in the rasters. For example, objects in front of the building like a bench or a sunshade.
This research uses Random Sample Consensus (RANSAC) to segment the data. From the results, it can be concluded RANSAC is not very predictable because random points are taken as input. So, points corresponding to the facade can be removed instead of points corresponding to windows or doors. Therefore, it is recommended to use another segmentation method for future research instead of RANSAC. Machine learning could be a good alternative to remove objects like windows and other unwanted points from the data.","Point Clouds; Deformation Analysis; building facade; Mobile Laser Scanning","en","master thesis","","","","","","","","","","","","Geoscience and Remote Sensing","",""
"uuid:848eb5aa-6d5e-491c-bcc9-1b136b425824","http://resolver.tudelft.nl/uuid:848eb5aa-6d5e-491c-bcc9-1b136b425824","Building Component Reuse in a Primary School in the Boerhaavewijk","Hogenkamp, Laura (TU Delft Architecture and the Built Environment)","Parravicini, M. (mentor); Tomesen, P.L. (mentor); Ioannou, O. (mentor); Delft University of Technology (degree granting institution)","2023","The building industry is one of the most resource-demanding and polluting industries in the world. Therefore there is a need to apply the circular economy principles within the industry enabling the transition towards a circular built environment. This transition requires the reuse of building components. However current practice shows that only components with high building quality or economic value are reused. Meanwhile, most buildings listed for demolition consist of low-quality and low economic valued building components. This is the case in post-war neighbourhoods resulting in a lot of construction and demolition waste. The paper investigates all factors that influence the value of building components in order to increase the rate of reuse. These factors are based on literature and summarized in a table of 23 factors. The post-war neighbourhood Boerhaavewijk in Haarlem serves as a case study to show how to determine the value of building components. The results show that post-war building components have value and reuse potential. The reclaimed building components are used in a primary school in the Boerhaavewijk.","Urban Mining; Component reuse; Value of a building component; Post-war neighbourhood; Reuse Potential; Boerhaavewijk Haarlem; Primary school; Architecture; Architectural Engineering","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","",""
"uuid:108d17ea-9cf2-4e24-b7ca-c0e2fc592ee4","http://resolver.tudelft.nl/uuid:108d17ea-9cf2-4e24-b7ca-c0e2fc592ee4","Designing a Visual Effect to Replace the Needle Indicator of an Advanced Mechanical Stopwatch Function","Wielaard, Bram (TU Delft Mechanical, Maritime and Materials Engineering)","Goosen, J.F.L. (mentor); Yellowhorse, Alden (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis describes the process of designing a visual effect to replace the needle indicator of a rattrapante complication. The mechanisms of the chronograph and rattrapante are explained as well as the importance of aesthetics in the luxury watchmaking industry. After establishing a method to determine a feasible design, the criteria that need to be fulfilled for the final design are quantified. Extra attention is given to: the minimum part size with respect to human visibility; assessing the aesthetic value of different visual effects based on a survey; and quantifying the maximum rotational inertia by means of a friction study. From the aesthetically pleasing ideas from the survey feasible concepts are generated as well as weighted with respect to each other. The best concept is turned into a working mechanism by establishing a production method and calculating stresses in compliant flexures. A torsion hinge that can be produced from a double-BOX-layered silicon wafer is also designed. The actuation by a discrete vertical clutch gripper is designed as well as the extra parts needed for a fully functioning mechanism that fits the design space. As a result, a demonstrator at scale 15:1 is build to show the working mechanisms of the design as well as the visual effect itself. It concludes with a successful mechanism which probably also has relevance in other watches.","Horology; Rattrapante; Aesthetics; Visual Effect; Needle Indicator; Compliant Flexure Stress; Double-BOX-layered Silicon Wafer; Discrete Vertical Clutch Gripper","en","master thesis","","","","","","","","2025-06-27","","","","Mechanical Engineering | High-Tech Engineering","","51.99276566329678, 4.385138410219543"
"uuid:e927e21a-036b-404a-be7f-6b123e775b70","http://resolver.tudelft.nl/uuid:e927e21a-036b-404a-be7f-6b123e775b70","EcoCharge: Towards the resilience Design Framework for Freshwater Managed Recharge in the Pearl River Delta","Qiu, Jiaqi (TU Delft Architecture and the Built Environment)","Nijhuis, S. (mentor); Rutten, M.M. (mentor); Zeinstra, J.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Pearl River Delta region, where the author of this project grew up, has been a source of inspiration due to the devastating impacts of natural disasters such as flooding, hurricanes, and storms on the limited freshwater resources in the area. Water is a crucial necessity for the inhabitants of the PRD, but the complexity of the water system, characterized by its structure, dynamics, and human activities, presents a formidable challenge. Despite the region's abundant rainfall and hydrological conditions, six cities in the PRD experience water poverty as a result of limited resources.
The existing centralized freshwater supply infrastructure, which relies on transporting water from rural areas to urban clusters, is unsustainable in the face of flooding, sea level rise, and salt tide intrusion. To address this issue, a resilience design framework based on the landscape as a sytem theory is necessary to promote sustainable ecosystem and urban growth. The landscape can serve as a foundation for such a system and provide a means of integrating design into contemporary urban development and transformation. By considering the landscape as a system, the freshwater supply system can act as a rechargeable battery, storing water in potential areas during wet seasons and during times of drought or salt intrusion.
The densely populated Pearl River Delta offers a significant potential for freshwater storage through its urban landscape. This project aims to explore the resilience design framework for freshwater managed recharge, and role of design in incorporating current urban development and transformation activities through urban landscape infrastructure. Through field studies, mapping, historical analysis, and flowscape analysis, the author will design a sustainable landscape system that facilitates interaction among diverse subsystems and addresses the challenge of storing and utilizing freshwater resources in high-density cities.
Many have studied the design of healthcare environments. Others have concentrated on the design of mental healthcare facilities or healthcare environments for children and young adults. However, the majority of research still appears to be focused on the design of hospitals and other formal healthcare settings, such as psychiatric facilities. Research on the design of residential care for young adults with mental and behavioural disorders is scarce. There is a need for more specific research and knowledge on this topic. Therefore, this research aims to discover: What location conditions and architectural and built environment features can support the design of open and secure small-scale residential facilities for youth care and increase user autonomy and social interaction? During this research, the following themes will be discussed: location conditions, architectural features, level of autonomy and social interaction.
Four small-scale youth care homes were visited. Analysis of the buildings, semi-structured interviews with caretakers and conversations with residents were conducted. The research showed that users preferred a neighbourhood setting close to public transport and general amenities. Architectural features included spaces that are flexible and adaptable to different users, a domestic and normalised setting, the right balance between privacy and security, sufficient facilities for leisure and materials that are low maintenance. The research showed that autonomy could be enhanced by including safety measures to prevent (self-)injury, as few security measures as possible, security measures as invisible as possible, adaptable and customisable security and safety levels and easily personalisable spaces. Social interaction could be improved by providing opportunities to meet with neighbours and to interact with the social network and other users.","small-scale residential youth care; mental health care; facility design; autonomy; social interaction","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","Design for Care in an Inclusive Environment","52.051989, 4.315870"
"uuid:dbb61849-9538-44e7-974e-a6021e2cc944","http://resolver.tudelft.nl/uuid:dbb61849-9538-44e7-974e-a6021e2cc944","The Effects of Material Appearance, Eco-label, and Brand Ethicality on Consumers' Perceived Packaging Sustainability","Wang, Runlang (TU Delft Industrial Design Engineering)","Creusen, M.E.H. (mentor); Schifferstein, Hendrik N.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Under the topic of sustainable packaging, this research looks into the effects of material appearance (ecological vs. conventional), eco-label, and brand ethicality on consumers' perceived sustainability as well as the subsequent product quality evaluation and purchase intention. A 2 × 2 × 2 between-subject experiment was designed and conducted to test the hypotheses among Dutch consumers based on two product categories: chocolate paste and cereal bars. First, in both food categories, eco-labels and ecological-looking materials both trigger higher sustainability perception. In the cereal bar category, an interaction effect was found that the eco-label has a more positive impact on consumers' sustainability perception when it's applied to conventional-looking packaging rather than ecological-looking packaging. Second, in the chocolate paste category, higher brand ethicality brings higher quality evaluation and purchase intention but has no effect on sustainability perception. Third, in the chocolate paste category, contrary to the increased sustainability perception, quality evaluation and purchase intention get lower when ecological-looking material is applied. Fourth, in the chocolate paste category, when consumers sense a higher fit between the product and the brand, their perceived packaging sustainability, quality evaluation, and purchase intention all increase accordingly.","sustainable packaging; packaging visual design; sustainability perception; eco-label; brand ethicality; material appearance; visual typicality; purchase intention","en","master thesis","","","","","","","","","","","","Strategic Product Design","",""
"uuid:3552a86d-b1d9-4821-a4ca-5db97bb58aef","http://resolver.tudelft.nl/uuid:3552a86d-b1d9-4821-a4ca-5db97bb58aef","Campus Village Delft: Improved Quality of Student Dwellings","Melgalvis, Reinis (TU Delft Architecture and the Built Environment; TU Delft Architecture)","Parravicini, M. (mentor); Tomesen, P.L. (graduation committee); Turrin, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","There is an urgent need to address the shortage of student housing in Delft. However, the current design approach for student housing often fails to prioritize the creation of high-quality private spaces as well as social connections between individual housing units. While addressing the pressing need for more student housing, it is essential to reimagine the design of newly built accommodations to cater to both the private and social needs of students without compromising affordability.
The focus of the thematic research paper is to enhance the visual quality of students' private spaces through the use of computational evaluation. Recognizing the significance of factors like daylighting, outdoor views, and privacy in residential architecture, the study emphasizes the need to explicitly consider these factors during the early stages of the design process. By developing a parametric evaluation model, this research aims to evaluate visual quality in student dwellings and inform design decisions. The model is constructed based on conclusions drawn from literature review, interviews, and experimentation to identify visual quality parameters and provide clear visual data through heatmaps and number tags. This data helps highlight areas for improvement and allows for comparisons between different design alternatives.
The resulting project, Campus Village Delft, addresses the increased quality of private spaces by maximizing the hours of direct sunlight, view distance, and visual exposure representing the level of privacy. At the same time, the project aspires to create a community between the students, through carefully designed collective spaces, where unintentional encounters with your neighbors create a greater sense of belongingness.","Student Dwellings; Spatial Quality; Social Connection","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Architectural Engineering","","51.993132, 4.379505"
"uuid:8ee91e2a-c0ff-4e75-a50b-7da8138becfa","http://resolver.tudelft.nl/uuid:8ee91e2a-c0ff-4e75-a50b-7da8138becfa","Influence of free-stream turbulence on cycling aerodynamics","RAGHAVARAJU, JAHNAVI (TU Delft Aerospace Engineering)","van Oudheusden, B.W. (mentor); Sciacchitano, A. (graduation committee); Terra, W. (graduation committee); Ragni, D. (graduation committee); Delft University of Technology (degree granting institution)","2023","Wind tunnel investigations of cycling aerodynamics are conducted in air streams that are designed to have minimum turbulence. This is not representative of the true, turbulent wind environment encountered by a track cyclist in an indoor velodrome. The goal of this thesis, broadly speaking, is to assess the effects of this turbulence on cycling aerodynamics.
To address this question, two experimental campaigns were conducted. The first consisted of track measurements, to measure the turbulence intensities and spectra encountered in an indoor velodrome, by an isolated cyclist and by a cyclist in the far wake of another. This turbulence data was collected using a three-hole pressure probe. In the case of an isolated cyclist, it was observed that the airflow was dependent on the cyclist's location on track. A spectral analysis also revealed the presence of periodic components to the airflow, a result of the cyclist's cadence. When riding in the far wake of another cyclist, the turbulence encountered was stronger when the distance of separation got smaller.
The second experimental campaign was conducted in the wind tunnel. First, the measured track turbulence intensities and spectra were simulated using grids, for small scale, high frequency turbulence, and large obstacles, for large scale, low frequency turbulence. Once the simulated turbulence matched the track turbulence to acceptable levels, its effects on the drag of a cylinder model, representative of the cyclist's limb, were assessed. These drag measurements were conducted using an external force balance. It was found that for a smooth surfaced cylinder, free-stream turbulence was enough to trigger flow transitions and cause a reduction in the measured drag. For a rough surfaced cylinder, increasing the turbulence triggered flow transition earlier, and led to an increased Reynolds number range for the critical flow regime. It was also seen that large scale turbulence did not have a significant effect of the drag of the cylinder.
Implementing a three-dimensional (3D) planning and printing lab in hospitals can offer multiple benefits for both healthcare professionals and patients. The aim of this master’s thesis is to support the initiation of a 3D lab in the Albert Schweitzer hospital through three topics: a workflow proposal for development of anatomical models, a survey study investigating the added value of these models in collaboration with the department of orthopedics and a business case outlining three potential scenarios of implementation.
Methods
A hospital-specific workflow was established by incorporating existing literature and identifying the key stages, materials, hardware, software, roles and responsibilities for development and 3D printing of anatomical models. A survey study was conducted using a questionnaire containing Likert and categorical scales. Anatomical models for orthopedic cases were produced and utility of each model was evaluated with the participation of orthopedic surgeons. The business case included a cost-benefit analysis for the three scenarios: in-house 3D printing of anatomical models (scenario 1), 3D printing of orthopedic surgical guides for total knee arthroplasty (scenario 2) and 3D printing of orthognathic anatomical models and wafers (scenario 3).
Results
A 15-step workflow was created covering all stages from image acquisition to delivery of the anatomical model. 30 orthopedic cases were included for the survey study. A total of three orthopedic surgeons participated in the study and agreed that 3D printed models provide additional information during the process of preoperative planning (rated 3.4/5), might enhance surgical outcomes and efficiency (rated 3/5 and 3.2/5, respectively) and can reduce average operative time with several minutes. These advantages were particularly evident in hip revision and ankle/foot cases, whereas conventional hip cases benefited the least. Cost-benefit analyses in the business case demonstrated cost-savings in scenarios 2 and 3 for in-house planning and printing over outsourcing of these tasks, considering a 5-year period.
Conclusion
This work presents a clear and implementable workflow for the development of 3D printed anatomical models. These models can function as a valuable tool in the process of preoperative planning of orthopedic surgery and hold potential for other applications. To optimize financial benefits, it is recommended to initiate a 3D lab with the in-house production of orthopedic surgical knee guides. Future work should explore the demand for 3D printing in other departments to further optimize the usefulness of a 3D lab in this hospital.
Analysis of 2D perforated cylinders, built up parametrically using the wall thickness, angle of attack, diameter, inflow velocity, number of perforations and porosity as input parameters shows that the first two are of negligible influence, and number three and four can, at least for their mean values, be modelled accurately using Morison equations. A non-scaled diameter does prove important in reducing the random nature of frequency-based effects. The number of perforations and the porosity provide complex interactions both from a design-force mean and variability perspective, as well as for the frequencies and vibrations they generate. A 2D LES-VMS model gives the perfect trade-off between cost and accuracy, predicting a possible drag reduction of more than 50% compared to a closed cylinder with large diameter.
Different machine learning surrogate models are analysed with the goal of massively speeding up the analysis in the future. This is achieved by a factor of 350 thousand using Random Forests, Gaussian Processes and Neural Networks. Although the Gaussian Processes preliminary show the best accuracy, below 6% error for millisecond predictions, further work on Neural Networks could give them the advantage in future analyses.","offshore wind; perforated monopiles; Gridap.jl; Large Eddy Simulation; Machine learning","en","master thesis","","","","","","","","","","","","Offshore and Dredging Engineering | Structural analysis and design","",""
"uuid:13168b29-6290-4166-bea2-8200b34edf79","http://resolver.tudelft.nl/uuid:13168b29-6290-4166-bea2-8200b34edf79","Investigation of opto-electrical and structural properties of atmospheric pressure chemical vapor deposition of fluorine-doped tin oxide","Venkataraman, Subhadra (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smets, A.H.M. (mentor); Melskens, Jimmy (graduation committee); Delft University of Technology (degree granting institution)","2023","The atmospheric pressure chemical vapor deposition (APCVD) process for the deposition on FTO is considered one of the most complex processes in the HyET Solar production process. An increase in the deposition speed can increase the roll-to-roll foil speed which can directly translate to lowering production costs, furthermore increasing throughput. In this thesis several experiments were performed aimed at improving opto-electrical performance while moving to higher deposition speed. However using a production machine for the experiments leads to material consumption. Henceforth, a lab-scale research tool was designed for material deposition. Thereby, optimizing the recipe and investigating the corresponding material growth can be done faster and this has been demonstrated using the lab-scale deposition tool. This tool also facilitates the investigation in different stages of growth to have a deeper understanding of each process parameter, thus making it possible to formulate the correct parameters and facilitate the knowledge transfer to the production APCVD machine.","","en","master thesis","","","","","","","","2025-06-28","","","","Electrical Engineering","",""
"uuid:6dd168bd-5be6-4960-9fe3-3863152fa2ce","http://resolver.tudelft.nl/uuid:6dd168bd-5be6-4960-9fe3-3863152fa2ce","Circularity potential in building adaptation projects and building demolition projects: A tool to measure what the circularity potential of a building adaptation project or building demolition project is.","Langenberg, Berend (TU Delft Architecture and the Built Environment)","Gruis, V.H. (mentor); Remøy, H.T. (graduation committee); Delft University of Technology (degree granting institution)","2023","On the real estate market, there will always be a demand for newly constructed real estate. Demand and supply are never quite in balance, meaning that the construction of new real estate will always exist. However, looking for opportunities to satisfy the demand with the existing building stock is often forgotten or neglected. 87% of the needed buildings in 2050 have already been built (Wilkinson & Remoy, 2017). This is why building adaptation is so important. Concepts such as circularity, renovation and adaptive reuse are very important in the real estate market. When real estate is constructed circularly, it is ‘modular and flexible by design where resource loops are closed and human well-being is promoted’ (Leising et al., 2018). Therefore, building adaptation is a circular measure, and building demolition can and should be done circularly as well. Building adaptation and circular demolition are central in this research. Adaptive reuse is part of building adaptation, and means a major change to an existing building with alterations of both the building itself and the function it accommodates, so across-use adaptation (Wilkinson, 2014). Renovation is similar to adaptive reuse, only the function stays the same, so within-use adaptation (Wilkinson, 2014). These concepts all focus on using the existing building stock to satisfy demands, rather than to construct new buildings. Functional, technical, cultural, legal and location factors have been thoroughly mapped out in previous literature to establish what makes a building suitable for transformation or renovation (Ginelli, 2016). However, circularity potential and ways on how to determine circularity potential in building adaptation projects and demolition projects have not been clearly mapped out yet. With circularity becoming increasingly popular because of future goals that need to be met, it becomes important that clear ways to map out circularity potential in such projects are developed. This research fills in this research gap by creating a tool which gives a circularity potential score to existing buildings which will either be adapted or demolished, and shows which circularity measures can be taken. Furthermore, the tool will give indications on potential CO2 emission savings by applying circular strategies. Because there is no one way to approach this, instead of a main research question, a main research aim has been set up: To develop a tool which measures and identifies what the circularity potential of building adaptation projects and building demolition projects is. This tool is originally inspired by the conversion potential meter, developed by (Geraedts et al., 2018). Further on in the research, many extra tools and frameworks inspired the final result of the tool; the Circularity Potential Meter.","building adaptation; demolition; circularity; Built environment; tool","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:8f31b2a9-f5c9-4691-b977-dd9c9dccb2e4","http://resolver.tudelft.nl/uuid:8f31b2a9-f5c9-4691-b977-dd9c9dccb2e4","Reframing Brussels' Canal zone: From path dependence to path renewal","Swinkels, Siene (TU Delft Architecture and the Built Environment)","Viseu Cardoso, Rodrigo (mentor); Hausleitner, B. (graduation committee); Delft University of Technology (degree granting institution)","2023","Many western European countries have undergone the process of deindustrialisation. The has resulted in a changed economy focused on knowledge. This went hand in hand with urbanisation, resulting in explosive population growth in many cities. In combination with the rise of neoliberal planning made cities an attractive investment object. All three of these trends have caused many industrial areas to be transformed in often glamorous projects
to revamp cities images. While aesthetically improving cities, it has also often started or intensified processes of gentrification. The canal zone of Brussels however seems to be very stable in this regard, and has not undergone
any big transformation. My hypothesis is that this could be attributed to strong path dependencies within the area, which expresses itself in three ways: the segregated social profile, the industrial land use and its governance fragmentation. Often neoliberal planning treats these types of path dependent location as a sort of ‘tabula rasa’ that can be used to maximise profits and thus deals with these path dependencies by path breaking: demolishing urban fabric and displacing people and/or economic functions. Due to the fact that these path dependencies seem quite strong in Brussels and have not yet undergone such a transformation, makes it a unique opportunity to look at a different approach of urban development. Approaching these path dependencies from the perspective of path renewal, these could be starting points for a just, productive and place-based development. How can a just, productive and place-based development be achieved in the Canal zone of Brussels, utilizing the area’s path dependencies
through path renewal? First the past, present and future for the canal zone will be analysed to see how these dependencies have and possibly will develop. After that a synthesis will be made from which values are derived. Based on these values a vision and strategic framework will be constructed, which will be tested on case study sites. This strategy is underpinned by a pattern language which is used to explore pathways to path renewal. Ultimately this strategy aims to intensify the local economy, empower local communities and preserve spatial identity.","Brussels; Canal zone; Path dependencies; Industrial urban integration","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Cities","","50.8465573, 4.351697"
"uuid:95c8de1f-37ec-408c-ab11-07553d8515af","http://resolver.tudelft.nl/uuid:95c8de1f-37ec-408c-ab11-07553d8515af","Multilayer thin film thickness measurement method: to measure thickness of liquid thin film within a layer stack","Bleeker, Julian (TU Delft Mechanical, Maritime and Materials Engineering; TU Delft Mechatronic Systems Design)","Snieder, J. (graduation committee); van Ostayen, R.A.J. (mentor); Bhattacharya, N. (graduation committee); Delft University of Technology (degree granting institution)","2023","The research objective of this research is to develop a measurement method that can measure thin film thicknesses through a multilayer that consists of both surrounding thick layers and in between thin films. An optical non-contact measurement method is researched and developed to non-destructively measure the thickness of a liquid thin film, which is situated between other layers. The measurement method used in this research is called spectral reflectance. The method works by analyzing the reflectance spectrum from a light beam which is directed perpendicularly and with a wide range of wavelengths on the surface of the layer. The reflectance spectrum that is measured with a spectrometer includes oscillations that result from the interference of light that reflects at the different interfaces in the multilayer. The oscillation contains frequency content which is used to determine the thickness of the layer. This research shows that the spectral reflectance technique can be used to measure the thickness of a stack of thin films with the condition that the thin films are all coherent. It is possible to determine the film thickness of a stack coherent thin films, which are surrounded by incoherently thick layers. The outer layers can be assumed to be incoherently thick based on a combination of factors such as the layer thickness of the thick layer, the measured wavelength range and the resolution of the spectrometer.","optical; multilayer; thickness; thin film; reflectance; spectral reflectance; fast fourier transform","en","master thesis","","","","","","","","2025-06-21","","","","Mechanical Engineering | Mechatronic System Design (MSD)","",""
"uuid:a45e8cb7-6310-4ec2-a077-66261ad33d50","http://resolver.tudelft.nl/uuid:a45e8cb7-6310-4ec2-a077-66261ad33d50","Alternatives for first-time buyers: A study on the barriers and enablers of alternative purchase instruments to increase the accessibility of first-time buyers to the Dutch housing market","Muisers, Deanne (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Geurts, E.H.M. (mentor); van der Heijden, H.M.H. (graduation committee); Calis, C.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands has been in a serious housing crisis for the past few years. The current housing shortage is estimated at 315.000 units and house prices have increased significantly. Especially first-time buyers experience a decrease in accessibility to the Dutch owner-occupied housing market, and their position on the housing market deteriorates. The government has implemented several regulations in attempt to increase this accessibility, and organizations have introduced alternative purchase instruments that are designed to increase the accessibility of first-time buyers to the market. Despite all these efforts, access to the housing market remains a serious challenge for first-time buyers.
The accessibility of first-time buyers to the owner-occupied housing market is highly relevant to the functioning of the housing market as whole, since it stimulates the housing market flow. Additionally, the inaccessibility to the owner-occupied market results in households not being able to build equity through homeownership, stimulating a prosperity gap. Although studies have researched the position of first-time buyers on the housing market, as well as provided a comparison between several alternative purchase instruments, there is a lack of research on how these instruments enhance the accessibility of first-time buyers to the housing market and which factors form a barrier in this enhancement. Therefore, this research identifies the factors limiting the access of first-time buyers to the housing market, and establishes through a comparative study the enablers and barriers of four alternative purchase instruments in the Netherlands with regard to enhancing the increase in the accessibility. The identified barriers are transformed into suggestions for alterations of the alternative purchase instruments to increase the impact they have on enhancing the accessibility of first-time buyers to the market. Literature study on first-time buyers, their limitations, and alternative purchase instruments in the Netherlands was complemented with interviews, providing several perspectives on the alternative purchase instruments. The main limitation of first-time buyers in acquiring homeownership is their limited financing capacity. The group of first-time buyers with an income between €40.000 and €60.000 (equal to 1 –1,5 modal income) experience the greatest limitations since their income is too high to be eligible for social rent, but too low to get access to enough financial resources to purchase a property on the owner-occupied housing market. The analysis of the empirical data shows that on the small scale that the instruments are currently deployed in, they are successful in increasing the accessibility of first-time buyers to the housing market since they lower the necessary funding capacity. However, the deployment capacity of the instruments is being influenced by external factors, limiting the ability to increase the deployment capacity of the instruments and therefore the impact on the increase of accessibility of first-time buyers. By making a purchase instrument individual bound instead of property bound, the deployment capacity is no longer dependent on the supply side of the housing market. This also lowers the desire to apply an instrument to the same property for multiple households, allowing households to pay off the instrument’s rights and acquire the bare property rights. Finally, the division of financial benefits should be in equilibrium, meaning that the financial benefits should be high enough on the supplier’s side for the instrument to be viable, but also on the user’s side since financing capacity is the main problem these instruments try to tackle.
However, since1950s, the Taihu Lake basin has been under drastic urbanization, which caused a threat to water safety and cultural heritage. The city invasion of the rural area and road construction leads to the siltation of the watercourse. As a result, the polder landscape was fragmented and lost its water resilience gradually. Water crises including eutrophication, flooding, and drought become more frequent, influencing the cultivation of crops and the traditional settlement’s safety. Additionally, the region has lost its unique cultural identity as a result of the standardization of agriculture.
In conclusion, the polder landscape in Taihu basin is facing three main problems: city invasion, water safety issues, and loss of cultural heritage. Compared to the civil engineering method to solve these challenges, landscape intervention costs much less, builds up a more adaptive and resilient system, and brings aesthetic experience as well. Therefore, how to learn from historical practice to protect precious cultural heritage while restoring the water resilience in the Taihu basin through landscape approaches is the key challenge. The landscape approaches start from the base layer like soil and water, helping to create a sustainable social-ecological system as well as being flexible enough to adapt to future challenges.
All conventional wind systems convert mechanical energy into electricity, which is used to power the desalination units. Delft Offshore Turbine (DOT) aims to replace the conventional drivetrain with a hydraulic transmission system that uses seawater as a hydraulic transfer medium. The examined DOT500 PRO system consists of a wind turbine connected to a hydraulic positive displacement pump, which induces seawater flow under high pressure. A reverse osmosis unit can be used to desalinate saltwater using this high-pressure flow and a Pelton turbine generator can be used to produce electricity. The long-term objective of the DOT project is to lower complexity, mass, maintenance, and capital expense to make offshore wind a competitive source of electricity. To further reinforce the concept's techno-economic components, the future strategy calls for the centralized production of electricity.
This study aims to develop an operating strategy for the DOT500 PRO system for freshwater production at an offshore location. The viability of using such a system in a place with offshore wind conditions and predetermined freshwater demand was investigated using a constant operating scheme of a single spear valve. A numerical model is developed in Python to deliver the appropriate desalination unit size under offshore wind conditions in order to cover the water requirements of a given location. The model is applied to a case study of Agios Efstratios in Greece and can be used as a tool to investigate possible applications using the associated datasets. This research has yielded the following results:
1. A simple and robust system operating strategy for maximum water production that will ensure stable turbine function, while active control is used to maintain the system at constant operation when its limits are reached.
2. The direct influence of the spear valve position and reverse osmosis unit size on the system’s rated conditions and a reduced rated wind speed by 21.3% from the one utilized conventionally on the reference wind turbine.
3. The minimum required number of RO pressure vessels and membranes according to site-specific offshore wind profile and freshwater demand, as well as options for reducing the RO unit size.
This research aims to get a better understanding of the cavern convergence and permeation processes after abandonment. For this, a cavern convergence- and brine permeation model is made. Next to this the potential surface subsidence due to the migration of brine to more permeable layers is investigated. In the convergence model, the cavern is modelled as a stack of cylinders and a Norton-Hoff power law squeeze model is applied to the cavern. The squeeze model consists of 2 parts, a linear and a nonlinear part. The nonlinear part is most significant during the production phase and in these high-pressure deficits the squeeze model is fitted on the available production data. Recent creep tests on salt samples under lower pressure deficits (Bérest et al., 2019) have confirmed that the linear part becomes the most significant in the low-pressure deficit region and have shown that the linear creep is smaller than the linear component of existing squeeze model used for production.
Next to this a sensitivity analysis was done on the convergence model by varying the input variables of the model. The parameters that have a large uncertainty and have a large impact on the model were the linear part of the squeeze model and the width of a slice. To give a range of outputs of the convergence model a P10, P50 and P90 scenario is created where these are percentiles from the input range of the sensitivity analysis. The outcome of the convergence model at a cavern size of 1Mm3 suggests a yearly cavern convergence of around of 5, 103 and 2313 m3/year for the P10, P50 and P90 cases respectively.
Since there is an equilibrium between the cavern convergence and the brine permeation, the output of the convergence model (convergence rate) can be used as an input for the permeation model (permeation rate). For the permeation model, different paraboloid shapes are fitted on each layer and are filled with brine from the converging cavern. Once all the salt layers are filled in, the brine reaches more permeable layers and can freely flow over a larger area. The permeation model is run with the P10, P50 and P90 convergence model scenarios as an input and predicts that the system fills after 26, 588 and 12,363 years respectively. At this point there could be some subsidence because the brine can freely flow over a larger area in the more permeable layers above the Zechstein. This subsidence is 0.016 mm/year for the P50 case after 588 years. A negligible amount compared to unrelated subsidence processes.
13
To conclude the cavern convergence rates (even the P10 at 5m3/year) are high compared to the permeability of salt according to the Darcy flow law (around 17 l/year). This could have multiple explanations. From the cavern perspective, the cavern convergence rates could be lower. This could be because of a threshold pressure for salt creep to occur (van Oosterhout et al., 2022) or because of some inaccuracies in the linear component of the squeeze model. Future research could focus on determining the creep rates of salt under low-pressure deficits. From the permeation perspective, other permeation paths next to permeability could be at play as well. In the cavern there could be permeation via anhydrite alterations or via micro fractures created during the production phase of the cavern. It would be good to look at these permeation processes in the future. Next to this the secondary porosity of the salt remains a question as well. A good understanding of this porosity is needed to assess the storage capacity of the overlying salt layers before the brine enters more permeable zones.","modelling; Salt cavern; Salt; Mining; Abandonment; Convergence; Permeation","en","master thesis","","","","","","","","","","","","Applied Earth Sciences","European Mining, Minerals and Environmental Programme",""
"uuid:13d831d5-f735-4679-bdbb-a4eef39a5d21","http://resolver.tudelft.nl/uuid:13d831d5-f735-4679-bdbb-a4eef39a5d21","Framework for standardized design of a biorefinery: Implementing a standardized refinery modification design considering differences in local and global effectiveness & the presence of heterogenous stakeholders","Abergas, Gerel (TU Delft Technology, Policy and Management)","Ibarra Gonzalez, P. (mentor); Warnier, Martijn (graduation committee); van der Bij, M. J. (graduation committee); Delft University of Technology (degree granting institution)","2023","When it comes to the modification of a fossil- to bio-refinery, the reuse of a standardized design is unprecedented. Factor in the presence of stakeholders with varying interests and opinions equates to a highly complex system. Alignment of the stakeholders is therefore recognized to be necessary. The possibilities on how to make such a refinery modification less complex through the alignment of the relevant stakeholders became the goal of this study. To achieve it, a framework has been developed, and the necessary data to construct it shall come from interviews with experts from the field and literature reviews. The findings show that alignment of the stakeholders on the requirements that need to be considered for
modification projects can help in increasing the success of the implementation of a
standardized refinery modification design. To test the framework, it was implemented in an engineering company’s refinery modification project. Out of the framework implementation advice has been formulated on how to further help the engineering company to become successful in aligning their stakeholders and to effectively implement a standardized refinery modification design.","Standardization; framework; refinery modification; stakeholder alignment; Biofuels; design","en","master thesis","","","","","","","","","","","","Complex Systems Engineering and Management (CoSEM)","",""
"uuid:089c0e3f-9c87-4087-b919-ae86d20342a9","http://resolver.tudelft.nl/uuid:089c0e3f-9c87-4087-b919-ae86d20342a9","Deep Generative Design: A Deep Learning Framework for Optimized Spatial Truss Structures with Stock Constraints","Sterrenberg, Amy (TU Delft Architecture and the Built Environment)","Andriotis, C. (mentor); Turrin, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Energy use, CO2 emissions, and waste production are all significant causes of environmental issues. The building sector is a major contributor to these problems, specifically the manufacturing of (structural) steel elements. Application of reuse and/or remanufacturing, as done in a circular economy, will reduce these effects. Therefore, these techniques must to be taken into account while designing buildings and structures. However, as actors in the construction industry recognize barriers, such as time delays in the early phases of the design process, these tactics are not yet commonly used. Nonetheless, reusing structural steel components is still favoured by structural engineers, particularly when the aforementioned obstacles can be removed. This is feasible with the use of computational tools.
In this thesis, an AI based deep generative design framework is developed to optimize a 3D spatial truss structure for structural performance, material use and similarity to a defined stock of reusable materials. This workflow consist of a labelled dataset of geometries and performance indicators, a variational autoencoder (VAE), a predictive surrogate model and optimization through gradient descent. The aim of this study is to gain insight into the extent to which such a workflow can be applied in early-stage architectural and structural design exploration, especially with regards to making circular design & reuse more feasible. The case study in this thesis is a spatial truss structure supporting a flat roof. It was found that a surrogate model can be successfully trained to predict the performance of a geometry. For this, various input data representations can be used, including adjacency matrices and edge-vertex matrices. Through training of a VAE, a latent space from which meshes could be generated was successfully constructed. The VAE was able to accurately reconstruct a significant part of the geometry dataset. Through gradient descent, novel meshes were generated. Predicted performance of these meshes was increased. After assessing performance with simulations and calculations, increases in performance often remained. The largest performance increase found was 74.2%. Minor editing of meshes based on user insight demonstrated further increase in mesh performance.","Generative Design; Structural Optimization; Multi Objective Optimization; Artificial Intelligence; Deep Learning; Variational Autoencoder (VAE); Gradient Descent","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology | Sustainable Design","",""
"uuid:45c9170c-f5f1-4d77-a097-e816d8977f01","http://resolver.tudelft.nl/uuid:45c9170c-f5f1-4d77-a097-e816d8977f01","Tomorrow's (P)ARK: Designing the biggest continuous Nature and Landscape Network of North-West Europe","Vonášková, Anežka (TU Delft Architecture and the Built Environment)","Nijhuis, S. (mentor); van der Veen, R.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","We live in a time of constant change and turbulence, where human activity has significantly impacted our planet. As the dominant species, we have achieved remarkable feats such as building grand cities, advancing technology, exploring the moon, and even creating artificial intelligence. However, this progress has come at a great cost to the natural world, and it is disheartening to look back at the destruction caused by our pursuit of power and advancement. We must acknowledge that this is not a game of “playing God.”
Our actions in the Anthropocene era, where humans dominate, have brought us to the brink of mass extinction for many animal and plant species. The IUCN Red List reports that around 28% of species evaluated are threatened with extinction, including those classified as Vulnerable, Endangered, or Critically Endangered. Some groups, like amphibians and corals, have even higher proportions of threatened species. This raises the question: how could such a supposedly intelligent civilization cause such devastation?
Given the critical state of our environment, we are reminded of the story of Noah’s Ark and humanity’s ability to preserve biodiversity and protect innocent beings. Regardless of our beliefs, this tale and its message are more relevant than ever. Can we create a modern-day ark for the 21st Century? A project with such an ambitious goal?
To achieve this mission, Project Tomorrow’s (P)ARK aims to create the most extensive national park in the North-West Europe bioregion by using the protected areas of Natura 2000 and a one-kilometre buffer zone to create an interconnected structure. This structure has been meticulously designed to harmonize with the major cities of the Netherlands, Germany, and Denmark, permeating and spreading throughout different spatial segments. Within the scale and scope of the study, the entire project focuses on transforming a one-kilometre area surrounding national parks, where significant modifications are envisioned to create a non-invasive environment in which nature centres/bio cores can thrive while having enough space to blend with the surroundings. The aim is to let nature resonate from the inside out, and deal with landscape fragmentation. However, this critical approach requires a range of changes in agriculture, cities, infrastructure, and even the concept of our existing fragmented National Parks.
This shift towards a non-invasive environment for the coexistence of all species is explained in detail through a new agricultural structure, the concept of rewilding applied to purely wild natural areas, and the specification of larger movement corridors or smaller patches serving as habitats or migration routes for genetic biodiversity. The landscape is further complemented by a pedestrian pathway that leads from Amsterdam to Copenhagen, showcasing the beauty of nature and presenting potential future changes that can lead to restoration. Communities and policy makers are connected through the (P)ARK app, which facilitates both bottom up, and top-down initiatives that carry out a shared vision. Furthermore, an analytical tool is presented to help us to define our social foundation and planetary boundary in terms of land use.
Above all, the project aims to heal the relationship between humans and nature, fostering reflections on modern pilgrimage in the 21st century. However, this pilgrimage is no longer about seeking a deity but rather finding a way to restore our relationship with nature and reconnect with it. The result is an incredible and attractive hypothetical model of a new living environment. Through this innovative and ambitious initiative, we aim to create a haven of natural beauty and ecological preservation that will continue to inspire for generations. Although we may not be able to stop the sixth mass extinction, Project Tomorrow’s (P)ARK represents a tangible step towards safeguarding what is left.
The investigation resulted in a design for a multifunctional building and an urban green strategy which aims at addressing the “everyday” aspects of public space and public lives. The focus lies on ways in which public buildings to a larger degree can offer spaces for everyday activities rather than curated experiences and ways which these spaces can become appropriable for users to utilise as they please and in the ways they see fit. The specific mixite of functions and the configuration of program around loosely programmed “in-between” spaces has been crucial to achieve a degree of ambiguity in terms of use and purpose of space, something which becomes a driver for appropriability and the perception of public space as an extension of the private living sphere.","Budapest; Public Space; Public Buildings; Urban Block; Housing","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Methods and Analysis | Positions in Practice","","47.518711, 19.057468"
"uuid:f79ca31e-e8b7-41c9-b32f-4c12a8acda04","http://resolver.tudelft.nl/uuid:f79ca31e-e8b7-41c9-b32f-4c12a8acda04","Not just a corridor: Ecological landscape design for the Panke River in Berlin to improve both the natural ecosystem and environmental justice","Warmerdam, Hylke (TU Delft Architecture and the Built Environment; TU Delft Urbanism)","Tillie, Nico (mentor); Furlan, C. (graduation committee); Koutamanis, Alexandros (graduation committee); Delft University of Technology (degree granting institution)","2023","In urban areas, river courses have often been modified to a large extent to meet human needs. This modification has led to a decrease in ecological quality and biodiversity at both local and regional scales. Berlin is an example of a city with substantially modified rivers and decreased biodiversity. Rivers have been modified the most in dense neighborhoods near the city center. There is a need to improve urban river ecosystems in the context of a nationwide and worldwide decrease in biodiversity. The importance of urban green spaces increases for people in cities as well in the context of climate change. However, green spaces and their benefits are not equally distributed throughout the city, with socio-economically disadvantaged people generally having poorer access to green spaces and suffering more from environmental stress factors, such as noise pollution and heat stress. This environmental justice problem can be mitigated by making neighborhoods greener, but open space is often rather limited in the city. Therefore, this graduation project aimed to improve the ecological value of rivers and environmental justice simultaneously with ecological design for existing green spaces. The project focuses on the Panke River, a highly modified river that flows through neighborhoods with a substantial environmental justice problem. Design principles have been formulated based on scientific theory about ecological design and design for equitable green space access. These principles were combined in a design vision for a small area along the Panke River in the district Gesundbrunnen. The resulting design showed that it is possible to significantly improve both the ecological value of river ecosystems and environmental justice with ecological design for existing green spaces. The Panke River can be both an ecological corridor and a green structure that connects neighborhoods. The diverse riverbank landscape with an alternation of ecological and recreational focus areas is a high-quality public green space with improved ecological quality.","urban ecology; urban river corridor; river ecosystem; ecological corridor; biodiversity; environmental justice; ecological design","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","52.54159256598551, 13.373531720234203"
"uuid:1be99aa5-ac3a-45a7-bcca-5669f34ab79e","http://resolver.tudelft.nl/uuid:1be99aa5-ac3a-45a7-bcca-5669f34ab79e","The Rhythmic Escape: Environmental Features in Landscape Ecology","Rasmussen, Tobine (TU Delft Architecture and the Built Environment)","Kousoulas, Stavros (graduation committee); van de Pas, R.R.J. (mentor); van den Ban, R.R. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Rhythmic escape propose a retreat in Rotterdam based on environmental features in nature that is of significance in rituals held in nature by people living with nature.","Nature; Landscape; Rhythms; Territory; Ritual; Retreat; Rotterdam","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","Explorelab 35","51.9285061,4.4790772"
"uuid:ac19de38-5611-4f94-944c-c033d484e6d3","http://resolver.tudelft.nl/uuid:ac19de38-5611-4f94-944c-c033d484e6d3","Enabling the adaptive reuse of industrial heritage - A municipal perspective: Studying the involvement of the municipality in the adaptive reuse process of industrial heritage buildings","Coes, Nick (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","den Heijer, A.C. (mentor); Bossuyt, D.M. (mentor); Delft University of Technology (degree granting institution)","2023","Introduction - Industrial heritage buildings possess unique characteristics and significant potential, yet often many remain vacant: presenting an untapped opportunity. Adaptive reuse of these buildings can have an extra-large impact, especially as catalysts for urban (re)development. However, the adaptive reuse process is complex, particularly for municipalities. Municipal roles have shifted towards being demand-oriented, market-following, and market-organizing, yet municipalities are considered to be responsible for vacant areas. This shift combined with the complexity of the process, highlights the need for this reserach, which explores municipal involvement in the adaptive reuse process of industrial heritage buildings in the Netherlands.
Methods - The methodology consists of a market review, case studies, and a cross-case analysis. The market review gives a broad overview of projects in the Netherlands, and their characteristics. Six heterogenous case studies are selected: LocHal (Tilburg), Klokgebouw (Eindhoven), Baronie (Alphen a/d Rijn), RDM Campus (Rotterdam), Ploeg (Bergeijk), and Greswarenfabriek (Reuver). Each case is studied by reviewing documents and conducting two interviews.
Results - The case studies demonstrate the practical complexity and diversity of adaptive reuse processes, municipal involvement, and relevant public values. Municipalities primarily adopt an active approach during the preparation phase, often focusing on their roles as connectors and stimulators, and incorporating arrange/provide and stimulate/align approaches. However, municipalities tend to be more passive during the pre-project phase, despite its extensive nature.
Discussion - The research reveals varied forms of municipal involvement, making it challenging to establish clear causal relationships between project characteristics, public values, and types of municipal involvement. Further research is required to enhance understanding of municipal involvement in this context and its reasons. To contribute to this understanding, a model is proposed, linking project complexity to active municipal involvement, in which more roles and approaches are incorporated in the municipal strategy if the process is mroe complex. Municipalities are recommended to adopt an active stance, integrate knowledge development and exchange, and remain adaptable to evolving circumstances.","industrial heritage; heritage; adaptive reuse; public commissioning; municipality","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:963a53d8-2dc0-4d2a-988d-612c72856e0d","http://resolver.tudelft.nl/uuid:963a53d8-2dc0-4d2a-988d-612c72856e0d","ClimAIte Control: Improving Building Operation Through AI","Stripp, Sebastian (TU Delft Architecture and the Built Environment)","Turrin, M. (mentor); Bokel, R.M.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","Current building operations can be improved through smart predictive operation based on weather and use patterns in order to save energy with minimal impact on the building fabric and daily use. The existing literature has investigated implementations, and potential savings through combining with variable tariffs, however, this thesis addresses the issue of how different buildings differ in their suitability for such smart control.
In this thesis, a digital twin of a school is created and adjusted to test differences in building fabric factors. These are combined with multiple Deep Reinforcement Learning (DRL) agents, which are trained to operate the schools more efficiently by controlling the heating set-point as well as natural ventilation of the buildings in order to save energy while maintaining comfort. The DRL agents vary in their ability to observe future weather as well as their internal network model architecture.
The results show a high energy saving compared to a simple baseline, despite the few building controls available to the agents. In addition, some algorithms out-compete even rule-based controllers, which were tested as a stricter baseline. The results also confirm a theory revealed through the literature review, that buildings with higher energy input, storage and control have a larger potential for energy savings. Additionally, the types of DRL models used also greatly influences the agents’ ability to perform well, and generally more advanced models performed better. The findings can be used to access a building’s suitability for and potential benefits from such predictive smart control.","Smart control; Building operation; Machine learning; Reinforcement Learning; Q-learning; Building management system; Building automation systems","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:4c9fd9a3-00be-478a-b6d7-31ce31da192a","http://resolver.tudelft.nl/uuid:4c9fd9a3-00be-478a-b6d7-31ce31da192a","Scapes of Wellness: Supported Living Housing for the Intellectually Disabled","Sykiotis, Angeliki (TU Delft Architecture and the Built Environment)","Miedema, E. (mentor); van Andel, F.M. (mentor); Lafeber, J.W. (mentor); Delft University of Technology (degree granting institution)","2023","The driving force for this research was the rising need for additional supported living housing for intellectually disabled adults steering towards their social inclusion; besides all the efforts so far, there is a strong necessity to improve the architectural environment offered to this population.
The study of the relevant literature and the fieldwork conducted based on ethnographic methodology guided this inquiry towards biophilic design, a tool that can improve the quality of life of residents in supported living settings.
Taking into consideration the rights of this vulnerable group to independent living, social interaction and well-being, the main research question that guided the whole process leading to the formulation of the qualities on which the design process will be based was: how can biophilic design be implemented to improve the quality of life of adults with intellectual disabilities who live in supported living environments?
The findings of this research indicate that a small-scale living facility located in the heart of a neighborhood, accessible by public transportation and close to public functions, seems ideal for intellectually disabled residents who live in supported living arrangements. The program can benefit from hybridity, combining dwellings with recreational and therapeutic facilities that can enhance the health and well-being of both the intellectually disabled population and the neighborhood community.","Supported Living Housing; intellectual disability; Biophilic Design; Quality of Life; Rammed earth","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Transitional Territories","","52.5185, 5.4714"
"uuid:16c1bf63-6506-4438-b21c-4650762031b6","http://resolver.tudelft.nl/uuid:16c1bf63-6506-4438-b21c-4650762031b6","Developing a service solution for the return of Bosch DIY drills","Welsch, Margo (TU Delft Industrial Design Engineering)","Mugge, R. (mentor); Bakker, C.A. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis explores user motivation and barriers in returning Bosch drills from the DIY Tools range, with the goal of proposing an effective return service. Stakeholder analysis, literature review, and customer research were conducted to inform the design process. The objective of this research was to identify key factors influencing the development of the return service. Stakeholder analysis and meetings with the company provided insights into major stakeholders, including the company, legal regulations, customers, and the environment. A literature review revealed pain points in reverse logistics and guided the selection of a behavioral model. Extensive customer research was conducted to understand the customer perspective on EOU reverse logistics. Design guidelines were formulated based on these findings. The stakeholder analysis emphasized the importance of satisfying all major stakeholders for the success of the return service. The literature review identified pain points in reverse logistics and highlighted the significance of incorporating behavioral change elements. Customer research underscored the pivotal role of customers in the success of the return service. Based on these findings, design guidelines were established, such as designing a comprehensive solution that integrates data from various consumption stages, utilizing the same data carrier as a touchpoint, and simplifying the return process while enhancing customer motivation. Motivational elements, particularly emphasizing the sustainability aspect, were identified to encourage customers to choose the return service. The research led to the development of the QR code solution, an integral approach that offers easy accessibility for customers, incorporates multiple customer stages, and collects usage data for reverse logistics purposes. This solution not only benefits customers but also has the potential to streamline post-return processing. Additionally, the proposed return service aligns with potential future legal requirements regarding the digital product passport. This thesis provides valuable insights into user motivation and barriers to returning Bosch drills, resulting in a suggested return service. The research findings inform design guidelines for creating an effective and usercentric return process, ensuring stakeholder satisfaction and promoting sustainability in reverse logistics. The proposed QR code solution offers an accessible and data-driven approach, while also preparing for potential future regulatory requirements.","reverse logistics; Sustainable consumer behaviour; EOU returns","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:886fa02c-809d-4435-a6c4-2280a961892e","http://resolver.tudelft.nl/uuid:886fa02c-809d-4435-a6c4-2280a961892e","Model Stacking Performance Comparisons for Lifetime Estimation of CMOS ICs","du Buf, Koen (TU Delft Electrical Engineering, Mathematics and Computer Science)","Yorke-Smith, N. (mentor); Dumančić, S. (mentor); Kekkonen, H.N. (graduation committee); Delft University of Technology (degree granting institution)","2023","Integrated circuits are vital in the modern world. Testing these circuits is often a months long process involving measurements at multiple times during long stress tests. In this work, final measurements from such tests are predicted based on early measurements, potentially reducing the time needed for such tests and giving preliminary results. In addition to this problem, research is done into the benefits of model stacking ensambles, and around the performance impact of using a low bit precision. From our experiments, we observe a significant performance improvement when using model stacking variations. We also find that model stacking retains its performance better than all other tested models when using a lower bit precision.","Machine Learning (ML); Model Stacking; Integrated Circuits; Ensemble Learning","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:cf52ba61-201b-4869-a03c-09a25294af4c","http://resolver.tudelft.nl/uuid:cf52ba61-201b-4869-a03c-09a25294af4c","The art of texturing glass for Photovoltaics: Processing and optical characterisation","Criel, Matthias (TU Delft Electrical Engineering, Mathematics and Computer Science)","Smets, A.H.M. (mentor); Vogt, M.R. (graduation committee); Tindemans, Simon H. (graduation committee); Delft University of Technology (degree granting institution)","2023","Thin-film silicon technology creates electricity out of micrometer thick silicon absorber layers, which makes this technology less material heavy compared to classic crystalline technology. This advantage can be further exploited with the transition towards flexible thin-film technology, where the non-modular cost can be further reduced compared to traditional crystalline solar parks ect. However, thin films have limited absorption coefficients at higher wavelengths which means the optical pathlength must be maximised to overcome this limitation. In literature, the highest efficiencies are obtained with the creation of periodic textures resulting in 10.2%, 12.7% or 14% for nanocrystalline, micromorph and triple junction silicon technology. All these cells are made on silicon substrates which means the back of the cell is textured but if the front side of the solar cell is textured, efficiencies can outperform the current holding records. A methodology is designed to create periodic micro-textures on Corning glass to improve the total absorption of lower energetic wavelengths. However, the creation of random micro-textures (Aluminum Zinc oxideand Indium Tin Oxide sacrificial texturing)(AZO-/ ITO textures) is also researched because different methodologies exist and limited knowledge exists on which methodology results in the highest amount of scattering. Second, a comparison between random and periodic textures must be made. Both types of textures undergo optical- and physical parametrisation after which both aspects are correlated to each other to gain a deeper understanding of light management. For random textures, Haze-values between 93-86% are obtained. These high values are obtained because the created craters are characterised by an increased depth for identical crater widths. Second, each methodology has its characteristic depth-width ratio which explains the optical superiority of one (ITO textures). For periodic textures, Haze-values lie between 50-3% with a maximum obtained aspect ratio of 0.18 but the optical response is not comparable to random textures because diffraction is the dominant light management technique. Therefore Angular Intensity Distribution measurements must be performed, which resulted in the conclusion that the created ITO textures stay superior (also compared to literature) while the AZO textures have a similar performance compared to the periodic texture. This translates itself in a superior external quantum efficiency (EQE) of ITO from 800nm on. Between 600-800nm, the periodic textures are superior.","Texture; Optical characteristics; Processing; Thin Film Solar Cells; Angular Intensity Distribution; Honeycomb structure","en","master thesis","","","","","","","","","","","","Electrical Engineering","",""
"uuid:b8f07b76-8c58-45d8-ab3f-4f87be2d3084","http://resolver.tudelft.nl/uuid:b8f07b76-8c58-45d8-ab3f-4f87be2d3084","Adaptive Control for Spacecraft Rendezvous: A reinforcement meta-learning approach","de Inza Niemeijer, Carlos (TU Delft Aerospace Engineering)","Guo, J. (mentor); Delft University of Technology (degree granting institution)","2023","The continued increase in the number of satellites in low Earth orbit has led to a growing threat of collisions between space objects. On-orbit servicing and active debris removal missions can alleviate this threat by extending the lifetime of active satellites and deorbiting inactive ones, but this requires advanced guidance and control algorithms for the rendezvous phase. Recently, various control policies based on machine learning have been proposed to leverage the advantages of neural networks. One notable technique that has shown much potential in asteroid and planetary landing scenarios is reinforcement meta-learning. This technique consists of training recurrent neural networks in uncertain scenarios in order to develop highly robust control policies that can adapt to unknown conditions in real-time. The goal of this thesis was to apply the meta-learning technique to a rendezvous scenario.
Thus, throughout this project a recurrent neural network was trained via reinforcement meta-learning to generate a control policy that can perform the final approach maneuver of a chaser spacecraft towards a rotating target. A feedforward network was also trained for comparison. The learning algorithm used to train the policy is the Proximal Policy Optimization algorithm, which is a modern actor-critic method that has shown good performance in several continuous control settings. A virtual environment was developed in Python to simulate the rendezvous scenario and collect data to train the policy.
Before beginning with the training process, the hyperparameters of the model were tuned to ensure a smooth and efficient learning process. The three components that required tuning were the learning algorithm, the architecture of the neural networks, and the reward function. Each of these components was tuned in turn, primarily through trial and error. This process required the learning algorithm to be executed multiple times, using a different combination of hyperparameters on each iteration. By repeating this process over a large search space, suitable hyperparameters were found for the learning algorithm and the neural networks. The hyperparameters were chosen to maximize the amount of reward achieved by the policy while maintaining a reasonable training runtime. The reward function was split into several components to guide the policy towards its objective, thereby improving the speed at which the policy learns. Each of the components of the reward function represented some partial goal that the controller had to accomplish. Tuning the relative weight of these components was a challenging process since it often leads to trade-offs between different policy behaviors. Once the tuning process was completed, a sensitivity study was performed to ensure that the model can be used for different kinds of rendezvous trajectories. The sensitivity analysis was performed by training the model on different scenarios, including different orbit altitudes, different distances from the target, different target sizes, and different target rotation speeds. The results of this study showed that the model could be applied to most of these scenarios without the need for any major changes.
After completing the tuning and the sensitivity analysis, the recurrent and the feedforward policies were each trained on a partially observable environment, and their performance was evaluated using a Monte Carlo simulation for a total of one thousand trajectories. The results showed that the recurrent policy was able to learn how to infer hidden information from the environment, which led it to have a much better performance than the feedforward policy. However, the recurrent policy was not without its limitations, since it could not always generate collision-free trajectories, especially when the target rotated at a faster rate. Overall, this thesis showed that reinforcement meta-learning can be a valuable tool for executing complex rendezvous maneuvers, which may be useful now that active debris removal missions are becoming a reality. Furthermore, this thesis also presented a description of how the model was designed and tuned, so that other machine learning practitioners may apply the technique to different scenarios.","reinforcement learning; meta-learning; Rendezvous & Docking","en","master thesis","","","","","","","","2024-06-27","","","","Aerospace Engineering","",""
"uuid:e097d0dd-72b3-497c-957c-417b2ab07974","http://resolver.tudelft.nl/uuid:e097d0dd-72b3-497c-957c-417b2ab07974","The River Keeps The Score: Religiously Relinking to Budapest’s Danube River","Meng, Olivia (TU Delft Architecture and the Built Environment)","Mejia Hernandez, J.A. (mentor); Wilms Floet, W.W.L.M. (mentor); Jennen, P.H.M. (mentor); Havik, K.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","For millennia, humans have lived, constructed, and cultivated along the banks of rivers. Rivers are the genius loci of these riverside cities, embodying the spirit of the place and its people. Rivers have been the source of life upon which civilization was built: providing water, power, and transport, but are also spiritually deeply meaningful for many cultures and religions around the world. It is within this context that my graduation project focuses on the urban landscape of the Danube River in Budapest, Hungary, exploring its spiritual significance.
Despite being a daily presence for the city's inhabitants, the connection between the people and the river has been lost over time due to infrastructure and urbanization. This project aims to relink the people of Budapest to the river, fostering a deeper spiritual awareness, and appreciation for the river as a living, breathing embodiment of Budapest's past, present, and future.
Just as human bodies embody the imprints of traumatic experiences, so does the river retain the memory of the place. By viewing the river as a body of water, like the human body, the river keeps the score of the city, as a repository of collective history.
Religion is understood not only as the institutionalized systems of beliefs that operate in the world today, but also with the origin of the word. Its etymology, derived from the Latin ""religare,"" meaning ""to put together what has previously been separated,"" captures the essence of this project's objective, throughout all scales.
The program consists of a museum and a chapel: the first being a place for the muses, a place of revelation and (re)discovery; the latter as a sanctuary of contemplation. Their purpose is to reveal, to show again, the river's significance from different perspectives.
The museum is situated perpendicular on the riverbank of Óbudai Island, revealing the river flowing alongside the city against a backdrop of the mountains. The chapel, located on the tip of Margaret Island, reveals the diverging river as part of the horizon and the sky. The route from one to the other acts as a filter of the river, alternating between moments of covering and revealing, sharp and subtle contrasts. From the flowing river to the majestic mountains, from the vibrant cityscape to the expansive horizon and vast skies, the journey offers a series of panoramic scenes.
This design proposal aims to be meaningful for the collective of Budapest in re-evaluating our relationship with the nature from which our city originated. Its essence is religious, in the linking of the islands, of two buildings, of materials, and of the humans with the landscape. The project reveals the outward landscape of the city, abstracting it in the architecture; allowing the human to empathize with it, and with our inner selves and place on this earth.","architecture; religion; religious architecture; Budapest; museum; chapel; landscape; river; Danube; Hungary","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Methods and Analysis | Positions in Practice","","47.538910, 19.052982"
"uuid:bd6915ff-ff4e-4771-b6ea-edc2c4837a58","http://resolver.tudelft.nl/uuid:bd6915ff-ff4e-4771-b6ea-edc2c4837a58","Development of a Large-Eddy Simulation model for flows over urban areas with application to the TU Delft campus","Zhang, Shenghao (TU Delft Mechanical, Maritime and Materials Engineering)","Breugem, W.P. (mentor); Costa, Pedro (graduation committee); Patil, A. (mentor); Delft University of Technology (degree granting institution)","2023","Urban microclimate significantly affects people’s experiences and activities in urban environments by a series of phenomena, among which urban flow is an important factor to be considered. Computational Fluid Dynamics (CFD) method has become a popular tool for studying urban airflow because of its low cost compared with experiment methods. However, flows over urban areas exhibit turbulence nature of being three-dimensional, unsteady, and multi-scale. Additionally, the large computational domain that should be covered and the inherent inhomogeneity of the urban structures make it challenging to do full-scale modelings. Large Eddy Simulation (LES), with the development of computing power, becomes a promising tool to study such flows.
In the campus of Delft University of Technology (TU Delft), a crossroad near the EWI building (the main building of Faculty of Electrical Engineering, Mathematics and Computer Science) is constantly complained for its strong wind. This research tackles such problem using LES, and takes TU Delft campus area itself as case study. The development of this research is composed of three stages.
In the first stage, Vreman eddy viscosity model is implemented into Canonical Navier-Stokes (CaNS), a massively-parallel Navier Stokes solver developed by Costa, (2018). Based on a structured three dimensional Cartesian grid, the subgrid scale (SGS) eddy viscosity model is inserted into the Navier Stokes equation by adding an extra diffusion term. The inserted diffusion term is discretized with second-order difference scheme along with interpolation of the velocity field due to the staggered grid arrangement. The implementation is validated with a turbulent channel flow with friction Reynolds number 𝑅𝑒𝜏 = 360. The good agreement is found and the discrepancy is small.
In the second stage, the solver employs a direct-forcing Immersed Boundary Method (IBM) and is further validated with the flow over periodic cube arrays. Signed-Distance Field (SDF), as a convenient tool, is generated and functions as read-in data for IBM. The IBM processes the effect of the boundary as an added force on the fluid points at the interface. The stair-step approach approximates the structure boundary with the cuboid cells faces. The results match well with the wind tunnel test data from Castro et al., (2006) and a previous LES study by Tomas et al., (2016).
In the last stage, the validated solver is applied to a scaled-down TU Delft campus model. The simulation setup is designed by considering the achievability of a possible future wind tunnel measurement. Three grids are used for a grid convergence analysis by comparing the total IBM force, mean velocity, and Reynolds stress at certain locations. The flow converges with the finest grid with grid number 𝑁𝑥 × 𝑁𝑦 × 𝑁𝑧 = 960 × 880 × 240. Around the EWI building, a high-speed region is found at the cross road location. Behind the building, a wake area is observed, and a clear shear layer is on the top of the building.
To increase the flow capacity of a river during extreme conditions, a couple of measures can be considered: increasing the height of the dikes and embankments, reducing the overall resistance of the river cross-section and increasing the area of a river cross-section.
This thesis focuses on reducing the overall resistance of the river cross-section, and more specifically, on investigating the effect that streamlining the downstream slope of a groyne has on the groyne-induced resistance. Hypothetically, streamlining the groyne by decreasing its downstream slope would decrease the intensity of flow processes like downstream flow separation, which could increase the discharge capacity of the groyne, and thus of the river cross-section, as a result of the decrease in groyne-induced resistance. An increase in discharge capacity would decrease the flood risk during extreme conditions.
To investigate the effect of streamlining a groyne by decreasing its downstream slope, a physical model experiment was set up in the 5 x 40 m^2 flume at the Hydraulic Engineering Laboratory of Delft University of Technology. The physical model represents part of a river cross-section. From the total 5 m width, the main channel and groyne field both took up 2 m and the floodplain took up 1 m. In streamwise direction, the flume contained six groynes and five full groyne fields. The groyne fields had transverse bed slope of 1:25. Electromagnetic flow meters (EMF meters) were used to measure the flow velocity at many points in both streamwise and transverse direction. The water level was measured by laser altimeters.
Decreasing the downstream slope of a groyne to 1:8 compared to a reference situation with a downstream slope of 1:3 resulted in a 4 % increase of the discharge capacity over the crest of the groyne. This increase of discharge capacity was not uniform over the transverse axis. Near the groyne tip, this increase of discharge capacity was more than 6 %, whereas at the end of the groyne, the discharge capacity decreased slightly compared to the reference situation.
A more detailed analysis of the data gave insight in why decreasing the downstream slope of a groyne increases the discharge capacity of a groyne. Streamlining the groynes resulted in a significant decrease of the relative turbulence intensity along the downstream slope of the groyne. This was consistently around 50 % lower for the streamlined groynes compared to the reference situation, indicating less intense turbulent structures. Moreover, auto-correlation functions of the flow velocity signals showed a shorter correlation length in conjunction with lower amplitudes and smaller periods of the fluctuations for the streamlined groynes. This indicates a signal with less correlation and higher frequencies. These results explain why the discharge capacity of a streamlined groyne is larger than of a reference groyne.","River; Groyne; Flood; Streamlining; Experimental Research; laboratory experiment; submerged flow conditions; schematised geometry; Waal; Pannerdensch canal; Hydraulic Engineering","en","master thesis","","","","","","","","","","","","Civil Engineering | Hydraulic Engineering","",""
"uuid:c89b8003-3dc8-4962-ad65-cfe0ea870b2c","http://resolver.tudelft.nl/uuid:c89b8003-3dc8-4962-ad65-cfe0ea870b2c","Postoperative Pancreas Segmentation","Böhm, Dennis (TU Delft Electrical Engineering, Mathematics and Computer Science)","Lelieveldt, B.P.F. (mentor); Weinmann, M. (graduation committee); Dijkstra, Jouke (graduation committee); Delft University of Technology (degree granting institution)","2023","With a 5-year survival rate of only 9%, pancreatic cancer is one of the deadliest types of cancer. Among other things, this is caused by the extreme difficulty of diagnosing recurrent pancreatic cancer in an early stage. One of the important next steps in discovering pancreatic cancer automatically on a CT scan is finding healthy pancreatic tissue. This research explores the feasibility of segmenting the pancreas on a CT scan using a deep learning approach, focusing on postoperative cases after pancre- atic resection, by combining state-of-the-art segmentation models for the preoperative pancreas with novel techniques, such as 3D Multi-Scale Convolutional Blocks (MCBs) and KNet architectures. Us- ing pretraining on preoperative data, a complete segmentation pipeline was designed to segment the pancreas in the postoperative state. The experimental results demonstrate that deep learning models can effectively segment the pancreas, despite the increased complexity and difficulty of the postoper- ative state. Notably, employing advanced techniques such as the KNet architecture and MCBs yields significant performance improvements. The newly designed architectures presented in this research, MKNet, MSKNet, and MAKNet, achieve state-of-the-art results for both preoperative and postoperative pancreas segmentation, greatly improving the Hausdorff Distance (HD) and 95th Percentile Hausdorff Distance (HD95) in particular. In the preoperative state, substantial performance improvements were observed compared to the previous state-of-the-art, with a 12.17% increase for HD and a 14.64% in- crease for HD95. Similarly, in the postoperative state, improvements of 11.99% for HD and 13.25% for HD95 were measured. Additionally, qualitative evaluations were conducted with seven experienced radiologists and radiotherapists to validate the algorithm’s performance in a clinical context. Remark- ably, in 83% of the cases, the algorithm was evaluated to accurately segment the pancreas, requiring minimal or no modifications according to the medical experts. This study not only contributes to the state-of-the-art in pancreas segmentation but also introduces comprehensive quantitative and qualitative evaluation methodologies. Furthermore, it addresses the segmentation of the postoperative pancreas, which has not yet been touched upon in previous re- search. Limitations are acknowledged, such as the availability of a limited dataset, and future research directions are proposed, including generalizability and enhancements to the proposed models. Despite the limited availability of data, which impacts the performance and generalization capabilities to some degree, the research in this thesis showcases the capability of the MKNet family architectures to ac- curately segment the postoperative pancreas, offering potential benefits for medical applications, data annotation acceleration, and future research in this domain.","segmentation; pancreas; postoperative; pancreatic cancer; MKNet; MAKNet; MSKNet","en","master thesis","","","","","","","","2023-07-20","","","","Computer Science | Data Science and Technology","",""
"uuid:b1f936d0-e42a-4a79-9783-e43c91047b22","http://resolver.tudelft.nl/uuid:b1f936d0-e42a-4a79-9783-e43c91047b22","AffectiveAir: Exploring pneumatic affective haptics on the shoulder","van Leeuwen, Clint (TU Delft Industrial Design Engineering)","Jansen, K.M.B. (mentor); Verma, H. (mentor); El Ali, Abdallah (mentor); Delft University of Technology (degree granting institution)","2023","The focus of this project was the research and development of an affective social touch wearable. AffectiveAir uses pneumatic actuation on the shoulder to convey a library of haptic sensations. The goal was to overcome physical limitations in potential digital communication contexts, by a non-verbal, tactile, and possibly intimate social touch using pneumatic actuation. This would offer a way for individuals to connect, much like in face-to-face interactions
The embodiment of the prototype is the result of an iterative design process based on literature research, benchmarks, and user tests. The wearable actuator, designed to be worn on the back of the shoulder, is secured using an elastic band that attaches to the wearer’s pants. A custom-designed, thin polyurethane airbag with an integrated nozzle provides a soft, textile-integrated actuator solution. An external pneumatic control system controls the airflow, allowing for inflation under 1000- and deflation under 100 milliseconds. Effects of the actuator are monitored using an air pressure sensor and force sensitive resistor, offering controlled feedback and data logs for prototyping and user research.
Multiple user tests functioned as a means to explore and verify new designs throughout the project. Initial tests identified the shoulder as an effective and acceptable location for the feedback, and an airbag of 40x40mm was determined to provide the best balance between intensity and comfort for this specific location. Subsequent tests determined optimal pressure levels for pneumatic haptics on the shoulder and evaluated user responses to various pneumatic patterns.
Results revealed that it was challenging for participants to distinguish pressure levels from each other within the 0 to 500 mbar range. However, there were promising results in the general identification of increasing or decreasing pneumatic patterns using three pressure levels of 75, 200 and 500 mbar. ‘TripleShort’ received the highest identification rating at 85%, while ‘short staircase down’ received the lowest rating at 44%.
Patterns with a prolonged high pressure level at the end such as ‘heartbeat forward’ and ‘long staircase up’ received a slighly higher comfort and pleasantness rating compared to others, where the latter was rated the least exciting at lower speed. Overall ratings remained close to the median across tests with variable speed or pressure levels.
General user feedback on the prototype and haptic experience was positive, with participants noting the novelty and the sensation’s occasional resemblance to intimate human touch. Feedback from the interview also notes how context and social relationship status closely relates to the acceptability of receiving such feedback. The ergonomics of the prototype were considered satisfactory, allowing for adjustment to fit any body size and position the feedback on the back of the participant’s shoulder.
In conclusion, AffectiveAir demonstrated the potential for affective touch through a pneumatic shoulder wearable. It offers a library of identifiable and characterized pneumatic patterns and the ability to extend the possible actuations with adjustable parameters. The project’s outcomes suggest promising potential for further research using affective pneumatic haptics, applicable not only in mediated communication but also in other possible areas such as gaming and navigation.","affective haptics; pneumatics; wearable; shoulder; social touch","en","master thesis","","","","","","","","","","","","Integrated Product Design","",""
"uuid:e0460e29-2d85-4918-8e89-06f97d8676a3","http://resolver.tudelft.nl/uuid:e0460e29-2d85-4918-8e89-06f97d8676a3","Rethinking of a Typology","Ganz, Signe (TU Delft Architecture and the Built Environment)","Meijers, W.L.E.C. (mentor); Koopman, F.W.A. (graduation committee); Spoormans, L.G.K. (graduation committee); Cuperus, I.J.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","This master thesis explores the application of the theories from Den Heijer's dissertation ‘Managing the university campus - Information to support real estate decisions’ in the context of analysing vacant shopping malls in revitalisation processes. The aim is to develop a decision-making process that can be used by decision makers to make time-efficient, informed and optimal decisions about the future concept of these shopping malls. Building on the existing knowledge, a new framework in the form of a step-by-step plan has been developed. This step-by-step plan combines the theories from Den Heijer's dissertation focusing on shopping mall revitalisations and extending them to the heritage context and thus the analysis of the past. By applying this new framework within revitalisation processes, optimal decisions can be made tailored to the project and the process can be accelerated. As a result, the vacancy rate of these shopping malls and its social and urban consequences, like an increasing number of crimes or the loss of identity are shortened.","Revitalization; Step by step plan; Decision maker; 20th century mall","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Heritage & Architecture","",""
"uuid:29728079-1b93-45b5-9e0e-7f2d6512bf75","http://resolver.tudelft.nl/uuid:29728079-1b93-45b5-9e0e-7f2d6512bf75","Permeating Socio-Ecological Walls: Addressing the Multi-Dimensional Inequality in the 'City of Walls' through Environmental Justice","Müller, Larissa (TU Delft Architecture and the Built Environment)","Rocco, Roberto (mentor); Forgaci, C. (graduation committee); Delft University of Technology (degree granting institution)","2023","Rapid urbanization, governmental neglect, socio-spatial segregation, and a widening societal gap has led to São Paulo’s nickname as the ‘City of Walls’ (Caldiera, 2000). These processes continue to shape the sprawling city today, contributing to the formation of a society characterized by multi-dimensional inequality and deeply isolated marginalized communities. In addition, global pressures resulting from climate change and the degradation of ecological systems within the region further expose these marginalized communities to increasing risks. Consequently, leading parts of the city to live in the of face extreme exposure, especially as these communities lack the resilience to withstand these socio-ecological pressures.
Addressing these socio-environmental inequalities requires the establishment of a foundation of ecological integrity, ensuring a high standard of liveability and an environment of inclusivity for marginalized communities. This research proposes the reconfiguration of the Metropolitan Region of São Paulo through socio-ecological strategies aimed at restoring ecological integrity, promoting social inclusivity, and empowering local communities. By examining the vulnerabilities, barriers, and opportunities present in Brazilian society, this project seeks to promote the restructuring of São Paulo for socio-ecological resilience, embracing principles of nature-based urbanism, sustainable urban development, and adaptive governance capacities.","vulnerable communities; socio-ecological systems; city of walls; Socio-Spatial Segregation; environmental justice","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Complex Cities","","-23.555800, -46.639600"
"uuid:491d89a7-9251-4a45-a4c5-df889b0a4070","http://resolver.tudelft.nl/uuid:491d89a7-9251-4a45-a4c5-df889b0a4070","Childhood Myopia and Light Exposure in School Environments","de Groot, Sabine (TU Delft Architecture and the Built Environment)","Brembilla, E. (mentor); Turrin, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Myopia is an eye disease that begins to develop at a young age, approximately between the ages of six and nine years. Children these ages spend a large amount of time inside school buildings. Two case studies have been studied to see what can be improved in school building design to get more light inside. Light measurements have been done to see what the current situation is of these schools. After this, several design adaptations have been simulated to see their impact on the light levels inside. These design adaptations included enlarging the windows in several ways and changing the colours and materials inside. They are ranked in two design matrices, rated on effectiveness, feasibility and costs for both renovation and newly build school buildings. Results show that replacing the floor with a light coloured one and replacing the sun shading with white thin ones , increasing the illuminance inside with 25% and 63% respectively, are the best design strategies for renovation. For new school buildings it is recommended to make the windows wider instead of lower, which can result in an increase of illuminance inside of 34%.","Childhood Myopia","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","School Environments",""
"uuid:31141c48-85b1-45cd-93ac-ad6c6fe35ff0","http://resolver.tudelft.nl/uuid:31141c48-85b1-45cd-93ac-ad6c6fe35ff0","DNN-porting for maximizing inference hardware utilisation at the Edge","Buijnsters, Jan (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Distributed Systems)","Rellermeyer, Jan S. (mentor); Ding, Aaron Yi (graduation committee); Pawełczak, Przemysław (graduation committee); Delft University of Technology (degree granting institution)","2023","Industry 4.0 and the Industrial Internet of Things (IIoT) growth will result in an explosion of data generated by connected devices. Adapting 5G and 6G technology could be the leading enabler of the broad possibilities of connecting IIoT devices in masses. However, the edge solution has some disadvantages, such as the loss of resource elasticity compared to cloud solutions. The research questions of this thesis are whether Deep Neural Networks (DNN)-porting can solve the accuracy-performance trade-off of edge computing solutions and how to implement an edge computing system based on open-source container-orchestrated DNN model inference platforms to enable vertical model autoscaling capabilities.
The thesis shows how porting techniques like structured pruning on DNN enable the accuracy performance trade-off in hardware-constrained settings. It generates models with reduced complexity and size while minimally degrading the accuracy. By using these ported models in the proposed inference platform, the thesis demonstrates how an edge computing system can achieve vertical model autoscaling capabilities, enabling efficient use of computational resources. This research focuses on CPU hardware and Real-Time (RT) request scenarios, where the latency Service Level Objective (SLO) combined with current demand are crucial factors. When the resources in an inference system deplete, the latency of individual requests can increase significantly due to queuing. The results show how an orchestrator can make live model version selections based on the model versions and demand. The proposed system increases the maximum possible throughput compared to the state-of-the-art while avoiding creating a queue in the RT scenario and improving system accuracy when CPU resources are available. Additionally, this work proposes a design to implement these benefits in industry-adopted open-source DNN inference platforms.","DNN-porting; Intelligent Edge; Edge AI; Model-switching; Vertical-scaling; Hardware-constrained AI","en","master thesis","","","","","","","","","","","","","",""
"uuid:d9c4be81-2f4b-4c9d-8a6f-d8ae35d00669","http://resolver.tudelft.nl/uuid:d9c4be81-2f4b-4c9d-8a6f-d8ae35d00669","The Circular Influencer: A research into the impact of incentives in the circular strategic decision-making processes within project management stakeholders","Verschoor, Nina (TU Delft Architecture and the Built Environment)","Wamelink, J.W.F. (mentor); Remøy, H.T. (mentor); Delft University of Technology (degree granting institution)","2023","The increasing significance of the circular economy in the real estate construction industry is driven by evolving environmental, social, political, and economic factors. While there is increasing pressure for a national transition to a circular building economy, the sector continues to confront numerous challenges, risks, and uncertainties. To promote pro-circular decision-making among project management stakeholders, this research investigates how incentives need to be implemented in order to be an effective strategy to encourage project management stakeholders in making pro circular project decisions.
During the research an overall research strategy, the systemic design toolkit, has been used. This method integrates systems thinking with a human-centered, multi-stakeholder focus, by addressing the intricate social, economic, and environmental aspects of the issue at hand. The study comprises two sections: a theoretical segment employing quantitative research and an empirical segment employing qualitative research.
The theoretical phase explores the interorganizational dynamics that influence circular decision-making, delves into the roles and perspectives of project management stakeholders, and examines the concept of incentives. The empirical phase starts with semi-structured interviews to gain insights into the behavior, intentions, interrelationships, interests, and influence of relevant actors involved in decision-making processes. Subsequently, unstructured brainstorming and validation interviews are conducted to identify and develop effective incentives within the financial, social, and moral clusters.
The research findings provide empirical evidence that incentives can serve as a viable strategy for motivating project management stakeholders to make pro-circular strategic project decisions. These incentives are integrated into a comprehensive incentive scheme, outlined in the concluding section of the findings. Through the adoption of these incentives, stakeholders can be effectively motivated to embrace circular principles and actively contribute to the establishment of a circular building economy. Additionally, some key variables have been identified as influential factors in the success of the incentives: the involvement of supplying stakeholders and the targeted audience, as well as a thorough understanding of the rationale behind circular project rejection, the prevailing power dynamics, and the degree of stakeholder involvement throughout the various design phases.","Circular economy; Incentives; Project management stakeholders; Decision-making processes","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:a81f5b03-a8e4-4966-b6e9-29cde32dd8ab","http://resolver.tudelft.nl/uuid:a81f5b03-a8e4-4966-b6e9-29cde32dd8ab","The Landscape Post-Mortem: An Ode to Excess","El Barazi, Taha (TU Delft Architecture and the Built Environment)","Schoonderbeek, M.G.H. (mentor); Milani, S. (graduation committee); Jennen, P.H.M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The research conducted at the beginning of the graduation year revolved around the indeterminate forces that shape the built environment, resulting in the formation of many ‘ephemeral’ cities along the new silk road. As such, the main outcomes from the research stage resided in the definition of the leftover spaces of intensive industrial exploitation: ‘dead’ landscapes are understood as the results – and eventual symptoms – of indeterminacy surrounding both the natural state of the site under study (an open-air coal mine), coupled with intensive anthropogenic exploitation of its resources. These dead landscapes dictate the conceptual approach adopted to deal with the territory both as a constructed industrial whole, in addition to many fragmented instances of indeterminacy.
The proposed graduation project takes inspiration from the Borders & Territories conceptual, practical, and theoretical frameworks as a base for research and design. The studio topic “Transient Liquidities along the New Silk Road” is heavily¬¬ in line with the proposed graduation topic, as it investigates conditions of formation of postindustrial landscapes in Turkey, a result of varying demands and rich natural resources along the new silk road. Turkey is then at the forefront of rapid transformations, made possible by its complex infrastructural landscape and abundance of natural resources.","Dead landscapes; Ritual of grief; monumentality; indeterminacy; architecture of grief","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Borders and Territories","","40.146700, 26.408600"
"uuid:17361119-45ff-4931-b80b-e588a3ac126a","http://resolver.tudelft.nl/uuid:17361119-45ff-4931-b80b-e588a3ac126a","Embodied carbon: the hidden challenge for real estate developers: Achieving net-zero carbon building ambitions by steering on including embodied carbon during the early design process","Teunissen, Rowin (TU Delft Architecture and the Built Environment; TU Delft Management in the Built Environment)","Chan, P.W.C. (mentor); van den Dobbelsteen, A.A.J.F. (graduation committee); Delft University of Technology (degree granting institution)","2023","Purpose:
Given the urgent need to decarbonize the building and construction industry to prevent a catastrophic climate breakdown. The United Nations has called for action from industry leaders to drastically decrease their carbon footprint, and bring it to zero by 2050 at the latest. Efforts to decrease operational carbon emissions associated with energy used to light, heat, cool, and power buildings are striving considerably. Attempts to minimize embodied carbon emissions, on the other hand, are falling behind. This has resulted in an increase in both the relative and absolute contribution of embodied carbon. The importance of the early design process concerning embodied carbon reduction has repeatedly been emphasized throughout the literature. Change in the early design process is required to reduce embodied carbon. The purpose of this research is to determine how real estate developers can steer on including embodied carbon during the early design process, to achieve net-zero carbon building ambitions.
Research question:
How can real estate developers steer on including embodied carbon during the early design process to achieve net-zero carbon building ambitions?
Methodology:
Qualitative research methods were used to answer the research question. Following a literature review to establish the theoretical background, ‘Research through design’ was used during the empirical research. Semi-structured interviews with real estate developers and design team members were conducted to Identify the relevant actors within the early design process and the activities that need to be completed to steer on embodied carbon. Furthermore, guidelines for real estate developers were developed to assist in achieving net-zero carbon building ambitions. The findings are used as input for the creation of a prototype that can be used by real estate developers. Finally, this prototype was validated and improved through two focus groups.
This project proposes to design a landscape-nature network in Guangzhou, which takes into account green, blue, recreation, historical remains, and slow traffic systems. The design will use landscape approaches to strengthen urban resilience against climate change. First, the research will interpret the site from the perspective of time and space, with an understanding of historical development and the current landscape system. Then, learning from the case study of Boston Metropolitan Park and the historical wisdom of managing water and green could help to conclude principles and strategies for designing a Guangzhou Metropolitan Park. The design exploration will apply different strategies and principles on regional, meso, and local scales. The green patches inside the city will be preserved and more connections will be created. Moreover, nature and landscape areas, including green space and blue system, historical areas, recreation spots, and urban networks will be managed to co-exist with each other coherently.
The creation of a landscape-nature network will provide more benefits for the city through landscape design. It aims to create a social-ecological inclusive and futureproof Guangzhou and also has the potential to become an inspiration for other metropolitan cities.","resilient coastal landscape; Guangzhou; Metropolitan city","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","23.128994, 113.253250"
"uuid:bea6aa1b-cf3e-49ed-8d17-9c30122df841","http://resolver.tudelft.nl/uuid:bea6aa1b-cf3e-49ed-8d17-9c30122df841","In-plane pushover analysis of a quay wall with an uneven pile foundation: The case study of the Grimurgwal","Bhondoekhan, Lorenzo (TU Delft Civil Engineering & Geosciences)","Messali, F. (mentor); Esposito, R. (graduation committee); Rots, J.G. (graduation committee); Pagella, G. (graduation committee); Voortman, R. (graduation committee); Delft University of Technology (degree granting institution)","2023","The main motive for this research was to study the behaviour of quay walls when there is an uneven pile foundation present. This means that the number of piles varies in the thickness of the quay wall along the length. The inspiration came from the failure of the Grimburgwal (Amsterdam, the Netherlands) that collapsed in 2020, which had a length of 65 meters, according to Korff et al. (2021). Korff et al. (2021) reported that the main failure mechanisms that are considered in the case of the Grimburgwal, is the deformation of the piles due to horizontal bending, in the section where there were only two instead of three rows of piles present in the thickness of the wall.
A 2D model with a length of 22.5 meters in the longitudinal direction (along the length of the quay) wall is used in this research, to study the influence of the uneven pile foundation in the thickness of the wall. The quay wall’s out-of-plane behaviour is not considered. The masonry and timber floor are modelled with linear plane stress elements. An interface condition is used to model the interaction between masonry and the timber floor. The longitudinal support beams and kespen are modelled as one element. The piles are modelled as equivalent translational springs that are evenly distributed in the longitudinal direction. In the central area, one spring represents two piles in the cross-section, while the rest of the springs represent three piles. After the application of the deadweight of masonry and timber, a uniform distributed load was used on top of the model to cause settlement of the piles and wall. The dilatation joint was modelled with a nonlinear interface with a high dummy stiffness and no tension, and with a gap of one millimeter.
If the length of the section with two rows of piles is increased, the capacity of the wall reduces. The cracks at the bottom of the masonry, still do not increase significantly if the length of the length of the section with two rows of piles is increased, but it does take less load to generate the same cracks. The boundary conditions also play a large role in the distribution of forces, since it is seen that the piles near the dilatation joint are less critical than the piles near the constrained edge. In the end, this model does give information on how the forces in the piles distribute and how the piles settle, before both brittle and ductile failure of the piles occurs and cracking within the model. However, it should be kept in mind that the model that is considered is a 2D model, whereas the problem of a quay wall is a 3D problem, so the results are not expected to be accurate.
Keywords – public real estate, DAS model, efficient use of real estate, sharing real estate, mixed-use development","","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:f8928133-317c-471c-a500-996fa4a49701","http://resolver.tudelft.nl/uuid:f8928133-317c-471c-a500-996fa4a49701","What's Next? A study to the relationship between the technical aspects and reuse potential of reused building products","Brandt Wassink, Astrid (TU Delft Architecture and the Built Environment)","Wamelink, J.W.F. (mentor); Van den Berghe, K.B.J. (graduation committee); Delft University of Technology (degree granting institution)","2023","The climate crisis and resource scarcity have compelled industries to adopt new environmental and circular standards. The Netherlands has set a goal of achieving a fully circular economy by 2050, with a 50% reduction in the use of primary raw materials by 2030. In the circular built environment, circularity strategies like reuse are being implemented to reduce waste and carbon emissions. However, only about 1% of the building products that can be reused in The Netherlands are currently being reused for another lifecycle. This thesis aims to investigate the relationship between the technical aspects of reused building products and their reuse potential, which has received limited research attention thus far. To address this gap, a theoretical framework for assessing reuse potential is built through a literature review. A sampling study of over 30 building products is then conducted to evaluate their adaptability potential and disassembly level, generating a systematic overview of the technical aspects that influence reuse potential. The results demonstrate that refitability, adaptability, scalability and disassembly level are the most important aspects in determining the reuse potential for posterior lifecycles. By providing a deeper understanding of the technical aspects influencing the reuse potential of building products, this thesis aims to contribute to the development of circularity strategies in the built environment.","circularity; circularity strategy; one-on-one reuse; circular economy; reuse; reuse potential; circular built environment; adaptability potential; disassembly","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Management in the Built Environment","",""
"uuid:ec15c377-36d0-412b-8ace-736db2492a5a","http://resolver.tudelft.nl/uuid:ec15c377-36d0-412b-8ace-736db2492a5a","Integrating urban context in daylighting simulation: The design consequences in Dutch urban areas, regarding visual & non-visual levels of daylight","Koster, Daniël (TU Delft Architecture and the Built Environment)","Brembilla, E. (mentor); Rafiee, A. (graduation committee); Straub, A. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Netherlands is facing a housing demand of 1 million homes before 2030. Most of these residences are planned to be built in and around existing cities, causing an increase in urban densities with sub-optimal indoor daylighting conditions as a result. Simultaneously, the daylight assessment methodology for buildings in the Netherlands is set to change from the Dutch NEN 2057 to the European EN 17037. The European norm uses more accurate metrics to express daylighting performance but does not consider urban context (i.e. external buildings) in the simulation models. As a result, a concern is that indoor daylighting in dense urban areas is inadequately protected. Moreover, it is unknown to what extent the urban context affects the well-being of humans, regarding visual and non-visual levels of daylight.
A multitude of daylight simulations is run and analysed in the thesis to better understand the impact of the urban context on indoor daylighting performance. Visual daylighting is assessed following the EN 17037 methodology with urban context integrated. Non-visual daylight performance is assessed using two novel metrics: melanopic autonomy and melanopic isotropy. The results have revealed that the discrepancy between simulations with and without the integration of urban context is up to 90% for realistic residences throughout the Netherlands, depending on urban characteristics and density. On average, indoor daylighting is decreased by 36% when the urban context is integrated with the EN 17037. The non-visual stimulus was found to be sufficient in residences that are compliant with EUmin levels but insufficient for residences that only comply with the Dutch building code. Sky view factor (SVF) and Building Floor were found to be useful indicators of daylighting performance in early design stages. Urban density indicators such as the FSI and OSR seem to be negatively correlated with daylighting performance.
The thesis concludes with the advice to include urban context in daylighting simulations so that bad daylighting can be properly mitigated. Effective mitigation strategies are increasing glass transmission values, interior reflectance values, and exterior building reflectance values. Another effective strategy is to avoid bad daylighting conditions in the first place by not positioning residences on the first 5 building floors in high-density urban areas. The results from this thesis can be used by daylighting designers and architects who are interested in ensuring adequate and healthy daylighting conditions in the residences they design: not only in digital environments but in the real world.","daylight; simulation; non-visual daylight; urban context; EN 17037; urban density; Sky view factor","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:b2dc604b-0a91-481e-acd6-90378e27e782","http://resolver.tudelft.nl/uuid:b2dc604b-0a91-481e-acd6-90378e27e782","Multi-Source Data Modelling to Understand the Effects of Tourism Demand on Air Quality in Italy","Kadiev, Adam (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Software Technology)","Lofi, C. (mentor); Chakraborty, S.S. (graduation committee); Chu, L. (graduation committee); Delft University of Technology (degree granting institution)","2023","The goal of this research is to model and understand the effects of tourism demand on air quality by performing data integration on multi-source data. This research is aimed at researchers and practitioners aiming to perform multidisciplinary research in the fields of data science and geoscience, presenting the methods and challenges that arise when performing such an analysis. A data processing pipeline explains the research from a data integration perspective involving the data retrieval and pre-processing tasks. This enables the construction of datasets for machine learning modelling and prediction of air pollutant levels based on tourism data. The study area of this research is Italy which is chosen based on its significant tourism industry and wide availability of data about tourism development. For this study, in situ air quality data sampled using Google Earth Engine (GEE) around accommodation, transportation and tourism attraction locations is modelled with tourist arrival numbers, nights spent and average length of stay. Long short-term memory (LSTM) multivariate time series modelling is performed afterwards to understand predictability of air quality on a national and regional level. To this end, this research looks into three different stages of the modelling process of tourism with air quality which are: (i) retrieving accommodation, transportation and tourism attraction locations using the RDF model, (ii) identifying which pollutants are correlated and Granger-caused by the different tourism demand features using sampled satellite air quality data of the identified tourism locations, (iii) understanding performance characteristics of LSTM time series models by training on tourism demand and air quality data. Correlation analysis indicates the potential to model the relation between tourism demand indicators and PM2.5 in overall cleaner regions in terms of this pollutant. In these regions, Granger-causality testing suggests a higher chance of predictability of PM2.5 time series using tourism demand data from the previous month. Training an LSTM model using the information of this lagged relationship suggests that regions with overall high PM2.5 levels are challenging to model showing high RMSE scores. Training an LSTM model for these regions also required more epochs compared to overall cleaner regions to model the effects of tourism demand on air quality.","Tourism demand; Air quality; Data integration; RDF; Google Earth Engine; CAMS; Sentinel-5P; Wikidata Knowledge Base; LSTM; SPARQL; Data modelling; PM2.5; Italy","en","master thesis","","","","","","","","","","","","Computer Science","",""
"uuid:0f46014b-dd73-4293-8c4d-29db87b58fea","http://resolver.tudelft.nl/uuid:0f46014b-dd73-4293-8c4d-29db87b58fea","Design of an FMCW-LiDAR receiver front-end","De Vroe, Jens (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Electronic Instrumentation)","Makinwa, K.A.A. (mentor); Kashmiri, M.S. (graduation committee); Delft University of Technology (degree granting institution)","2023","This work presents an analog front-end (AFE) signal processing chain for automotive FMCW LiDAR. The AFE consists of a high-gain transimpedance amplifier (TIA) followed by a gain-stage. The gain of the AFE is 103.2dBΩ at a bandwidth of 505MHz. Since the noise of FMCW-LiDAR systems is dominated by the shot noise of the local oscillator (LO), the AFE is noise matched to the optical system. It has an input-referred noise of 13pA/√Hz which is lower than the 16pA/√Hz generated by the optical system. The signal chain is designed to amplify the small single-ended photo current and convert it into a differential output voltage between 7mVpk and 125mVpk that is adequate for digitisation by an ADC. An SFDR=46.4dB is maintained to mitigate the generation of spurious tones, which will cause false object detections. The AFE circuits are sufficiently linear to ensure that such tones are below the noise floor. The signal path is AC-coupled to ensure that the balanced photodetector does not compromise the bias currents of the input stage. An external coupling capacitor defines a 1MHz high pass corner. The AFE was implemented in 0.13μm CMOS technology and occupies 1160μm x 585μm including all supporting circuitry. With a 1.5V power supply, the total power dissipation is 250mW including the ADC-driver. The project was finalised by integrating the AFE with an ADC and the required support circuitry into a full ASIC for use as a building block in LiDAR systems.","LiDAR; LIDAR; Lidar; lidar; automotive; Automotive; front-end; transimpedance amplifier; optical instrumentation; FMCW; FMCW LiDAR; FMCW Automotive LiDAR; Photodiode readout; ASIC; Analog; analog circuit design","en","master thesis","","","","","","","","2025-06-26","","","","Electrical Engineering","",""
"uuid:932e8a81-7c70-461a-93bd-179210046add","http://resolver.tudelft.nl/uuid:932e8a81-7c70-461a-93bd-179210046add","From the water: Towards an adaptive landscape framework for sustainable development of agricultural area on the west side of PRD","Lu, Yi (TU Delft Architecture and the Built Environment)","Nijhuis, S. (mentor); Cannatella, D. (mentor); Delft University of Technology (degree granting institution)","2023","The Pearl River Delta (PRD) is currently one of the world's fastest-growing city clusters. However, it has been a low-lying area with scarce land resources throughout history due to its dense population and frequent water-related hazards. The traditional agricultural system in the region was a response to these contradictions, serving as a multifunctional system that sustained the land and shaped the local society and culture over centuries.
However, with China's reform and opening up in 1978, a significant amount of agricultural land was converted into urban construction and intensive agriculture, the disappearing traditional agricultural system has led to arising social and environmental issues such as increased flood risks, environmental degradation, landscape homogenization, and the loss of cultural heritage.
This thesis focuses on the development of the remaining agricultural areas on the west side of the PRD. It emphasizes the urgent need for diverse ecosystem services to support the growing population in the face of climate extremes. In this case, an economy-dominated or monofunctional planning approach alone is insufficient to ensure sustainability. Therefore, building upon the region's agriculture-based background, the study adopts an adaptive landscape framework as an adaptation of the traditional agricultural system. By integrating the theories of landscape-based urbanism, social-ecological resilience, and ecosystem service, the landscape framework aims to facilitate sustainable development of this area in terms of production, ecology, water resilience, and living environment.
The study considers agricultural development in the PRD as a long-term process and recognizes the agricultural system as a social-ecological system. Through the learning of the development process, the agricultural area on the west side of the PRD could be divided into two main typologies: dikedfield and sandfield, and further subdivided into four landscape typologies. Together, they compose the landscape structure of the region. By analyzing and evaluating the challenge and potential associated with each typology, an overarching regional vision is proposed. Principles derived of the traditional agricultural system will serve as design guidelines, while the design exploration in Gulao Town, as a typical area representing one of the landscape typologies, will be conducted to showcase part of the regional vision and tangible social and environmental value brought to the local area.","landscape framework; landscape-based urbanism; sustainable development; traditional agriculture; Pearl River Delta","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","",""
"uuid:ee2258ef-6717-409a-b954-c60094321c07","http://resolver.tudelft.nl/uuid:ee2258ef-6717-409a-b954-c60094321c07","Antechamber to the Bosphorus: In point, line, surface","Hoffmann, Matilda (TU Delft Architecture and the Built Environment)","Rommens, O.R.G. (mentor); Geerts, F. (mentor); Delft University of Technology (degree granting institution)","2023","","","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Borders and Territories","",""
"uuid:f34e96e8-51b7-4230-9048-85a56dbd91b3","http://resolver.tudelft.nl/uuid:f34e96e8-51b7-4230-9048-85a56dbd91b3","Three Dimensional Cladding with bio-based materials: A parametric design following the seamless tiling concept","Romano, Laura (TU Delft Architecture and the Built Environment)","Ioannou, O. (mentor); Turrin, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","The development of three-dimensional cladding in architecture has witnessed significant advancements, particularly from the computer-driven design era, which started to enable the creation of intricate and elaborate shapes. However, examining various case studies has revealed the considerable environmental impacts of the materials typically employed in three-dimensional cladding. These impacts include material extraction and production, energy consumption, waste generation - and further carbon emissions - underscoring the urgent need to address these challenges.
This research aims to investigate and develop a design solution rooted in circular principles for mitigating the environmental impact of the construction industry and promoting waste-driven design. To this end, bio-based materials produced through a moulding process have been identified as promising alternatives with lower environmental footprints.
The adoption of circular strategies, including modularity and flexibility, dematerialization, the use of safe and circular materials, and design for disassembly, serves as the guiding framework for enhancing sustainability in the three-dimensional cladding. As a specific design solution, the concept of seamless tiling has been developed, enabling the continuous pattern and flexible placement of modular panels within the facade while ensuring versatility. Applying parametric design techniques is instrumental in realizing the modular panel system and accentuating its adaptability by creating diverse and versatile façade configurations that exemplify the system’s adaptability and flexibility.
Finally, to validate the material’s performance within the developed design, a prototype will be constructed and evaluated. This empirical testing will provide insights into the proposed design solution’s practicality, feasibility, and effectiveness, serving as a vital step towards practical implementation.
This academic research contributes to the discourse on sustainable architectural practices by advocating using bio-based materials with moulding and integrating circular design strategies in the three-dimensional cladding. Through the comprehensive exploration of these approaches, the study aims to advance the understanding and realization of how three-dimensional architectural interventions can be developed with minimized impacts and, eventually, fostering a more sustainable built environment while maximizing design flexibility.","Three Dimensionality; Cladding; Circularity; Bio-based; Moulding","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:ce76de7a-96cb-4e7b-97e6-1db26af04299","http://resolver.tudelft.nl/uuid:ce76de7a-96cb-4e7b-97e6-1db26af04299","Decision-making framework for enhanced thermal resilience of façade-retrofits","Wagner, Alina (TU Delft Architecture and the Built Environment)","Luna-Navarro, Alessandra (mentor); Brembilla, E. (graduation committee); Delft University of Technology (degree granting institution)","2023","Climate extremes are becoming increasingly frequent and intense worldwide, with greater pressure on ecosystems, the built environment, and humankind. Heat waves, in particular, are overheating indoor spaces and thermal comfort as one of the major comfort requirements of buildings is disrupted or, in the most extreme situations, lost. In extreme cases, this can lead to life-threatening circumstances and explains the high morbidity and mortality during past heat wave events. It is crucial to urgently develop a more climate-resilient built environment, that can effectively respond to the future climate hazard of heat waves. Hereby, the building envelope has a key role to mitigate the impact of the exterior environment on the occupants’ indoor comfort. Accordingly, exploring possibilities of façade design for “future-proof” buildings is important to reduce health risks and improve the daily quality of life. However, the lack of comprehensive research on assessment methods for evaluating the influence of façade design on building thermal resilience proves the current challenges of implementing the concept of “resilience” in a practical manner.
This research aims to improve the design process of retrofit options, increasing thermal indoor comfort during heat waves, and enhancing long-term building thermal resilience. It includes the development of resilience indices, based on the assessing different stages of thermal resilience during extreme heat periods. By conducting a systematic literature review focusing on thermal resilience terminology and corresponding assessment methods, the study identifies limitations and gaps in current research. A novel method is proposed, which incorporates future climate scenarios and multiple resilience indicators. While most existing research solely relies on the measurement of a single indicator to measure thermal resilience, this thesis demonstrates the importance of combining multiple resilience indicators to comprehensively assess the influence of façades on building thermal resilience. The proposed framework aims to be universally applicable and adjustable, while assessing the short- and long-term impacts of retrofit interventions on the performance of the façade. The resulting index visualizes how various façade retrofit variables can influence thermal resilience at the building level, which provides a deeper understanding of dependencies and thus enhances improved decision-making during the development of façade retrofits.
the revival of this unique type of ruin-city. The objective is to restore the organism of the city as a whole for its inhabitants and visitors. This is achieved by proposing a landscape intervention of a circular path reconnecting the inhabited village with the civic monuments to be restored. Along the path sites for new public buildings are proposed, laying the foundation for the expansion and rebirth of the city.","archaeology; restoration; borders and territories","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Borders and Territories","",""
"uuid:9db29a94-8e2a-46d4-8ef7-0c3d4f2c29e1","http://resolver.tudelft.nl/uuid:9db29a94-8e2a-46d4-8ef7-0c3d4f2c29e1","Post-Spartacusplan: Exploring the future possibilities of innovative public transportation for spatial and mobility transition in Belgian Limburg","Kim, Minseong (TU Delft Architecture and the Built Environment)","Harteveld, Maurice (mentor); Newton, C.E.L. (mentor); Baggen, J.H. (mentor); Delft University of Technology (degree granting institution)","2023","Spartacusplan, the transportation plan for the Belgian province of Limburg from 2004, is now turned into the most extensive and ambitious bus rapid transit (BRT) project in Europe. However, the proposed vehicles and network do not correspond well to the region’s highly dispersed, poly-centric structure. Moreover, the dispersed urbanisation patterns and their adverse effects need further measures than Bouwshift to facilitate the spatial transition.
The thesis explores the possible strategy for accelerating spatial transition through the interplay between urban and transportation planning. The thesis takes the lens of mobility justice by Sheller (2018), and seeks alternative paths to facilitating spatial transition without depriving accessibility on “unsuited” areas.
To achieve these objectives, a multi-criteria analysis is conducted to determine the most suitable mix of elements and vehicle automation technology, and its corresponding infrastructural requirements. Trade-offs between accessibility gains through higher levels of automation and increased infrastructure are examined. Additionally, the study categorizes the potential of each location in Limburg based on criteria related to the built environment and accessibility. Through pattern language, the study resulted in urban design patterns and digital tools for transportation planning, providing practical guidance to urban designers and transportation planners for site-specific interventions across the province.
The findings of this study suggest that, considering Limburg’s dispersed and poly-centric spatial structure, the higher infrastructural requirements for achieving level 4 driverless operations within Spartacuslijn are justified. Based on this model of dispersed BRT, the burden of densification can be shared with smaller cores, where the lifestyle is more aligned with rural areas. This can be achieved by providing accessibility and nodality in smaller cores through branch services connected to Spartacuslijn, offering single-seat rides to major destinations.
The proposed approach and strategy presented in this thesis offer a synergetic pathway for regional planning by integrating transportation planning and urban planning. Furthermore, the insights gained from this research can potentially be applied to other parts of Flanders and to dispersed urban areas worldwide.","Urban Planning; Transportation Planning; Bus Rapid Transit (BRT); Mobility Justice; Autonomous Vehicles; Nebular City; Spatial Transition; Limburg; Flanders; Belgium","en","master thesis","","","","","","Online BRT service planning tool: https://arcg.is/11Li5e","","","","","","Architecture, Urbanism and Building Sciences | Urbanism","City of the Future","50.967247, 5.499301"
"uuid:461c4774-27c6-4c27-9424-7bff2132bcaa","http://resolver.tudelft.nl/uuid:461c4774-27c6-4c27-9424-7bff2132bcaa","The River and the Mosaic: Regenerative Cycles in Production Landscapes","Imasaki Afonso, Victoria (TU Delft Architecture and the Built Environment)","Nijhuis, S. (mentor); Hooimeijer, F.L. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Paraná River is the second longest in South America and has always been a corridor for the migration of flora and fauna across the continent. In recent decades, it became one of the most important economic axes of the continent, crossing some of its most populous areas. However, in 2019, a severe drought event in the Upper Paraná River basin (UPRB) exposed the watershed's structural vulnerabilities, with water flows steadily dropping and causing uncountable economic and ecological losses.
Looking at the basin's history, the changes in land cover are the most dramatic, together with the construction of dams and their impact on the landscape. Nowadays, the UPRB corresponds to the model of the Plantation posited by Donna Haraway (Haraway et al., 2015) and explored by authors such as Malcolm Ferdinand (2021). It is a production landscape, with most of the native Atlantic Forest replaced by hectares of monoculture fields or grazing fields for meat production. Workers were brought to newly inaugurated towns, and infrastructure was planned with the goal of exporting these commodities as efficiently as possible. While lucrative, these forms of land use have minimal water retention capacity. Furthermore, there are serious sequel issues with topsoil erosion, water eutrophication, and the silting up of rivers and springs. The hydrologic cycle, originally stable, has been disrupted: all rainwater that falls during the wet season immediately runs downstream, not being retained. The Guarani Aquifer is dropping, creating serious vulnerabilities for the dry season.
This project explored how Landscape Architecture as a discipline could help regenerate the water cycle in the Upper Paraná River basin, a proper production landscape. It departs from historical analysis, geographical datasets, literature review, and a field trip. A theoretical framework defines the lens through which the problem of hydrologic disruption is seen, building upon the non-equilibrium and resilience theories (Ahern, 2011); the idea of ubiquitous wetness (Da Cunha, 2018) and, consequently, multi-species approaches (Bellacasa, 2021; Escobar, 2018); and the Casco Concept (Sijmons, 1991). The resulting goal is to build a framework of performative spaces that can withstand disturbances while providing for the human and non-human living beings in the landscape.
Such a framework is approached by a series of operative principles, design strategies, for each of the topographic categories in this landscape: headwaters, slopes, and flatlands. These principles are tested through application in a group of watersheds along the Paraná River, chosen due to their representative land use, topography and soil composition, and their easy accessibility for the field trip. The outcomes of this contextual application are a regional landscape plan, plus three zoom-ins in spaces along the same tributary of the Paraná River. The zoom-ins show how the framework of operative spaces is experienced by humans and local fauna, and how water retention features can coexist with economic and cultural activities. The main conclusion is that, if not approached from a purely technical point of view, water retention can be a leverage to enhance a landscape’s resilience and ecological value as a whole.
Using Nicosia and Pyla as a case study, conflict environments where inhabitants have normalized the experiences of living with the constant presence of the military, the thesis spatializes its theoretical framework. It utilizes tools and insights gained from theoretical and artistic analyses, to make visible and challenge the gender institutional perceptions, fixed gender roles, and spatialities that feed gender hierarchical structures. The ultimate goal is to expose this issue in various existing and ongoing conflict environments.
The aim of my project is to question the role and impact of architecture in the politics of a museum, with an understanding of the complex reality of museum-making. The interrogation of the original competition brief for the new Museum of Contemporary Art in Antwerp (M HKA) with regards to the budget, the spatial ambitions or demands, and the fundamental sustainability of resources, led me to propose why an alternative proposal for the M HKA could and should be put forward. The economic and spatial arguments, together with my position towards the climate crisis and research on the history of the institution, have resulted in a proposition radically different from the original brief, but still very much connected to it and resulting from it.
Adapting the existing courthouse building on site for a public archive of contemporary art not only allows a more intelligent budget distribution, but forces different power relations with regards to public funding, its responsibilities and sense of permanence. Densifying and reducing the amount of space required for art encourages more targeted architectural decisions, other types of spaces, actually fitting more appropriately into the existing courthouse building.
This project inscribes itself in a trend of public archives, while at the same time taking a critical position of an outsider-insider. The adaptation of the courthouse for the new MHKA allows a unique focus on the processes before and during the construction, acting as an event in the city, making transparent the process of change and adaptation.
The architecture of the new museum will be an expression of its positioning towards art, the public and the city. As my graduation project, it also expresses my position towards an uncritical building culture of competitions, ethical and professional sensitivity to the larger social and environmental context. By preserving the court building, I argue that embodied carbon is as valid an argument against demolition as heritage value and by interrogating and negotiating the demands of the competition brief against its budget, type of institution and the current economic, political and ecological reality, I question the role and responsibility of the architect in the face of multiplicity of crises.
Initially, an extensive investigation was carried out to examine the historical water systems on the island, aiming to gain insights into how the residents coped with water scarcity. Cyprus, having been ruled by various conquerors, benefited from the introduction of advanced water management practices. Notably, the water source was located distant from the settlements, necessitating the transportation of water to households through aqueducts, street taps, conduits, and similar means.
Furthermore, the focus of the thesis revolves around a rural region on the island. Specifically, the research site pertains to a valley situated alongside a seasonal river that incorporates a dam. This area is predominantly dedicated to agriculture, which greatly influences the overall landscape. Examining the local water management system aids in comprehending the spatial organization of the village, thereby contributing to a deeper understanding of its structure.
However, alternative ways of irrigation in order to combat the dryness of the area are proposed, so as not to be anymore independent on the dam. The raise of awareness is proposed through the interaction of the visitors with the environment and water, in order to involve them in the natural process. Especially, the concept is to begin with an experimental phase, initiated by a local farmer who takes action after engaging in discussions and interactions with the author. Over time, as the positive outcomes of these interventions become apparent in the farmer’s cultivation practices, other farmers in the area are influenced and begin adopting similar approaches.
As local authorities strive to offer unique experiences to visitors,
competition among the villages intensifies. Therefore, new infrastructure for educational and commercial purposes are introduced. Particularly, the local council takes the initiative to financially support village farmers by promoting their products. Furthermore, recreational activities are incorporated, providing opportunities for both children and adults to engage with the water and environment, particularly during weekends. The project introduces a unique and unparalleled characteristic to the entire island, making the area a magnet for residents and visitors alike. The extension of water presence in the region not only enhances the flora, fauna, and soil, but also serves as a catalyst for positive change and improved sustainability","Cyprus island; seasonal river; village; landscape; farmers; agriculture","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","35.162257, 32.549957"
"uuid:0d76e5f8-929c-4b21-8aa0-03be39250ccf","http://resolver.tudelft.nl/uuid:0d76e5f8-929c-4b21-8aa0-03be39250ccf","BladeNeRF: Exploiting camera constraints for NeRF in repetitive texture-less 3D reconstruction","El Coudi El Amrani, Nafie (TU Delft Electrical Engineering, Mathematics and Computer Science; TU Delft Pattern Recognition and Bioinformatics)","van Gemert, J.C. (mentor); Lin, Y. (mentor); Weinmann, M. (graduation committee); Delft University of Technology (degree granting institution)","2023","Neural Radiance Fields (NeRFs) have demonstrated remarkable capabilities in photo-realistic 3D reconstruction. NeRFs often take as input posed images where the camera poses come from either off-the-shelf S\textit{f}M or online optimization together with NeRFs. However, we find that both strategies yield suboptimal results in recovering camera poses from images when encountering texture-less and repetitive patterns, particularly in aircraft engine inspection. To reconstruct photo-realistic 3D engine blades from images, we propose BladeNeRF, a new variant of NeRF model that incorporates camera constraints into learning and enables accurate pose learning. In addition, we propose to separate the blades in the foreground from the constant background, eliminating background artefacts and enhancing depth estimation accuracy. Experimental evaluations on synthetic data demonstrate the advantage of our model in precise camera pose estimation and high-fidelity 3D scene reconstruction compared to other NeRF variants.","Neural Radiance Fields; 3D Reconstruction; Deep Learning","en","master thesis","","","","","","","","","","","","","",""
"uuid:a94f3de5-8370-4c58-9bb8-2d2e1598fcf9","http://resolver.tudelft.nl/uuid:a94f3de5-8370-4c58-9bb8-2d2e1598fcf9","Atlantic wall: the reverie before oblivion: Atlantic Wall ruins, history and memory in Den Helder","Xiong, Borui (TU Delft Architecture and the Built Environment)","de Wit, S.I. (mentor); Staničić, Aleksandar (graduation committee); Delft University of Technology (degree granting institution)","2023","By exploring the intersection between post-war ruins and the city of Den Helder, history and memory, this project will examine the potential of post-war ruins in dealing with the fading history and memory of the past. Based on Pierre Nora's concept of sites of memory (lieux de mémoire), with the landscape biography study of Den Helder’s defence line, the research proposes that Atlantic wall ruins, as carriers of history, can become new sites of memory in Den Helder. The design outcome will elaborate a new type of modern memory: experiential memory, which is freshly generated in ruins, based on people's understanding of history, direct experience and spontaneous reflection and subjective imagination.
Based on the notion of landscape palimpsest, Alois Rieg's definition of monument values and case studies related to post-war ruins, this study proposes a design approach that starts with the qualities of ruins, that is to enhance the ruinous qualities during the decaying process and the decaying effect on the surrounding environment. The attention will be paid to the historical function and construction methods of the Atlantic wall ruins, the landscape context, current spatial characteristics, materiality and sustainability.
To sum up, this project promotes a more open attitude towards post-war ruins and accept the fact that things decay and disappear. Ruins are our direct contact with history, therefore participating in the process of decay is our direct memory of the intangible history. This project regards ruins as a process rather than just an object on a physical level. It further explores how landscape components, spatial atmosphere and public participation reveal the value of the ruins and influence the decaying process of ruins. The post-war ruins will be used as a medium to remind people to reflect on the past and look forward.","Atlantic Wall; Ruins; Landscape architecture; Den Helder","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Landscape Architecture","","52.966667, 4.766667"
"uuid:19270b0c-19d7-4529-90ac-194de0626eb9","http://resolver.tudelft.nl/uuid:19270b0c-19d7-4529-90ac-194de0626eb9","A Methodology for Damage Detection Using Unsupervised Learning in the Field of Structural Health Monitoring: Based on Gaussian Mixture Modeling","Vilhjálmsson, Thor (TU Delft Civil Engineering & Geosciences)","Lourens, E. (mentor); Wang, H. (graduation committee); van Dalen, K.N. (graduation committee); Delft University of Technology (degree granting institution)","2023","This thesis aims to investigate the feasibility of developing a successful unsupervised Structural Health Monitoring (SHM) methodology to detect damage in structures, specifically bridges. Detecting damage, especially in its earliest stages, is challenging, thus prompting the need for robust and effective methods. The success of such a methodology could lead to timely inspections and interventions, resulting in significant economic benefits and preventing further damage, including potential failure of the structure in use.
The approach involves a literature review to establish relevant background knowledge and useful concepts. From this, a methodology is developed utilizing unsupervised machine learning, specifically Gaussian Mixture Models (GMM), to identify abnormal behavior indicative of structural damage.
A Finite Element Method (FEM) model of a simple bridge is created and monitored over a three-year period, serving as a testing ground for the methodology and a primary source for data generation. Temperature data and its effects on the natural frequencies of the bridge model are used to establish a baseline for normal or healthy behavior. Synthetic damage, such as settlement and stiffness reduction, is then introduced to the model to create anomalies or abnormal behavior. The developed methodology is tested using three case studies, each with varying types of synthetic damage. By using both the healthy and unhealthy data generated from the model, the healthy behavior of the bridge is captured using GMM. The model then progressively incorporates unhealthy data into the proposed anomaly detection algorithm. The algorithm evaluates the likelihood of each incoming data point of belonging within the healthy distribution, resulting in data points being classified as either healthy or flagged as abnormal.
The case studies presented in this research underscore the efficacy of the proposed anomaly detection approach. In scenarios involving sudden or abrupt damage, the algorithm swiftly and accurately labels abnormal points. For gradual damage scenarios, such as settlement, the algorithm consistently identifies abnormal points, with the rate of abnormal point detection accelerating over time. This detection rate is contrasted with the rate of erroneous abnormal point labeling when processing an exclusively healthy data set through the anomaly detection algorithm. This comparison reveals a higher rate of abnormal point identification when actual damage is present, affirming the effectiveness of the unsupervised SHM methodology in pinpointing abnormal behavior within the modeled bridge structure.","Clustering; Damage Detection; Dimensionality Reduction; Gaussian Mixture Modeling (GMM); Machine Learning; Principal Component Analysis (PCA); Structural Health Monitoring (SHM); Temperature Effects; Unsupervised Learning","en","master thesis","","","","","","","","","","","","Civil Engineering","",""
"uuid:d9386ddc-901f-4a52-9cdf-823649025810","http://resolver.tudelft.nl/uuid:d9386ddc-901f-4a52-9cdf-823649025810","Magnetite as a Game-Changer: Exploring its potential for enhancing anaerobic degradation of phenolic wastewater in AnMBR while tackling membrane fouling challenges","Mostafa Mohamed Abdelsadek Elshourbagy, Mostafa (TU Delft Civil Engineering & Geosciences)","van Lier, J.B. (mentor); Spanjers, H. (mentor); Heijman, Sebastiaan (mentor); Delft University of Technology (degree granting institution)","2023","Aromatic compounds have always been of concern regarding their toxicity to living organisms, including microorganisms. With more anthropogenic activities (e.g. coal gasification), the need for feasible treatment of industrial effluents is highly prioritised. With the anaerobic degradation process being a competitive solution, these compounds’ toxic impact on the biomass is still of concern. These implications influence the stability of the degradation process; thus, there was a search for mechanisms to make the anaerobic degradation process more resilient. One potential mechanism is enhancing syntrophic collaboration between different species and its corresponding electron transfer. Syntrophic collaboration in an anaerobic environment can be conducted using intermediates (e.g. hydrogen) or direct electron transfer. Direct interspecies electron transfer (DIET) is reported to be more energy efficient and more thermodynamically favoured over other mechanisms that include mediators (hydrogen/ formic acid). Conductive and semi-conductive materials have been investigated to simulate this direct interspecies electron transfer mechanism (DIET), with various materials being researched, such as iron oxides, zero-valent metals, and even carbon-based materials.
This study investigated the impact of magnetite addition (as a DIET-stimulator) on p-cresol degradation, methane production and sludge characteristics, with a further interest in membrane fouling mitigation. This investigation was conducted with continuous flow reactors and batch reactors. The continuous configuration was based on an anaerobic membrane bioreactor (AnMBR) fed with a synthetic-coal gasification-like solution of phenol and p-cresol to investigate mainly the conversion rate of p-cresol and monitor the influence on the methane production, sludge characteristics, and membrane fouling. At the same time, batch experiments were conducted to investigate the acetoclastic methanogenic pathway and p-cresol degradation as a sole carbon source. The continuous experiment lasted for 143 days but was divided into two separate phases with two different magnetite dosages, starting with 40 mmol/L in phase (I), then replacing the sludge with acclimatised one (from the control) with the addition of the second dosage (20 mmol/L) in phase (II).
A Magnetite dosage of 40 mmol/L showed signs of biomass-suppressed conversion capacity compared to the control, by which the reactor conversion rate deteriorated by reaching 212 mgCOD/ gVSS/d (under a feed of 900 mgPh/L & 900 mgPcr/L). Phase (I) showed no significant differences in the methane production rate between control and magnetite reactors. On the other hand, the batch experiments fed with 1 gCOD/L acetate showed that the magnetite reactor had a lower acetoclastic methane production rate than the control. It was suggested that the 40 mmol/L magnetite dosage was suppressing the acetoclastic methanogens, which was further contributing to the lower conversion capacity observed in the AnMBR by the end of the phase. With the same methane being produced in control and magnetite reactors, it was also possible that either hydrogenotrophic methanogenesis or the DIET pathways were enhanced; however the absence of intermediates (e.g. VFAs) and the similarity of the COD balance supported the possibility of the latter one. During phase (II), the conversion rate of both reactors (control and magnetite) reached 74 mgPcr/ gVSS/d, approaching the highest conversion rates reported in the literature. While the acetoclastic methanogens showed no significant difference in the batch experiment, the magnetite-AnMBR’s methane production rate was 10%-28% higher. Furthermore, the methane yield with magnetite supplementation showed an average enhancement of 15%. In addition, the batch experiment also showed that this magnetite dosage reduced the p-cresol conversion rate by 87% compared to the control.
Both magnetite dosages (20 mmol/L & 40 mmol/L) showed a reduction in the protein and carbohydrate content of the soluble microbial products (SMP) and the extracellular polymeric substances (EPS). Magnetite had adversely impacted the loosely-bounded EPS (regarding protein and carbohydrates), whereas it was shown to be significant compared to the control. The EPS-LB showed an inverse relation with the particle size distribution (PSD), verifying that the higher increase in the particle size could be correlated with the EPS-LB reduction by the magnetite. On the other hand, the fouling rate of the membranes showed an insignificant difference between both reactors. This was suggested to be related to the incomplete formation of a mature cake layer under the influence of low operational flux. However, with the reduction of the SMP/EPS, it was suggested that the formed cake layer would be more porous and permeable. This would mean that the cake-fouling and its corresponding resistance would be expected to be lower. As the cake layer acts as a protective barrier for the membrane, its reduction would lead to a higher risk of irreversible pore-blocking by fine particles from the magnetite and the sludge.","Magnetite; DIET; p-cresol; phenol; Anaerobic treatment; electron recovery; coal gasification; wastewater; AnMBR","en","master thesis","","","","","","","","","","","","Civil Engineering | Environmental Engineering","",""
"uuid:f44ea142-cba1-4347-85f9-2253bcc30edb","http://resolver.tudelft.nl/uuid:f44ea142-cba1-4347-85f9-2253bcc30edb","The use of critical raw materials in façades and the call for circularity: identifying dependencies and planning for the future","Fröwis, Alexandra (TU Delft Architecture and the Built Environment; TU Delft Architectural Engineering +Technology; TU Delft Building Product Innovation; TU Delft Climate Design and Sustainability)","Ioannou, O. (mentor); Peck, David (graduation committee); Delft University of Technology (degree granting institution)","2023","The climate crisis poses a significant threat to our planet, and the building sector plays a big role in that regard, as it is responsible for 30% of energy consumption and 27% of emissions globally [IEA, 2022]. The sector aims to reduce its impact on the environment through different strategies like transitioning to a circular economy or reducing energy consumption through the implementation of smart systems. However, these systems contain and rely on Critical Raw Materials (CRMs), which is a topic that is so far mostly discussed in regard to renewable energy technologies.
The research shows a gap in knowledge, information, and awareness when it comes to critical materials concerns regarding the built environment, which is demonstrated in the example of an aluminium curtain wall façade. The analysis indicates that façades can indeed contain a high level of critical materials both in regard to the amount as well as the variety of different critical materials. From the research, it is concluded that (1) the use of critical raw materials needs to be reduced wherever possible and (2) if a reduction is not possible, materials need to be kept in the loop as long as possible.
Circular strategies are therefore analysed as prospective mitigation strategies of critical materials concerns. The material policy research indicates that even though the combination of critical materials and circularity in regard to the built environment is not adequately addressed as of yet, effective policymaking could be a helpful tool in regard to the transition towards a more circular built environment and help prevent future bottlenecks in the industry. As a result, the formulated recommendations indicate how policies can address the mitigation of critical materials concerns through circular strategies.","Critical Raw Materials; Circularity; Façades; Policy recommendations","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Building Technology","",""
"uuid:b1ff40f7-7fd3-4220-979e-868ada285288","http://resolver.tudelft.nl/uuid:b1ff40f7-7fd3-4220-979e-868ada285288","MHKA: A Multi-Museum","Furlong, Craig (TU Delft Architecture and the Built Environment; TU Delft Architecture)","Pimlott, Mark (mentor); Klooster, M.W. (mentor); Thomas, A.R. (mentor); Delft University of Technology (degree granting institution)","2023","As the institution of art has developed, systematic biases have survived because they are embedded into institutional practices. The art world remains discriminatory and the art commodified, not able to provide its full public value. While contemporary artists have tried to remove themselves and their work from the gallery, they remain unsuccessful without a new form of exhibition.
M HKA, a contemporary art museum in Antwerp, Belgium with roots in anarchitecture and the anti-museum, is moving to a new site, and with that comes the opportunity to address the art world and many points of tension within it. The institution wants to participate on an international level but keep its Flemish roots and identity. The site of their future location is also part of a larger urban transformation and the site of another building that is possible to redevelop.
When designing for M HKA, it will be important to keep these points of tension in mind. The museum appears to currently be balancing many of these dilemmas already. How will this new structure enrich the surrounding environment without gentrifying the area and further marginalizing minority communities? M HKA has the opportunity to address these issues and lay a new foundation for how a contemporary museum should operate. If done successfully, the architecture of this space could very well replace the current standard of the white cube.","Contemporary Art; Flemish Art; museum architecture; Antwerp","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","","51.20990, 4.38870"
"uuid:5780d0d7-40e1-4119-89a7-97cba78c8ccc","http://resolver.tudelft.nl/uuid:5780d0d7-40e1-4119-89a7-97cba78c8ccc","The Sea as Island: Borderscaping the Mediterranean Basin","Karampela Makrygianni, Myrto (TU Delft Architecture and the Built Environment)","Calabrese, L.M. (mentor); Sohn, H. (graduation committee); Delft University of Technology (degree granting institution)","2023","The Mediterranean Basin – defined and restricted by its own boundness – embodies a mesocosm for the network of Critical Zones containing in its dense space all the fragilities, urgencies and uncertainties that characterize living in the 21st century, while being the world’s most appropriated, militarized and instrumentalized sea. In its deep – and often intentionally obscured oceanic space – the notion of coexistence is constantly negotiated through overlapping (re)territorialization processes, accelerated climatic or geological transformations, and increased interaction between its complex human and more-than-human assemblages, especially in light of the emerging climate crisis. As the focus of urbanization shifts from the land to the sea, the question of sympoiesis and response-ability becomes central for the establishment of a counter paradigm for the worlding of the sea; opposed to the prevailing processes of domination, expulsion and colonization that accompany anthropogenic practices in the state-regime nexus. This counter paradigm arises from the understanding of borderscapes as critical in-between conditions of proximities, intensities, and assimilations whose investigational mapping can induce soft territorial acts and practices of care.
In this context, the aim of this thesis is twofold: firstly, to critically map the Mediterranean Basin painting its fragmentary portrait as a sociocultural product of interconnected and conflicting political, cultural, historical and environmental forces – while simultaneously revealing situated traces of immanence and resistance – and secondly, to experiment with soft territorial acts and practices of care in the process of deterritorialization and creation of a new common ground for the neglected Mediterranean human and more-than-human entities. To do so, the thesis project revolves around the concept of the island as an in-between space of viscosity that reconceptualizes the spatiotemporal understanding of the boundary; connected to the prototypical human territorial act. The conceptual experimentation lands to the discovery of the ephemeral volcanic formation of Julia island that becomes the speculative (re)fabulation of a conscious act of extraterritoriality bridging the natural and the praxeological space through processes of terraforming. Using Julia, then, as a synecdoche for the whole Mediterranean Basin, the project concludes by weaving an alternative model not only for the understanding and the planning of the sea but generally for the inhabitation – or more precisely cohabitation – of the ‘damaged’ planet.","transitional territories; borderscaping; assemblages; sea urbanization; planetary futures","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences","",""
"uuid:71c4ab7a-b2fc-4edd-97ec-c2429f17a783","http://resolver.tudelft.nl/uuid:71c4ab7a-b2fc-4edd-97ec-c2429f17a783","The Elephant In-Between","Popescu, Iulia (TU Delft Architecture and the Built Environment)","Rommens, O.R.G. (mentor); Geerts, F. (graduation committee); Koskamp, G. (graduation committee); Delft University of Technology (degree granting institution)","2023","Within an area that presents edges with very different characteristics, surveilled and controlled to various extents, the proposal will focus on designing an intervention that will exercise control over the user through the qualities and through the use of the designed space.
In addition, because the site presents the zone of the lagoon where an environmental regeneration was attempted, my proposal will make use of the new “nature” that has been designed.
Considering these characteristics, as a function of the building I propose a sanatorium, a place fully controlled, where the human is contained, and removed from his usual environment.
The graduation proposal project focuses mostly on understanding the extent of social control that a designed space can exercise on the user. In addition, it serves as a commentary on the current society that we live in, always watched and monitored, congested in chaotic cities that keep growing haphazardly to accommodate more and more inhabitants","Port; city; Liminal space; control; brothel","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Borders and Territories","","40.837616, 29.290197"
"uuid:bdced91c-f1fd-4e8c-8388-bbcf4dd89e13","http://resolver.tudelft.nl/uuid:bdced91c-f1fd-4e8c-8388-bbcf4dd89e13","Enter 'space' to test the AI: Responsive Architecture: The home to come","Slezák, Samuel (TU Delft Architecture and the Built Environment)","Vrachliotis, Georg (mentor); Muñoz Sanz, V. (graduation committee); Karvelas, G. (mentor); Delft University of Technology (degree granting institution)","2023","As artificial intelligence (AI) technology progressively integrates into daily life and domestic environments, it instigates a pressing inquiry: What new spatial implications can arise from the integration of artificially intelligent technologies within homes, and what role can these AI technologies play in reinforcing social interaction among humans and between humans and machines? This paper does not predict the future but instead imagines a fictitious trajectory of the possible development of selected technologies and their spatial impacts on domestic environments through design. The aim is to incite a discussion that tests and explores core values that can be adopted or avoided in the future. This process allows for the contemplation and discourse around these novel technologies, thereby increasing the chances of their positive societal impact.
The paper further expands on the underutilised potential of technology within the architectural field compared to other disciplines more adeptly adjusted to the digital age. Utilising the 'Futurecraft' method, it frames a vision of potential futures, thereby encouraging robust discussion about the role of technology, who should design them, and the ideal ways of implementation. Amid the second wave of AI development, the urgency of examining the human-machine relationship is emphasized, especially given the rise and impact of Large Language Models (LLMs), including models like Chat GPT.
The paper posits the need for a 'Living Lab' - a controlled environment where new technologies can be deployed and tested to understand their broader societal impact. It addresses the ethical dilemmas tied to AI's rapid development and the potential adverse effects of these technologies, shedding light on the possible disconnect between humans and their environments brought about by automation. The discussion aims to develop narratives and spaces where individuals can explore their relationship with technology while ensuring safe and ethical implementation.
The study ultimately aspires to create a stronger narrative that will bring about democratic architecture and consider the rise of LLMs within the field of architecture, underscoring their potential impact and significance.","Architecture; Artificial Intelligence; Technology; Large Language Models (LLMs); Human-machine relationship; Spatial implications; Social interaction; Futurecraft method; Master Program Architecture; Human laboratory; Living Lab; Project narrative; Democratising architecture; Safe implementation; Technology-dominated landscape.; Machine house; Intelligent machines; Intelligent elements","en","master thesis","","","","","","","","","","","","Architecture, Urbanism and Building Sciences | Explorelab","",""