"uuid","repository link","title","author","contributor","publication year","abstract","subject topic","language","publication type","publisher","isbn","issn","patent","patent status","bibliographic note","access restriction","embargo date","faculty","department","research group","programme","project","coordinates"
"uuid:f18ce335-ce3c-40ee-a7bd-ccce8e5a1481","http://resolver.tudelft.nl/uuid:f18ce335-ce3c-40ee-a7bd-ccce8e5a1481","Emergency response in cascading scenarios triggered by natural events","Ricci, Federica (University of Bologna); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Cozzani, Valerio (University of Bologna)","","2024","Emergency response is a procedural safety barrier of paramount importance for the mitigation of fire scenarios and the prevention of escalation. However, in Natech scenarios, emergency response may be affected by the natural event impacting the site. Indeed, when contrasting Natech accidents, emergency responders have to face both the natural event and the cascading technological scenario. Despite the criticality of the issue, limited attention was devoted to date to the analysis of emergency response in cascading sequences triggered by natural events. The present study provides a novel and technically sound methodology to assess the performance of emergency response and the required intervention time in Natech scenarios. An expert survey combined with a Bayesian Network model was used to assess the performance of the emergency response. The routing and setup phases were identified as those mostly affected by natural events. Monte Carlo simulations were used to obtain baseline data and specific probability distributions for the time required to carry out the emergency response considering the factors that may hinder the response during natural events. In Natech accidents, the time for effective mitigation resulted higher of at least a factor 2 with respect to that expected in the case of conventional accidents. The methodology developed may be used to support the improvement of the emergency management of Natech scenarios, allowing for a detailed definition of site-specific emergency response plans. Moreover, the results may be used to provide a more accurate assessment of the fire-driven escalation probability in Natech events.","Cascading events, Natech, Quantitative risk assessment, Bayesian Network, Monte Carlo simulation; Emergency response","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:c2c6c678-708a-49e9-b38d-9253bd5ced5a","http://resolver.tudelft.nl/uuid:c2c6c678-708a-49e9-b38d-9253bd5ced5a","Autonomic responses to pressure sensitivity of head, face and neck: Heart rate and skin conductance","Yang, W. (Harbin Ergineering University Yantai Research Institute; School of Design Hunan University); Chen, Tingshu (School of Design Hunan University); He, Renke (School of Design Hunan University); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design); Huysmans, T. (TU Delft Applied Ergonomics and Design)","","2024","Subjective scales are frequently used in the design process of head-related products to assess pressure discomfort. Nevertheless, some users lack fundamental cognitive and motor abilities (e.g., paralyzed patients). Therefore, it is vital to find non-verbal measurements of pressure discomfort and pressure pain. This study gathered the autonomic response data (heart rate and skin conductance) of 30 landmarks in head, neck and face from 31 participants experiencing pressure discomfort and pressure pain. The results indicate that pressure stimulation can change heart rate (HR) and skin conductance (SC). SC can be more useful in assessing pressure discomfort than HR for specific landmarks, and SC also possesses a faster arousal rate than HR. Moreover, HR decreased in response to pressure stimulation, while SC decreased followed by an increase. In comparisons between genders, the subjective pressure discomfort threshold (PDT) and pressure pain threshold (PPT) of women were lower than those of men, but men's autonomic responses (HR and SC) were more intense. Furthermore, there was no linear correlation between subjective pressure thresholds (PDT and PPT) and autonomic response intensity. This study has significant implications for resolving ergonomic issues (pressure discomfort and pain) associated with head-related products.","Pressure discomfort; Head-related products; Physiological signals","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:d30c564e-03ed-42eb-9049-e010cc339fa2","http://resolver.tudelft.nl/uuid:d30c564e-03ed-42eb-9049-e010cc339fa2","Insights into the effect of susceptor rotational speed in CVD reactor on the quality of 4H-SiC epitaxial layer on homogeneous substrates","Tang, Zhuorui (Fudan University); Gu, Lin (Fudan University); Jin, Lei (48th Research Institute of China Electronics Technology Group Corporation); Dai, Kefeng (Jihua Laboratory); Mao, Chaobin (Jihua Laboratory); Wu, Sanzhong (Jihua Laboratory); Zhang, Rongwei (Ningbo Xinsheng Medium Voltage Electrical Appliance Co., Ltd.); Yang, Jinsong (Ningbo Xinsheng Medium Voltage Electrical Appliance Co., Ltd.); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","In this work, 4H-SiC homoepitaxial layers were grown on 4°off-axis substrates at different susceptor rotation speeds by using a hot-wall horizontal CVD reactor. The effect of different susceptor rotation speed on the quality of 4H-SiC epitaxial layers in terms of thickness, thickness uniformity, crystallinity, surface morphology and morphological defects was investigated via Fourier transform infrared spectroscopy (FTIR), high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), confocal differential interference contrast microscopy (CDIC), ultra-violet photo-luminescence spectroscopy (UV-PL), scanning electron microscopy (SEM), and micro-Raman spectroscopy, respectively. A flow field simulation was performed to explain the impact of susceptor rotation speed on the film deposition. The FTIR results suggested that the susceptor rotation speed could be an important factor to adjust thickness uniformity and deposition rate. The XRD patterns showed that crystallinity was independent of the susceptor rotation speed. The surface morphology can be improved by changing the susceptor rotation speed. According to CDIC scans, the down-fall related defects were reduced through the increase in the susceptor rotation speed. The origin of down-fall related defects was interpreted by Raman spectroscopy and speculative models. To sum up, the susceptor rotation speed is a crucial factor in increasing growth rate and improving uniformity. Also, the faster susceptor rotation speed helps reduce the number of down-fall related defects in the hot-wall CVD reactor.","4H-SiC homoepitaxial layer; CVD reactor; susceptor rotation speed; quality; defects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Electronic Components, Technology and Materials","","",""
"uuid:fc625a4f-c5bc-4ba2-8a25-1663f031444a","http://resolver.tudelft.nl/uuid:fc625a4f-c5bc-4ba2-8a25-1663f031444a","Identification and Suppression of Multicomponent Noise in Audio Magnetotelluric Data Based on Convolutional Block Attention Module","Zhang, Liang (Guizhou University); Li, Guang (East China University of Technology); Chen, Huang (Chongqing University); Tang, Jingtian (Central South University); Yang, Guanci (Guizhou University); Yu, Mingbiao (Guizhou University); Hu, Yong (China University of Mining and Technology; China University of Petroleum (East China)); Xu, Jun (Guizhou University); Sun, J. (TU Delft Pattern Recognition and Bioinformatics)","","2024","Audio magnetotelluric (AMT) is commonly used in mineral resource exploration. However, the weak energy of AMT signals makes them susceptible to being overwhelmed by noise, leading to erroneous geophysical interpretations. In recent years, deep learning has been applied to AMT denoising and has shown better denoising performance compared to traditional methods. However, current deep learning denoising methods overlook the characteristics of AMT signals, resulting in reduced denoising accuracy. To enhance the denoising performance of deep learning by better matching the features of AMT signals, we propose a convolutional block attention module (CBAM)-based method for AMT denoising. This method focuses on the features of AMT signals and improves the process from three aspects: 1) in the establishment of the sample set, we adopt a multicomponent form based on the correlation of noise to enable the neural network to explore the potential connections among the components of AMT during the training process, thus constructing a stronger network mapping relationship; 2) in the construction of the neural network, we have introduced the CBAM structure into the residual blocks of the ResNet to enhance the network's feature learning capability by focusing on the characteristics of noise; and 3) in the design of the denoising procedure, we adopt a process of identification before denoising to protect the noise-free data segments from being compromised during the denoising process. Finally, through synthetic, field data experiments, and comparative tests, we demonstrate that our proposed method achieves higher denoising accuracy than some traditional methods and conventional deep learning methods.","Convolutional Block Attention Module (CBAM); ResNet; Audio Magnetotelluric (AMT); Denoising","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Pattern Recognition and Bioinformatics","","",""
"uuid:640c0f3e-60c4-4736-ae08-352bef953637","http://resolver.tudelft.nl/uuid:640c0f3e-60c4-4736-ae08-352bef953637","On the post-impact fatigue behavior and theoretical life prediction of CF/PEEK-titanium hybrid laminates using an energy dissipation approach","Ji, C. (TU Delft Structural Integrity & Composites; Harbin Institute of Technology); Hu, Jiqiang (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Yang, Jinchuan (Harbin Institute of Technology); Zhou, Zhengong (Harbin Institute of Technology); Sun, Yuguo (Harbin Institute of Technology); Wang, Bing (Harbin Institute of Technology)","","2024","This paper aims to illustrate the effect of the impact damage on fatigue behavior of CF/PEEK-titanium hybrid laminates. To achieve this end, a fatigue life model was proposed to predict the S–N curves of the laminates at various initial impact energy levels and stress ratios based on the energy dissipation approach. The energy dissipation behavior of the laminates during fatigue loading under different experimental conditions was analyzed through a large amount of post-impact fatigue tests, and the correlation between the initial impact damage and the total fatigue dissipation energy was determined. The full-field axial strain distribution of the titanium layer on the impacted side of the laminate was characterized in terms of initial impact energy level and maximum stress using digital image correlation, and then the post-impact fatigue failure mechanism of CF/PEEK-Ti hybrid laminates was summarized. Finally, the validity of the proposed model was verified by fatigue tests under other conditions of stress ratio and impact energy level. It is worth mentioning that the proposed model is also applicable to other types of FMLs, and can accurately predict the residual fatigue life of laminates after impact with only one set of S–N curve data.","Energy dissipation approach; Fiber metal laminates; Life prediction; Post-impact fatigue behavior","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Structural Integrity & Composites","","",""
"uuid:740ae19e-044a-4bb1-80c8-0c3da244b184","http://resolver.tudelft.nl/uuid:740ae19e-044a-4bb1-80c8-0c3da244b184","Dynamic risk assessment of chemical process systems using the System-Theoretic accident model and process approach (STAMP) in combination with cascading failure propagation model (CFPM)","Sun, Hao (Anhui University of Technology); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2024","To maintain continuous production, chemical plant operators may ignore faults or handle faults online rather than shutting down process systems. However, interaction and interdependence links between components in a digitalized process system are substantial. Thus, faults will be propagated to downstream nodes, potentially leading to risk accumulation and major accidents. However, limited attention has been paid to this type of risk. To model the risk accumulation process, a dynamic risk assessment method is proposed by integrating the system-theoretic accident model and process approach (STAMP) and the cascading failure propagation model (CFPM). Firstly, STAMP is used to model and analyze the system safety of a process system. Two CFPMs are then proposed to measure risk accumulation under two different engineering situations. The proposed method is applied to the Chevron Richmond refinery crude unit and its associated upstream process. The results show that the proposed approach can effectively quantify the process of risk accumulation. This method can generate a real-time dynamic risk profile to support auxiliary decision-making.","Cascading failure propagation model (CFPM); Fault propagation; Risk accumulation; STAMP","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:77a4b20a-6094-4093-a5a6-f946f93da5b9","http://resolver.tudelft.nl/uuid:77a4b20a-6094-4093-a5a6-f946f93da5b9","Buckling failure analysis of storage tanks under the synergistic effects of fire and wind loads","Chen, Chao (Southwest Petroleum University; China Academy of Safety Science and Technology); Chen, Hang (Southwest Petroleum University); Mo, Li (Southwest Petroleum University); Xiao, Shenbin (Southwest Petroleum University); Li, Changjun (Southwest Petroleum University); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2024","Fire-induced domino effect is one of the main threats to hazardous material storage tanks, and many attempts have been conducted to assess the vulnerability of storage tanks exposed to fire to evaluate domino effect risk. However, past research ignored the influence of wind load on the thermal buckling behavior of storage tanks exposed to fire, which may underestimate the risk of exposed tanks. This paper thus conducts a numerical simulation of the thermal buckling behavior of steel vertical dome storage tanks under the synergistic effect of static wind loads and thermal effects. The effects of wind parameters and heat radiation parameters on the thermal post-buckling behavior and the time to failure (ttf) of storage tanks are investigated to analyze the synergistic effects of fire and wind loads. By comparing the circumferential and meridional stresses before and after the thermal post-buckling stage, it is found that under the disturbing effect of the positive wind pressure load, the thermal post-buckling of the tanks on downwind occurs earlier and more severe. Besides, the effects of wind angle, fire location height, and diameter on buckling damage were investigated. The comparative analysis of different scenarios shows that the tanks in the windy scenario are more prone to thermal post-buckling, and the deformation is intensified, with an increased likelihood of failure.","Domino effects; Numerical simulation; Storage tank; Thermal post-buckling; Wind load","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-04","","","Safety and Security Science","","",""
"uuid:0a950056-8081-48e7-ad1f-117eeb2066f6","http://resolver.tudelft.nl/uuid:0a950056-8081-48e7-ad1f-117eeb2066f6","Screening of representative rainfall event series for long-term hydrological performance evaluation of grassed swales","Yang, F. (TU Delft Hydraulic Structures and Flood Risk; Southeast University); Fu, Dafang (Southeast University); Zevenbergen, C. (TU Delft Urban Design); Boogaard, Floris C. (Hanze Hogeschool Groningen; Deltares); Singh, Rajendra Prasad (Southeast University)","","2024","Evaluation of the hydrological performance of grassed swales usually needs long-term monitoring data. At present, suitable techniques for simulating the hydrological performance using limited monitoring data are not available. Therefore, current study aims to investigate the relationship between saturated hydraulic conductivity (Ks) fitting results and rainfall characteristics of various events series length. Data from a full-scale grassed swale (Enschede, the Netherlands) were utilized as long-term rainfall event series length (95 rainfall events) on the fitting outcomes. Short-term rainfall event series were extracted from these long-term series and used as input in fitting into a multivariate nonlinear model between Ks and its influencing rainfall indicators (antecedent dry days, temperature, rainfall, rainfall duration, total rainfall, and seasonal factor (spring, summer, autumn, and winter, herein refer as 1, 2, 3, and 4). Comparison of short-term and long-term rainfall event series fitting results allowed to obtain a representative short-term series that leads to similar results with those using long-term series. A cluster analysis was conducted based on the fitting results of the representative rainfall event series with their rainfall event characteristics using average values of influencing rainfall indicators. The seasonal index (average value of seasonal factors) was found to be the most representative short rainfall event series indicator. Furthermore, a Bayesian network was proposed in the current study to predict if a given short-term rainfall event series is representative. It was validated by a data series (58 rainfall events) from another full-scale grassed swale located in Utrecht, the Netherlands. Results revealed that it is quite promising and useful to evaluate the representativeness of short-term rainfall event series used for long-term hydrological performance evaluation of grassed swales. Graphical Abstract: (Figure presented.).","Bayesian network; Grassed swale; Long-term hydrological performance; Rainfall event series; Representativeness; Saturated hydraulic conductivity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Hydraulic Structures and Flood Risk","","",""
"uuid:1f92feaf-60a1-4ac3-ad12-9cfc761303eb","http://resolver.tudelft.nl/uuid:1f92feaf-60a1-4ac3-ad12-9cfc761303eb","How aromatic dissolved organic matter differs in competitiveness against organic micropollutant adsorption","Wang, Q. (TU Delft Computational Design and Mechanics; Chinese Academy of Sciences); Lechtenfeld, Oliver J. (Helmholtz Centre for Environmental Research - UFZ); Rietveld, L.C. (TU Delft Sanitary Engineering); Schuster, Jonas (Hamburg University of Technology); Ernst, Mathias (Hamburg University of Technology); Hofman-Caris, Roberta (KWR Water Research Institute; Wageningen University & Research); Kaesler, Jan (Helmholtz Centre for Environmental Research - UFZ); Wang, Chunmiao (Chinese Academy of Sciences); Yang, Min (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yu, Jianwei (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zietzschmann, F.E. (TU Delft Sanitary Engineering; Berliner Wasserbetriebe)","","2024","Activated carbon is employed for the adsorption of organic micropollutants (OMPs) from water, typically present in concentrations ranging from ng L−1 to μg L−1. However, the efficacy of OMP removal is considerably deteriorated due to competitive adsorption from background dissolved organic matter (DOM), present at substantially higher concentrations in mg L−1. Interpreting the characteristics of competitive DOM is crucial in predicting OMP adsorption efficiencies across diverse natural waters. Molecular weight (MW), aromaticity, and polarity influence DOM competitiveness. Although the aromaticity-related metrics, such as UV254, of low MW DOM were proposed to correlate with DOM competitiveness, the method suffers from limitations in understanding the interplay of polarity and aromaticity in determining DOM competitiveness. Here, we elucidate the intricate influence of aromaticity and polarity in low MW DOM competition, spanning from a fraction level to a compound level, by employing direct sample injection liquid chromatography coupled with ultrahigh-resolution Fourier-transform ion cyclotron resonance mass spectrometry. Anion exchange resin pre-treatment eliminated 93% of UV254-active DOM, predominantly aromatic and polar DOM, and only minimally alleviated DOM competition. Molecular characterization revealed that nonpolar molecular formulas (constituting 26% PAC-adsorbable DOM) with medium aromaticity contributed more to the DOM competitiveness. Isomer-level analysis indicated that the competitiveness of highly aromatic LMW DOM compounds was strongly counterbalanced by increased polarity. Strong aromaticity-derived π-π interaction cannot facilitate the competitive adsorption of hydrophilic DOM compounds. Our results underscore the constraints of depending solely on aromaticity-based approaches as the exclusive interpretive measure for DOM competitiveness. In a broader context, this study demonstrates an effect-oriented DOM analysis, elucidating counterbalancing interactions of DOM molecular properties from fraction to compound level.","Activated carbon; Dissolved organic matter (DOM); Fourier-transform ion cyclotron resonance mass spectrometry (FT-ICR-MS); Multi-component adsorption; Organic micropollutants","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:5efd5ddb-579d-4d2f-85b2-15e229cc4dc7","http://resolver.tudelft.nl/uuid:5efd5ddb-579d-4d2f-85b2-15e229cc4dc7","Combined Fabrication and Performance Evaluation of TOPCon Back-Contact Solar Cells with Lateral Power Metal-Oxide-Semiconductor Field-Effect Transistors on a Single Substrate","van Nijen, D.A. (TU Delft Photovoltaic Materials and Devices); Stevens, Tristan (Student TU Delft); Mercimek, Yavuzhan (Student TU Delft); Yang, G. (TU Delft Photovoltaic Materials and Devices); van Swaaij, R.A.C.M.M. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Manganiello, P. (TU Delft Photovoltaic Materials and Devices)","","2024","Nowadays, an increasing share of photovoltaic (PV) systems makes use of module- or submodule-level power electronics (PE). Furthermore, PE is used in stand-alone devices powered by PV-storage solutions. One way to facilitate further implementation of PE in PV applications is to integrate PE components into crystalline silicon PV cells. Herein, the COSMOS device is introduced, denoting COmbined Solar cell and metal-oxide-semiconductor field-effect transistor (MOSFET). Specifically, the combined manufacturing of lateral power MOSFETs and interdigitated back contact solar cells with tunnel-oxide passivated contacts (TOPCon) on a single wafer is reported. Many steps of the proposed process flow are used for the fabrication of both devices, enabling cost-effective integration of the MOSFET. Both n-type solar cells with integrated p-channel MOSFETs (PMOS) and p-type solar cells with integrated n-channel MOSFETs (NMOS) are successfully manufactured. NMOS devices perform better in achieving low on-resistance, while PMOS devices exhibit lower leakage currents. Furthermore, the study reveals integration challenges where off-state leakage currents of the MOSFET can increase due to illumination and specific configurations of monolithic interconnections between the MOSFET and the solar cell. Nevertheless, for both n-type and p-type solar cells, efficiencies exceeding 20% are achieved, highlighting the potential of the proposed process for COSMOS devices.","COSMOS; crystalline silicon; integration; interdigitated back contact; monolithic integration; MOSFET; photovoltatronics; polycrystalline silicon on oxide; power electronics; tunnel-oxide passivated contacts","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:39016597-6bf1-4636-89c2-96cf9cf1707e","http://resolver.tudelft.nl/uuid:39016597-6bf1-4636-89c2-96cf9cf1707e","An integrated approach to quantitative resilience assessment in process systems","Sun, H. (TU Delft Safety and Security Science; Anhui University of Technology; China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Wang, Haiqing (China University of Petroleum (East China))","","2024","Chemical process systems are becoming more automated and complex, which leads to increased interaction and interdependence between the human and technical elements of process systems. This urges the need for updating the safety assessment method by treating “safety” as an emergent property of a system. Uncertainty comes together with complexity. To enhance system ability of dealing with uncertain disruptions, this paper proposes a quantitative resilience assessment method by modeling the failure propagation (initiated by a disruption) across the functional units of a system. The Functional Resonance Analysis Method (FRAM) is utilized to model the system operation to represent the relationship among its function units and to consider the interactions among human-technical factors. Then, a Cascading Failure Propagation Model (CFPM) is developed to quantify the fault propagation process and reflect the system functionality changes over time for resilience assessment. The proposed method is applied to a propane-feeding control system. The results show that it can help practitioners understand the process of fault propagation and risk increase, identify potential ways to design a more resilient system to respond to uncertain disruptions/attacks, and provide a real-time dynamic resilience profile to support decision-making.","Human-technical systems, FRAM, resilience; Process safety","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Safety and Security Science","","",""
"uuid:1e058c45-670b-48bb-8645-9ad735386b97","http://resolver.tudelft.nl/uuid:1e058c45-670b-48bb-8645-9ad735386b97","Quantifying the heterogeneous impacts of the urban built environment on traffic carbon emissions: New insights from machine learning techniques","Zhi, Danyue (Beijing Jiaotong University; Technische Universität München); Zhao, Hepeng (China Mobile Group Design Institute Co., Ltd.); Chen, Yan (Beijing Jiaotong University); Song, Weize (Tsinghua University); Song, Dongdong (Beijing Jiaotong University); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University)","","2024","The configuration of the urban built environment is critical for promoting sustainability and achieving carbon neutrality. However, existing studies mostly use linear and spatial econometric models to investigate the relationship between urban built environments and traffic carbon dioxide (CO2) emissions, in-depth studies exploring the heterogeneous impacts of related features on traffic CO2 emission by interpretive machine learning models are scarce. Hence, we extract four dimensionless features to depict the size, compactness, irregularity, and isolation of built-up areas, and road network-related features (i.e., average cluster coefficient, road topological density, and road geometric density), respectively. Subsequently, we develop an interpretive machine learning framework based on the extracted features related to the urban built-up areas and road networks. The interpretive results of the proposed framework uncover that urban morphological features, especially population density (POP), GDP per capita (GDPpc), and urban physical compactness (UPC), have a heterogeneous impact on the per capita traffic emission (PCCE) across different cities. GDPpc is more like a linear relationship with PCCE, and UPC has a significant influence on PCCE when its value is between 62% and 78%. Our results also reveal the nonlinear relationships and interactive effects between these features, providing the implications of urban morphological planning and carbon emission reduction.","Heterogeneous impact; Machine learning; Traffic carbon; Urban built environment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-24","","","Transport and Planning","","",""
"uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","http://resolver.tudelft.nl/uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","Machine learning assisted discovery of high-efficiency self-healing epoxy coating for corrosion protection","Liu, Tong (University of Science and Technology Beijing; Shenyang University of Chemical Technology); Chen, Zhuoyao (University of Science and Technology Beijing); Yang, Jingzhi (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing; Liaoning Academy of Materials); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing; Liaoning Academy of Materials)","","2024","Machine learning is a powerful means for the rapid development of high-performance functional materials. In this study, we presented a machine learning workflow for predicting the corrosion resistance of a self-healing epoxy coating containing ZIF-8@Ca microfillers. The orthogonal Latin square method was used to investigate the effects of the molecular weight of the polyetheramine curing agent, molar ratio of polyetheramine to epoxy, molar content of the hydrogen bond unit (UPy-D400), and mass content of the solid microfillers (ZIF-8@Ca microfillers) on the low impedance modulus (lg|Z|0.01Hz) values of the scratched coatings, generating 32 initial datasets. The machine learning workflow was divided into two stages: In stage I, five models were compared and the random forest (RF) model was selected for the active learning. After 5 cycles of active learning, the RF model achieved good prediction accuracy: coefficient of determination (R 2) = 0.709, mean absolute percentage error (MAPE) = 0.081, root mean square error (RMSE) = 0.685 (lg(Ω·cm2)). In stage II, the best coating formulation was identified by Bayesian optimization. Finally, the electrochemical impedance spectroscopy (EIS) results showed that compared with the intact coating ((4.63 ± 2.08) × 1011 Ω·cm2), the |Z|0.01Hz value of the repaired coating was as high as (4.40 ± 2.04) × 1011 Ω·cm2. Besides, the repaired coating showed minimal corrosion and 3.3% of adhesion loss after 60 days of neutral salt spray testing.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:474ccbb7-d086-471e-a54b-bc9fa9c91444","http://resolver.tudelft.nl/uuid:474ccbb7-d086-471e-a54b-bc9fa9c91444","Flexible High-Sensitivity Strain Sensor Fabricated with Pdms Micro-Channel Array Using Laser Transmission Pyrolysis Technology","Wang, S. (TU Delft Bio-Electronics); Zong, Qihang (Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Ye, Huaiyu (Southern University of Science and Technology); French, P.J. (TU Delft Bio-Electronics)","","2024","In recent years, flexible strain sensors based on metal cracks have garnered significant interest for their exceptional sensitivity. However, striking a balance between sensitivity and detection range remains a significant challenge, which often limits its wider application. Herein, we introduce an innovative laser transmission pyrolysis technology to fabricate high-performance flexible strain sensors based on (Au) metal cracks with a microchannel array on the PDMS surface. The fabricated flexible strain sensors exhibit high sensitivity, wide detection range, precise strain resolution, fast response and recovery times, and robust durability. Furthermore, this technology has potential applications in microfluidics, microelectromechanical systems, and optical sensing.","Stretchable strain sensor; Metal film; UV laser; Laser transmission pyrolysis; PDMS pattering","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Bio-Electronics","","",""
"uuid:a29c1fb2-05f7-4560-a053-9e02163a7560","http://resolver.tudelft.nl/uuid:a29c1fb2-05f7-4560-a053-9e02163a7560","Release of phosphorus through pretreatment of waste activated sludge differs essentially from that of carbon and nitrogen resources: Comparative analysis across four wastewater treatment facilities","Deng, Shaoyu (Beijing Forestry University); Liu, J. (Beijing Forestry University); Yang, Xiaofan (Beijing Forestry University); Sun, Dezhi (Beijing Forestry University); Wang, Aijie (Harbin Institute of Technology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Cheng, Xiang (Beijing Forestry University)","","2024","The accumulation of phosphorus in activated sludge in wastewater treatment plants (WWTPs) provides potential for phosphorus recovery from sewage. This study delves into the potential for releasing phosphorus from waste activated sludge through two distinct treatment methods—thermal hydrolysis and pH adjustment. The investigation was conducted with activated sludge sourced from four WWTPs, each employing distinct phosphorus removal strategies. The findings underscore the notably superior efficacy of pH adjustment in solubilizing sludge phosphorus compared to the prevailing practice of thermal hydrolysis, widely adopted to enhance sludge digestion. The reversibility of phosphorus release within pH fluctuations spanning 2 to 12 implies that the release of sludge phosphorus can be attributed to the dissolution of phosphate precipitates. Alkaline sludge treatment induced the concurrent liberation of COD, nitrogen, and phosphorus through alkaline hydrolysis of sludge biomass and the dissolution of iron or aluminium phosphates, offering potential gains in resource recovery and energy efficiency.","Activated sludge; Alkaline treatment; Resource recovery; Thermal hydrolysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","BT/Environmental Biotechnology","","",""
"uuid:7283ac18-a8fa-4a90-966b-30999a3ee918","http://resolver.tudelft.nl/uuid:7283ac18-a8fa-4a90-966b-30999a3ee918","Risk assessment methods for process safety, process security and resilience in the chemical process industry: A thorough literature review","Bin Ab Rahim, M.S. (TU Delft Safety and Security Science; Ministry of Human Resources); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Bajpai, Shailendra (Dr B.R. Ambedkar National Institute of Technology)","","2024","This paper presents a systematic literature review of risk assessment methods in the chemical process industry (CPI), focusing on process safety, process security, and resilience. We analyzed peer-reviewed articles from 2000 to 2022 using the PRISMA methodology and identified twelve predominant methods. Our findings reveal a shift towards dynamic, systemic-based assessments like the Functional Resonance Analysis Method (FRAM) and System-Theoretic Accident Model and Processes (STAMP). These methods are particularly effective at capturing the complexities of sociotechnical systems in the CPI. However, a significant observation from our review is the limited emphasis on the resilience paradigm within many existing methods when addressing both process safety and process security risks, which is crucial for preventing and recovering from disruptions. Given the evolving challenges in system safety and security threats, there is an urgent need for holistic methods that integrate process safety, process security, and resilience. Our review highlights the opportunity for further research to better prepare the industry for future challenges, ensuring safer, more secure, reliable, and resilient operations.","Chemical process industry; Process safety; Process security; Resilience; Risk assessment; Sociotechnical systems","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:83bf377c-cb5d-4a4c-a018-8054c23fca50","http://resolver.tudelft.nl/uuid:83bf377c-cb5d-4a4c-a018-8054c23fca50","System-Wide Effects of Local Bed Disturbance on the Morphological Evolution of a Bifurcating Channel Network","Gao, Weilun (Guangdong University of Technology); Shao, Dongdong (Beijing Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares); Zhu, Zhenchang (Guangdong University of Technology; Southern Marine Science and Engineering Guangdong Laboratory); Yang, Zhifeng (Guangdong University of Technology; Southern Marine Science and Engineering Guangdong Laboratory)","","2024","Deltaic channel networks are important conduits for water and material supplies to the fluvial and coastal communities. However, increasing human interventions in river deltas have altered the topology and geometry of channel networks as well as their long-term evolution. While the morphological evolution of a single channel has received extensive studies, the system-wide morphological responses of channel networks to local disturbances remain largely unclear. Here we investigate the morphological responses of a bifurcating channel network subject to local disturbance of channel deepening due to dredging and sand mining through idealized simulations, and further compare the results with the reference scenarios of a single channel and theoretical analysis of the phase plane. The results show that the infilling of the local deepening is associated with the erosion of the entire branch, which also causes system-wide effects on the siltation of the other branch. The morphological responses of the bifurcating channel network consist of a relatively short stage for the infilling of the local deepening followed by a relatively long stage for recovering the equilibrium configuration of the river bifurcation. The system-wide effects of the local disturbance arise from the altered water surface slope and water partitioning downstream of the bifurcation due to the local deepening. Also, the prolonged recovery of the equilibrium configuration is consistent with theoretical analysis, which reveals a slow evolution of the bifurcation when approaching the equilibrium. Our results can help understand the long-term morphological responses of large-scale complex channel networks and inform water managements under increasing human interventions.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Coastal Engineering","","",""
"uuid:95828fcd-3ab5-4d1b-85b5-a5e209d9ca4f","http://resolver.tudelft.nl/uuid:95828fcd-3ab5-4d1b-85b5-a5e209d9ca4f","Failure probability estimation of natural gas pipelines due to hydrogen embrittlement using an improved fuzzy fault tree approach","Qin, Guojin (Southwest Petroleum University; Shanghai Jiao Tong University); Li, Ruiling (Southwest Petroleum University); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Wang, Bohong (Zhejiang Ocean University); Ni, Pingan (Xi'an University of Architecture and Technology); Wang, Yihuan (Southwest Petroleum University; Shanghai Jiao Tong University)","","2024","The estimation of failure probability is challenging in hydrogen embrittlement in steel pipelines due to the complexity of the synergistic effect of multiple factors. The present study proposed a hybrid methodology to estimate the failure probability of steel pipelines due to hydrogen embrittlement. The methodology integrates the fault tree analysis with a fuzzy comprehensive evaluation. Fault tree analysis captures the logical relationships between influencing indicators to develop a new assessment model of hydrogen embrittlement in steel pipelines. An improved fuzzy fault tree analysis method was proposed to process aleatoric and epistemic uncertainties to estimate the probability of each basic event due to the difficulty in obtaining the actual probabilities. The failure probability of blended hydrogen natural gas pipelines was estimated by considering the correlation of events. A case study demonstrated the applicability of the proposed method. Maintenance measures can be implemented according to the evaluation results to ensure pipeline safety.","Blended hydrogen natural gas pipelines; Failure probability estimation; Fuzzy fault tree analysis; Hydrogen blistering; Hydrogen embrittlement; Hydrogen-induced cracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Safety and Security Science","","",""
"uuid:a4085c4b-de19-4894-8c45-ea6b684bfe3c","http://resolver.tudelft.nl/uuid:a4085c4b-de19-4894-8c45-ea6b684bfe3c","Centimeter-Level Indoor Visible Light Positioning","Zhu, R. (TU Delft Embedded Systems); Van Den Abeele, Maxim (Katholieke Universiteit Leuven); Beysens, Jona (CSEM SA); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","","2024","Visible light positioning (VLP) based on the received signal strength (RSS) can leverage a dense deployment of LEDs in future lighting infrastructure to provide accurate and energy-efficient indoor positioning. However, its positioning accuracy heavily depends on the density of collected fingerprints, which is labor-intensive. In this work, we propose a data pre-processing method, including data cleaning and data augmentation, to construct reliable and dense fingerprint samples, thereby alleviating the impact of noisy samples as well as reducing labor intensity. Extensive experiments demonstrate that our proposed method achieves an average positioning error of 1.7 cm, utilizing a sparse dataset that reduces the fingerprint collection effort by 98 percent. Running a tinyML-based model for VLP on the Arduino Nano microcontroller, we also show the possibilities for deploying RSS fingerprint-based VLP systems on resource-constrained embedded devices for real-world applications.","","en","journal article","","","","","","","","2024-09-30","","","Embedded Systems","","",""
"uuid:d3cdc49f-3d2c-4b85-b8f4-0f63af6193d8","http://resolver.tudelft.nl/uuid:d3cdc49f-3d2c-4b85-b8f4-0f63af6193d8","A 72-Channel Resistive-and-Capacitive Sensor-Interface Chip With Noise-Orthogonalizing and Pad-Sharing Techniques","Feng, Xiangdong (Zhejiang University; Nanhu Brain-Computer Interface Institute); Luo, Yuxuan (Zhejiang University); Cai, Tianyi (Zhejiang University); Xuan, Yangfan (Zhejiang University); Zhang, Yunshan (Microaiot); Shen, Yili (Zhejiang University); Yang, Changgui (Zhejiang University); Xiao, Qijing (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Zhao, Bo (Zhejiang University; Nanhu Brain-Computer Interface Institute)","","2024","The growing trend of the Internet of Things (IoT) involves trillions of sensors in various applications. An extensive array of parameters need to be gathered concurrently with high-precision, low-cost, and low-power sensor nodes, such as resistive (R) and capacitive (C) sensors. Single-chip channel fusion can be an effective solution, while it is challenging to suppress the noise and integrate massive I/O pads. However, conventional oversampling noise-shaping methods increase power consumption, which fails to meet the demand of long-term monitoring applications. In addition, existing R/C sensor-interface chips require a pair of I/O pads for each sensor, where the pad frame dominates the overall chip area in massive-channel integration. In this work, we demonstrate a 72-channel R&C sensor-interface chip for proximity-and-temperature sensing. A noise-orthogonalizing technique is proposed to eliminate the quantization noise at the signal frequencies, achieving an energy efficiency of 19.1 pJ/step/channel. Moreover, a pad-sharing technique is proposed to reduce the number of I/O pads by half, enabling 72 sensors to be read by 36 pairs of I/O pads. The chip is fabricated by 65-nm CMOS technology, and measurement results show resolutions of 286 Omega and 162 fF, respectively. The power consumption and die area are reduced to 0.74 mu text{W} /Channel and 0.038 mm2/Channel, respectively.","Low power; multichannel sensing; noise-orthogonalizing; pad-sharing; sensor interface","en","journal article","","","","","","","","2024-07-23","","","Electronic Instrumentation","","",""
"uuid:6f2d7bae-5777-4e37-aa8a-875e2704e62a","http://resolver.tudelft.nl/uuid:6f2d7bae-5777-4e37-aa8a-875e2704e62a","Optimizing safety barrier allocation to prevent domino effects in large-scale chemical clusters using graph theory and optimization algorithms","Zhang, Muchen (Nanjing Tech University); Li, Zelin (Nanjing Tech University); Hou, Shuya (Nanjing Tech University); Deng, Siyu (Nanjing Tech University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Zhang, Bin (Nanjing Tech University)","","2024","Domino effects are high-impact low-probability events that can have catastrophic consequences. To prevent and to reduce risks related to such events, safety barriers (SBs) are crucial. However, the initiation, propagation, and stopping processes of domino effects are characterized with complexity and uncertainties and hence they are unpredictable. This makes it challenging to allocate SBs based on predicted probabilities. In this study, a multi-objective optimization model which integrates graph theory with Non-dominated Sorting Genetic Algorithm II (NSGA-II) was proposed to allocate add-on SBs effectively. Graph metrics were used to quantify the escalation risks related to storage tanks and to optimize the allocation of add-on SBs, thereby minimizing the consequences of a domino effect under a budget constraint. The results of the case study demonstrate great efficiency in finding globally optimal strategies with a largest reduction of 94.3% in the out-closeness score due to the implementation of add-on SBs, allowing decision-makers to choose the most preferable investment strategy in face of domino effect risk. Our study therefore provides a novel approach to achieve an optimal allocation of add-on SBs globally and can be useful in preventing domino effects in large-scale chemical clusters equipped with a large number of storage tanks.","Domino effects; Graph theory; Multi-objective optimization; NSGA-II; Safety barriers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-22","","","Safety and Security Science","","",""
"uuid:cf120d58-14a9-425a-b26f-0562b402a494","http://resolver.tudelft.nl/uuid:cf120d58-14a9-425a-b26f-0562b402a494","Hexagonal Boron Nitride Spacers for Fluorescence Imaging of Biomolecules","Yang, X. (TU Delft Dynamics of Micro and Nano Systems); Shin, D. (TU Delft Dynamics of Micro and Nano Systems); Yu, Z. (TU Delft Dynamics of Micro and Nano Systems); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, Takashi (National Institute for Materials Science); Babenko, Vitaliy (University of Cambridge); Hofmann, Stephan (University of Cambridge); Caneva, S. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Fluorescence imaging is an invaluable tool to investigate biomolecular dynamics, mechanics, and interactions in aqueous environments. Two-dimensional materials offer large-area, atomically smooth surfaces for wide-field biomolecule imaging. Despite the success of graphene for on-chip biosensing and biomolecule manipulation, its strong fluorescence-quenching properties pose a challenge for biomolecular investigations that are based on direct optical readouts. Here, we employ few-layer hexagonal boron nitride (hBN) as a precisely tailorable fluorescence spacer between labelled lipid membranes and graphene substrates. By stacking high-quality hBN crystals in the 10–20 nm thickness range on monolayer graphene, we observe distance-dependent fluorescence intensity variations. Remarkably, with hBN spacers as thin as 20 nm, the fluorescence intensity is comparable to bare SiO2/Si substrates, while the intensity was reduced to 60 % and 80 % with ~10 nm and ~16 nm hBN thicknesses respectively. We confirm that pre-determined hBN thicknesses can be employed to control the non-radiative energy transfer properties of graphene, with fluorescence quenching following a d−4 distance-dependent behaviour. This seamless integration of electronically active and dielectric van der Waals materials into vertical heterostructures enables multifunctional platforms addressing the manipulation, localization, and visualization of biomolecules for fundamental biophysics and biosensing applications.","fluorescence; graphene; hexagonal boron nitride (hBN); lipids; quenching","en","journal article","","","","","","D.H.S. and S.C. acknowledge funding from the European Union's Horizon 2020 research and innovation program (ERC StG, SIMPHONICS, Project No. 101041486). S.C. acknowledges a Delft Technology Fellowship. X.Y. acknowledges funding from the Chinese Scholarship Council (Scholarship No. 202108270002). Z.Y. acknowledges funding from NWO (Project MechanoPore).","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:e8654a0b-70ad-4eb7-b476-effd3c809477","http://resolver.tudelft.nl/uuid:e8654a0b-70ad-4eb7-b476-effd3c809477","MRHF: Multi-stage Retrieval and Hierarchical Fusion for Textbook Question Answering","Zhu, P. (TU Delft Web Information Systems); Wang, Zhen (Tokyo Institute of Technology); Okumura, Manabu (Tokyo Institute of Technology); Yang, J. (TU Delft Web Information Systems)","Rudinac, Stevan (editor); Worring, Marcel (editor); Liem, Cynthia (editor); Hanjalic, Alan (editor); Jónsson, Björn Pór (editor); Yamakata, Yoko (editor); Liu, Bei (editor)","2024","Textbook question answering is challenging as it aims to automatically answer various questions on textbook lessons with long text and complex diagrams, requiring reasoning across modalities. In this work, we propose MRHF, a novel framework that incorporates dense passage re-ranking and the mixture-of-experts architecture for TQA. MRHF proposes a novel query augmentation method for diagram questions and then adopts multi-stage dense passage re-ranking with large pretrained retrievers for retrieving paragraph-level contexts. Then it employs a unified question solver to process different types of text questions. Considering the rich blobs and relation knowledge contained in diagrams, we propose to perform multimodal feature fusion over the retrieved context and the heterogeneous diagram features. Furthermore, we introduce the mixture-of-experts architecture to solve the diagram questions to learn from both the rich text context and the complex diagrams and mitigate the possible negative effects between features of the two modalities. We test the framework on the CK12-TQA benchmark dataset, and the results show that MRHF outperforms the state-of-the-art results in all types of questions. The ablation and case study also demonstrates the effectiveness of each component of the framework.","Information Retrieval; Mixture-of-Experts; Textbook Question Answering","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Web Information Systems","","",""
"uuid:d8cc86d0-4c6b-481c-baed-fae5b285d72c","http://resolver.tudelft.nl/uuid:d8cc86d0-4c6b-481c-baed-fae5b285d72c","Astral Body: A Virtual Reality Game for Body Ownership Investigation","Zhou, Yimin (Student TU Delft); Gillavry, Merlijn Mac (Student TU Delft); Yang, Pengzhi (Student TU Delft); Xu, Zihao (Student TU Delft); Zhang, Baitian (Student TU Delft); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","Dondio, Pierpaolo (editor); Rocha, Mariana (editor); Brennan, Attracta (editor); Schönbohm, Avo (editor); de Rosa, Francesca (editor); Koskinen, Antti (editor); Bellotti, Francesco (editor)","2024","As one of the most disruptive human-computer interaction techniques, Virtual Reality (VR) provides a novel way to examine human movements, e.g. when investigating Body Ownership (BO) in the field of cognitive sciences, especially when the visual output diverges from real-world actions. Previous research in BO uses questionnaires and brain imaging, where the former is a highly subjective metric, and the latter is very costly in time, money, and personnel. To answer the question How can a VR serious game help overcome current challenges of BO assessment?, we designed Astral Body, a VR game that helps cognitive science researchers assess people’s level of BO. In the game, players are asked to grab ‘flying collectibles’ coming from a portal in space. Researchers can inject different types and levels of asynchrony into the arms of the visualized avatar, thus affecting the players’ BO experience and perception. Players, in turn, can also report whenever they perceive possible mismatched avatar behavior. In addition, researchers can analyze player data, including looking for unconscious responses, e.g. small adjustments in physical movements to mitigate injected asynchrony. Preliminary results from playtesting and qualitative analysis of Astral Bodyindicate that a VR game can effectively help researchers investigate BO phenomena.","Body ownership; Control asynchrony; Virtual reality","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Computer Graphics and Visualisation","","",""
"uuid:81bbc3bc-4ecf-4606-b100-4cb7e837c29b","http://resolver.tudelft.nl/uuid:81bbc3bc-4ecf-4606-b100-4cb7e837c29b","Comprehensive properties assessment of asphalt binder under aqueous solutions with different pH values and its gradient damage behaviors","Zou, Yingxue (Wuhan University of Technology); Wu, Shaopeng (Wuhan University of Technology); Chen, Anqi (Wuhan University of Technology); Liu, Quantao (Wuhan University of Technology); Amirkhanian, Serji (University of Alabama); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Yang, Chao (Hubei University of Technology); Wan, Pei (Wuhan University of Technology); Xu, Haiqin (Wuhan University of Technology); Lu, Ziyu (Wuhan University of Technology)","","2024","This study characterized the morphology, high-temperature property, rheological property, adhesion, cohesion, and chemical component of asphalt binder under aqueous solutions of different pH values. Then the physicochemical properties of asphalt binder were comprehensively evaluated by the improved radar chart. The properties of asphalt binder stripped layer-by-layer were explored to elucidate its gradient damage behaviors. The results indicate that the pH 3 solution reveals the greatest impact on the morphology of 90 asphalt (90 A) and styrene-butadiene-styrene modified asphalt (SBS MA), leading to the wide cracks on 90 A and the network cracks on SBS MA. The comprehensive assessment index of 90 A exposed to pH 3, pH 5, pH 7, pH 9, and pH 11 solutions can be reduced by 20.8%, 20.2%, 1.5%, 14.0%, and 25.5%, respectively. While for SBS MA, its corresponding values variation are 23.2%, 17.7%, 4.6%, 8.7%, and 13.0%, respectively. The acid solutions significantly affect the comprehensive properties of 90 A, but their pH value has little effect. 90 A exposure to the higher pH value of alkali solution reveals the worse comprehensive properties. Acid solute and alkali solute can aggravate the effect of aqueous solution on the comprehensive properties of SBS MA, and the degree of aggravation increases with the increase of solute concentration. The properties of asphalt binders exhibit varied gradient damage behaviors under different solute environments. The most serious damage occurs at 25–50 µm of 90 A and 0–50 µm of SBS MA. This study facilitates an accurate understanding of the mechanism of pavement distresses and composition design and construction utilization of asphalt binders.","Aqueous solutions; Asphalt binder; Comprehensive assessment; Gradient damage behaviors; pH value","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-17","","","Materials and Environment","","",""
"uuid:56d2698c-797e-46d7-a068-674a0bce85f7","http://resolver.tudelft.nl/uuid:56d2698c-797e-46d7-a068-674a0bce85f7","Temporal stability of the impact of factors determining drivers’ injury severities across traffic barrier crashes in mountainous regions","Song, Dongdong (Beijing Jiaotong University); Yang, Xiaobao (Beijing Jiaotong University); Ch. Anastasopoulos, Panagiotis (University at Buffalo, State University of New York); Zu, Xingshui (Guiyang Public Security Traffic Administration Bureau); Yue, Xianfei (Shandong Police College); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University)","","2023","Traffic barrier crashes have been a major concern in many prior studies in traffic safety literature, especially in the crash-prone sections of mountainous regions. However, the effect of factors affecting the injury-severities resulting from crashes involving different types of traffic barriers may be different. This paper provides an empirical assessment of the performance of ordered and unordered discrete outcome models for examining the impact of exogenous factors determining the driver injury-severity of crashes involving two types of traffic barriers in mountainous regions: w-beam barriers and cable barriers. For the ordered framework, the alternative modeling approaches include: the generalized ordered logit (GOL) and the random thresholds random parameters generalized ordered logit model (RTRPGOL). Whereas, for the unordered framework, the alternative modeling approaches include: the multinomial logit (MNL), the random parameters multinormal logit (RPL), and the random parameters multinormal logit model with heterogeneity in the means and variances (RPLHMV). Using injury-severity data from 2016 to 2019 for mountainous regions in Guiyang City, China, three injury-severity categories are determined as outcome variables: severe injury (SI), minor injury (MI), and no injury (NI), while the potential influencing factors including drivers-, vehicles-, road-, and environment-specific characteristics are statistically analyzed. The model estimation results show: (a) that the MNL model statistically outperforms the GOL model in terms of goodness-of-fit measures; (b) the RTRPGOL model is statistically superior to the MNL and RPL models; and (c) the RPLHMV model is statistically superior to the RTRPGOL model, and therefore the preferred option among the model alternatives. To that end, the RPLHMV model is leveraged to quantitatively describe the impact of explanatory variables on the driver injury-severity and explore how these factors change over the years (between 2016–2017 and 2018–2019). The results further show that the factors affecting driver injury severities and the effects of significant factors on injury severity probabilities change across traffic barrier crash models and across years. In addition, the results of the temporal effects analysis show that some variables present relative temporal stability, which is important for formulating long-term strategies to enhance traffic safety on mountainous roads. Most importantly, the effects of the explanatory factors that exhibit relative temporal stability are found to vary across traffic barrier crashes. For example, trucks, daylight, curved section segments, and high-speed limit (greater than 55 mph) are some of the factors that have opposite effects between traffic barrier crash models. The findings from this paper are expected to help policy makers to take necessary measures in reducing traffic barrier crashes in mountainous regions by forming appropriate strategies, and by allocating properly their available resources at the pre-planning phase.","Comparison of discrete outcome models; Generalized ordered logit; Heterogeneity in means; Heterogeneity in variances; Injury severity; Mountainous regions; Multinormal logit; Random parameters; Random thresholds; Temporal stability; Traffic barrier","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Transport and Planning","","",""
"uuid:ca5a81c2-f895-4638-bce5-1423a5943381","http://resolver.tudelft.nl/uuid:ca5a81c2-f895-4638-bce5-1423a5943381","Risk Aversion and Guided Exploration in Safety-Constrained Reinforcement Learning","Yang, Q. (TU Delft Algorithmics)","Spaan, M.T.J. (promotor); Tindemans, Simon H. (copromotor); Delft University of Technology (degree granting institution)","2023","In traditional reinforcement learning (RL) problems, agents can explore environments to learn optimal policies through trials and errors that are sometimes unsafe. However, unsafe interactions with environments are unacceptable in many safety-critical problems, for instance in robot navigation tasks. Even though RL agents can be trained in simulators, there are many real-world problems without simulators of sufficient fidelity. Constructing safe exploration algorithms for dangerous environments is challenging because we have to optimize policies under the premise of safety. In general, safety is still an open problem that hinders the wider application of RL.","Reinforcement Leaning (RL); constrained optimization; quantile regression; taskagnostic exploration","en","doctoral thesis","","978-94-6384-458-1","","","","","","","","","Algorithmics","","",""
"uuid:90fa09ff-c9a2-4d9d-92f1-06ae65159ff4","http://resolver.tudelft.nl/uuid:90fa09ff-c9a2-4d9d-92f1-06ae65159ff4","Cost-effective maintenance of safety and security barriers in the chemical process industries via genetic algorithm","Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Bai, Y. (TU Delft Safety and Security Science; China University of Mining and Technology (Beijing))","","2023","Chemical plants face safety hazards and security threats that may induce catastrophic scenarios. Safety and security barriers are employed widely to protect chemical plants from accidental and intentional undesired events and mitigate consequences. Managing safety and security barriers effectively and economically is a research topic with practical significance. The analysis of undesired event scenarios, including both accidental and intentional adverse scenarios, and assessing associated safety and security barriers are critical regarding cost-efficient barrier maintenance. This study proposes a novel approach for optimizing safety and security barrier maintenance strategy considering economic constraints. This approach consists of three steps: scenario building and barrier identification, barrier modeling, and determining optimal barrier maintenance intervals. In the proposed approach, accident scenarios in terms of safety and physical security are constructed using the extended bow-tie diagrams. After associated safety and security barriers are identified, a system simulation model is developed to conduct barrier modeling based on MATLAB/Simulink simulations, in which the barrier maintenance, the impacts of human and organizational barriers, and the correlations between barriers caused by shared components are considered. Finally, a combination of cost-effectiveness analysis (CEA) and genetic algorithm (GA) is employed to support the decision-making on barrier maintenance optimization. An illustrative case is employed in this study to validate the feasibility of the proposed approach.","Barrier maintenance; Barrier modeling; Chemical industry; Cost-effectiveness analysis; Genetic algorithm; Integration of safety and security","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","http://resolver.tudelft.nl/uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","A Control Method for Converter-interfaced Sources to Improve Operation of Directional Protection Elements","Yang, Zhe (Aalborg University); Liu, Zhou (Aalborg University); Zhang, Qi (Siemens Gamesa Renewable Energy AS); Chen, Zhe (Aalborg University); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","The traditional fault control strategy of converter-interfaced renewable energy sources (CIRESs) may bring about a lower sensitivity level or misoperation of fault component-based directional elements. To overcome this problem, a new control scheme is proposed to adjust sequence impedance angles of CIRESs by computing suitable current references of the CIRES controller. Meanwhile, these current references are maximized by an iterative algorithm to make full use of the short-circuit capacity of CIRESs. The proposed control scheme is applicable to various faulty conditions such as different fault types, power factors, weak grids, and larger fault resistances. Compared with the new directional elements that need to update protection algorithms, the proposed control strategies can make CIRESs compatible with the existing directional elements whilst the necessary fault ride-through (FRT) requirements can still be satisfied. Furthermore, all the controller parameters are not required to be revised based on the detected fault type, even with only local measured data collected. The associated PSCAD simulations, real-time digital simulator (RTDS) testing and the downscale hardware experiment verify the proposed method.","Circuit faults; converter-interfaced renewable energy sources; directional elements; Fault currents; fault ride through; Impedance; impedance angle; Power transmission lines; Security; Voltage control; Voltage measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-06","","","Intelligent Electrical Power Grids","","",""
"uuid:e473c39b-e977-44fe-bf01-d94292f713db","http://resolver.tudelft.nl/uuid:e473c39b-e977-44fe-bf01-d94292f713db","CEM: Constrained Entropy Maximization for Task-Agnostic Safe Exploration","Yang, Q. (TU Delft Algorithmics); Spaan, M.T.J. (TU Delft Algorithmics)","","2023","Without an assigned task, a suitable intrinsic objective for an agent is to explore the environment efficiently. However, the pursuit of exploration will inevitably bring more safety risks.
An under-explored aspect of reinforcement learning is how to achieve safe efficient exploration when the task is unknown.
In this paper, we propose a practical Constrained Entropy Maximization (CEM) algorithm to solve task-agnostic safe exploration problems, which naturally require a finite horizon and undiscounted constraints on safety costs.
The CEM algorithm aims to learn a policy that maximizes the state entropy under the premise of safety.
To avoid approximating the state density in complex domains, CEM leverages a $k$-nearest neighbor entropy estimator to evaluate the efficiency of exploration.
In terms of safety, CEM minimizes the safety costs, and adaptively trades off safety and exploration based on the current constraint satisfaction. We empirically show that CEM allows learning a safe exploration policy in complex continuous-control domains, and the learned policy benefits downstream tasks in safety and sample efficiency.","Reinforcement Learning; Safe Exploration","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Algorithmics","","",""
"uuid:b20ef73f-46ff-44c0-84c6-38bc0c2ddbf5","http://resolver.tudelft.nl/uuid:b20ef73f-46ff-44c0-84c6-38bc0c2ddbf5","Will SiOx-pinholes for SiOx/poly-Si passivating contact enhance the passivation quality?","Yang, G. (TU Delft Photovoltaic Materials and Devices); Gram, Remon (Student TU Delft); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Yao, Z. (TU Delft Photovoltaic Materials and Devices); Singh, M. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Passivating contacts based on poly-Si have enabled record-high c-Si solar cell efficiencies due to their excellent surface passivation quality and carrier selectivity. The eventual existence of pinholes within the ultra-thin SiOx layer is one of the key factors for carrier collection, beside the tunneling mechanism. However, pinholes are usually believed to have negative impact on the passivation quality of poly-Si passivating contacts. This work studied the influence of the pinhole density on the passivation quality of ion-implanted poly-Si passivating contacts by decoupling the pinhole generation from the dopants diffusion process by means of two annealing steps: (1) a pre-annealing step at high temperature after the intrinsic poly-Si deposition to visualize the formation of pinholes and (2) a post-annealing step for dopants activation/diffusion after ion-implantation. The pinhole density is quantified in the range of 1✕106 to 3✕108 cm2 by the TMAH selective etching approach. The passivation quality is discussed with respect to the pinhole density and the post-annealing thermal budget (TB) for dopants diffusion. The study shows that a moderate pinhole density does not induce doping profile variations that can be detectable by the coarse spatial resolution of ECV measurements. It is surprising that the existence of pinholes in a moderate density within our thickness fixed SiOx layer can effectively enhance the passivation qualities for both n+ and p+ poly-Si passivating contacts. We speculate the reason is due to the enhanced field-effect passivation at the pinhole surrounding. In fact, the variation of the passivation quality depends on the balance between a strengthened field-effect passivation and an excessive local Auger recombination, being both effects induced by the higher and deeper level of dopants diffused into the c-Si surface through the pinholes.","Poly-Si passivating Contacts; Pinhole density; Thermal diffusion budget; Enhanced passivation","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:65bd0389-148b-47c5-8b74-67cdfaa701ce","http://resolver.tudelft.nl/uuid:65bd0389-148b-47c5-8b74-67cdfaa701ce","Numerical Study of Percolation and Seepage Behaviors in Ion-Adsorption-Type Rare Earth Ore Leaching Process","Dianyu, E. (Jiangxi University of Science and Technology); Su, Zhongfang (Jiangxi University of Science and Technology); Zeng, Jia (Jiangxi University of Science and Technology); Yang, Liuyimei (Chinese Academy of Sciences); Li, Jing (Monash University); Xu, Qiang (Xi’an Jiaotong University); Wang, L. (TU Delft Resources & Recycling); Cui, Jiaxin (Jiangxi University of Science and Technology)","","2023","Ionic rare earth ore is a type of featured rare earth ore in China. Its mining process suffers from a long leaching cycle and considerable consumption of leaching agents. Improving mining efficiency requires a sound physical understanding of the leaching process. In this study, the CFD-based numerical model is used to analyze the physical process of leaching through porous media formed by particles. The simulation results indicate that a lower packing porosity and smaller particles packed granular porous medium result in much larger energy dissipation during seepage, and the energy dissipation increases with seepage velocity. It is found that when the seepage velocity increases to a certain high value, the energy dissipation exceeds the value predicted by Darcy’s law, which is mainly caused by liquid turbulence. Additionally, the effect of particle shape is examined. The results show that the granular medium composed of prolate particles causes larger energy dissipation than oblate particles, and spherical particles play the least role. This phenomenon may result from the particle shape affecting the area of the frontal contact surface between particles and liquid. The results provide new insights into the fundamental understanding of percolation and seepage behaviors in the ion-adsorption-type rare earth ore leaching process.","","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:80bd5013-58f2-462b-b453-a7b2d4fdf625","http://resolver.tudelft.nl/uuid:80bd5013-58f2-462b-b453-a7b2d4fdf625","The Human Activity Radar Challenge: Benchmarking based on the ‘Radar signatures of human activities’ dataset from Glasgow University","Yang, Shufan (Edinburgh Napier University); Le Kernec, Julien (University of Glasgow); Romain, Olivier (University of Cergy-Pontoise); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Cadart, Pierre (CentraleSupelec); Fix, Jeremy (Lorraine University); Ren, Chengfang (Université Paris-Saclay); Manfredi, Giovanni (Université Paris Saclay); Letertre, Thierry (University Paris-Saclay)","","2023","","Human activity classification; radar; machine learning; convolutional neural networks","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-07","","","Microwave Sensing, Signals & Systems","","",""
"uuid:cffaaf4b-25ba-4bf5-8e14-2af8e586affe","http://resolver.tudelft.nl/uuid:cffaaf4b-25ba-4bf5-8e14-2af8e586affe","Uncertainty Modeling of a Chemical System with a Flexible Node by Mapping the Fault Tree into the Response Surface Method","Modi, Siddharth (Dharmsihn Desai University); Srinivasa Rao, Meka (Dharmsihn Desai University); Gupta, T.C.S.M. (Research & Development Centre, APAR Industries Ltd); Yang, M. (TU Delft Safety and Security Science)","","2023","This paper elaborates three novel contributions in the field of chemical process safety. The first contribution is the identification and classification of chemical system variabilities into seven broad categories, namely, media, equipment, component, operator, procedural, management, and external (MECOPME). The identified variabilities lead to epistemic and aleatory types of uncertainties in the probabilistic safety analysis. To deal with the uncertainties caused due to the variabilities, a concept of the flexible node is proposed, which demands a failure probability in the flexible range of a lower level to a higher level instead of a fixed static probability. Since the existing techniques are not robust enough to handle the probability range, the classical fault tree is mapped into a statistically more reliable approach of the response surface method (RSM). The unique idea of using RSM in the failure analysis is demonstrated over the fault tree of an overtemperature scenario in a semipilot scale setup for the hydrogenation process and successfully evaluated over an industrial accident of the release prevention barrier scenario. The contour and surface plots of RSM reveal more information than the traditional approach of minimal cut sets. The statistical markers of RSM are a better substitute for the improvement index for sensitivity analysis. The proposed approach deals with chemical system variabilities and the lack of knowledge of exact occurrence probabilities more effectively.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-13","","","Safety and Security Science","","",""
"uuid:9bff63fe-e0b2-4376-9762-c8947edf0ebd","http://resolver.tudelft.nl/uuid:9bff63fe-e0b2-4376-9762-c8947edf0ebd","Identification of internal damages in reinforced concrete slabs using probability density field of acoustic emission events","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2023","To assess the structural capacity of reinforced concrete structures, identifying the internal cracks is important. Acoustic emission (AE) is promising to estimate the location of internal cracks. However, the localization is influenced by many factors like arrival time picking error, presence of crack, etc., resulting in localization error. The error cannot be entirely removed. Considering the inevitable localization error, a probabilistic method was recently developed by the authors. The method estimates the probability of the location of AE events, creating a probability density field of AE events (pdAE field). This method can possibly improve the identification of internal damages. This paper evaluates the performance the pdAE field in identifying internal damages in a reinforced concrete slab. The slab was loaded to failure by a point load. Compared to the conventional localization results, the pdAE field showed a clearer internal crack pattern. Moreover, calculation of the pdAE field was time efficient, thus was suitable for real-time monitoring. With these benefits, the pdAE field indicated the failure of the slab before it occurred.","acoustic emission source localizaton; probability density field; damage identification; internal damages; reinforced concrete structures; Acoustic Emission; source localization","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:e5273899-a91e-49e8-a9d6-acd6ae561005","http://resolver.tudelft.nl/uuid:e5273899-a91e-49e8-a9d6-acd6ae561005","The C1 wedge connection in towers for wind turbine structures, tensile behaviour of a segment test","Cheng, L. (TU Delft Steel & Composite Structures); Yang, Fei (Chang'an University); Winkes, Jasper Stefan (C1 Connections BV); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","This paper shows a part of the analysis of the development of the second generation of the C1 wedge connections for use in offshore wind turbine supporting towers. The novelty of this connection is that bolt failure is avoided under static and fatigue loads. This study aims to investigate the tensile behaviour of the connection by combining the findings of experiments and finite element (FE) analysis. Two specimens subjected to uniaxial and cyclic tensile loading tested until failure are used for illustration. Advanced quasi-static FE analysis results, considering the most detailed geometry and using an explicit dynamic solver, are compared to the experimental results. The FE analysis results agree well with the experimental results. Based on the FE model, a parametric study is carried out to analyse the influence of the bolt grade, friction coefficient between contact surfaces, and preloading force level on mechanical behaviour. Failure modes, bolt force development, and the evolution of gap opening between contacted segments are analysed. Results demonstrate that the tensile fracture of the C1 wedge connection mainly appears in the lower segment. All the investigated parameters have a negligible effect on the connection's ultimate resistance and failure mode. However, the friction coefficient between contact surfaces and bolt preload level significantly affects the connection's local deformation capacity and the response of the bolt stress range. The FE simulation provides practical guidance for designing this connection without bolt failure.","Bolted connection; C1 wedge connection; Experimental study; FE modelling; Tensile behaviour","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:a576fcee-2b86-4344-ae7d-602099a52088","http://resolver.tudelft.nl/uuid:a576fcee-2b86-4344-ae7d-602099a52088","Frequency Tracking Method and Compensation Parameters Optimization to Improve Capacitor Deviation Tolerance of the Wireless Power Transfer System","Wang, Yi (Beijing Jiaotong University); Yang, Zhongping (Beijing Jiaotong University); Lin, Fei (Beijing Jiaotong University); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Compensation capacitors are naturally susceptible to manufacturing defects and aging effects, leading to the degraded performance of a wireless power transfer (WPT) system. This article focuses on the compensation parameters optimization during the design stage and control strategy during the operation phase to improve the inherent capacitor error tolerance of the WPT system. The Sobol sensitivity method is applied to rank the importance of deviations of three capacitors on the transfer characteristics, and then the method of tracking the secondary resonance frequency is proposed. The numerical method is applied to find the optimal compensation parameters, with the constraint that the output voltage change caused by the shift of the designed compensation condition is limited to be less than ±5%. Experimental results show that with the proposed frequency tracking method and compensation parameter optimization, the deviation tolerance index is decreased from 0.485 to 0.363, showing an improvement of 25.2%, and the minimum power factor is increased from 0.78 to 0.89. Besides, the characteristics of constant primary coil current and voltage gain are almost not affected.","Wireless power transfer; compensation errors; detuning tolerance; frequency tracking; parameter optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:6fece11b-8aff-4e20-a670-382cf0c1ab80","http://resolver.tudelft.nl/uuid:6fece11b-8aff-4e20-a670-382cf0c1ab80","Improving rowing performance by adjusting oar blade size and angle","van Nieuwburg, W.C.A.M. (Student TU Delft); van Spreuwel, B.J.J. (Student TU Delft); Tran, Minh Tâm Kevin (Student TU Delft); Yang, M.D. (Student TU Delft); Greidanus, A.J. (TU Delft Fluid Mechanics); Mulder, G. (Student TU Delft); Tummers, M.J. (TU Delft Fluid Mechanics); Westerweel, J. (TU Delft Fluid Mechanics); Suijker, W. (Maritime Research Institute Netherlands (MARIN)); van Wijk, R. (Maritime Research Institute Netherlands (MARIN))","","2023","The principal aim of the work presented here is to investigate and demonstrate that a forward tilted rowing blade would result in a more efficient and effective motion of the blade through the water that would result in a higher boat speed when an equal input power is provided. A 1:5 scaled rowing boat is used to determine the performance of rowing blades with different sizes and blade angles. This is used to validate the results of a previous study where the optimal blade angle of 15 (Formula presented.) with respect to the oar shaft was determined (1). The input power and speed of the rowing boat can be compared between original and modified oar blades. Measurements in a towing tank demonstrate that a modified rowing blade result in faster rowing by 0.4% at the same input power. Maintaining the same stroke rate, the improvement of the blade efficiency is compensated by using a 4–6% increased blade area to yield the same input power.","rowing blade; performance; efficiency; optimisation; robot","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:ac241ea2-5eff-415f-b8e2-cbb15e1ea389","http://resolver.tudelft.nl/uuid:ac241ea2-5eff-415f-b8e2-cbb15e1ea389","On the Importance of Model Selection for CFD Analysis of High Temperature Gas-Solid Reactive Flow; Case Study: Post Combustion Chamber of HIsarna Off-Gas System","Hosseini, A. (TU Delft Team Yongxiang Yang); Hage, Johannes (Tata Steel); Meijer, Koen (Tata Steel); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2023","In this paper a CFD analysis of HIsarna off-gas system for post combustion of CO-H2-carbon particle mixture is presented to evaluate the effect of different sub-models and parameters on the accuracy of predictions and simulation time. The effects of different mesh type, mesh grid size, radiation models, turbulent models, kinetic mechanism, turbulence chemistry interaction models, including and excluding gas-solid reactions, number of reactive solid particles are investigated in detail. Based on the accuracy of the predictions and agreement with counterpart measured values, the best combination is selected and conclusions are derived. It was found that radiation and turbulence chemistry interaction model have a major effect on the temperature and composition profile prediction along the studied off-gas system, compared to the variations in other models. The effect of these two models becomes even more evident when the temperature and fuel content of the flue gas are high.","HIsarna ironmaking; CFD modelling; radiation modelling; combustion modelling; turbulence modelling; gas‐solid reaction; turbulence‐chemistry interaction","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:989cc593-ce94-44c2-aac6-14bf6bdc743e","http://resolver.tudelft.nl/uuid:989cc593-ce94-44c2-aac6-14bf6bdc743e","A resilience assessment framework for complex engineered systems using graphical evaluation and review technique (GERT)","Geng, S. (TU Delft Safety and Security Science; Nanjing University of Aeronautics and Astronautics); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Mitici, Mihaela (Universiteit Utrecht); Liu, Sifeng (Nanjing University of Aeronautics and Astronautics)","","2023","System resilience characterizes the capability of maintaining the required functionality under disruptions, which is of great significance in evaluating the productivity and safety of complex engineered systems. Although most studies conduct resilience assessment from qualitative and quantitative perspectives, system functionality that reflects functional requirements for complex engineered systems needs to be elaborated. In addition, given that complex engineered systems achieve dynamic performance during disruptions, measuring the actual performance under uncertainty is imperative. To this end, this paper develops a quantitative framework to assess the resilience of complex engineered systems. The developed framework comprises three phases, functionality analysis, performance evaluation, and resilience assessment. Firstly, system functionality is analyzed using a functional tree illustrating the relationship between functions. The overall objective, primary functions, and sub-functions are identified according to task requirements. Secondly, system performance is quantified considering uncertain factors through Graphical Evaluation and Review Technique (GERT). Probabilistic branches and network logic are employed to represent the implementation of various functions. Finally, resilience assessment is carried out from the perspectives of anticipation, absorption, adaptation, and restoration abilities. A case study on the satellite network shows the effectiveness of the developed framework. The developed framework determines system functionality based on task requirements, evaluates system performance with limited information, and accurately assesses system resilience.","Complex engineered systems; Functionality analysis; Performance evaluation; Resilience assessment; Risk management","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:3266269f-cb93-4c28-8226-6580fff0a2f6","http://resolver.tudelft.nl/uuid:3266269f-cb93-4c28-8226-6580fff0a2f6","Perspective: Leveraging Human Understanding for Identifying and Characterizing Image Atypicality","Sharifi Noorian, S. (TU Delft Web Information Systems); Qiu, S. (Hunan Institute of Advanced Technology); Sayin, Burcu (Università di Trento); Balayn, A.M.A. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","High-quality data plays a vital role in developing reliable image classification models. Despite that, what makes an image difficult to classify remains an unstudied topic. This paper provides a first-of-its-kind, model-agnostic characterization of image atypicality based on human understanding. We consider the setting of image classification ""in the wild"", where a large number of unlabeled images are accessible, and introduce a scalable and effective human computation approach for proactive identification and characterization of atypical images. Our approach consists of i) an image atypicality identification and characterization task that presents to the human worker both a local view of visually similar images and a global view of images from the class of interest and ii) an automatic image sampling method that selects a diverse set of atypical images based on both visual and semantic features. We demonstrate the effectiveness and cost-efficiency of our approach through controlled crowdsourcing experiments and provide a characterization of image atypicality based on human annotations of 10K images. We showcase the utility of the identified atypical images by testing state-of-the-art image classification services against such images and provide an in-depth comparative analysis of the alignment between human- and machine-perceived image atypicality. Our findings have important implications for developing and deploying reliable image classification systems.","humans in the loop; image atypicality; Image classification; machine learning in the wild","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:4273526d-74ea-429c-95be-cf8dc40212e5","http://resolver.tudelft.nl/uuid:4273526d-74ea-429c-95be-cf8dc40212e5","Environmental research for sustaining quality and integrity of natural habitat and human settlement","Chen, Y. (TU Delft Urban Development Management); Yang, Yizhao (University of Oregon)","","2023","The research on the quality of natural habitats and human settlements has been paid attention to by academia, design professionals and policymakers in recent years. Most of the concern is driven by rapid spatial transformation of and ecological deterioration in both natural habitats and human settlement globally due to the rapid urbanisation process, climate change, and environmental disasters (Franklin, Anderson, Gutiérrez, & Burnham, 2000; Kareiva, Tallis, Ricketts, Daily, & Polasky, 2011; Zhu et al., 2020). Besides the spatial and environmental changes, various social-demographic and economic factors connected with concerns on gender, age and lifestyle also contribute to the evolution of public space and architectural space that influence the daily life of individuals and communities (Carmona, 2014; Moser, 2017). The questions raised here are, what is the status of habitat quality and the spatial quality in and around the human settlements? How can we assess the status? And to what extent can planners and policymakers develop strategies to better balance the need to sustain the quality of nature and human settlement based on quantitative and qualitative assessment and prediction?","","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:e83889c7-ffc0-4d1a-966f-89e87e0cfa0d","http://resolver.tudelft.nl/uuid:e83889c7-ffc0-4d1a-966f-89e87e0cfa0d","EMGSense: A Low-Effort Self-Supervised Domain Adaptation Framework for EMG Sensing","Duan, Di (Shenzhen Research Institute; City University of Hong Kong); Yang, Huanqi (Shenzhen Research Institute; City University of Hong Kong); Lan, G. (TU Delft Embedded Systems); Li, Tianxing (Michigan State University); Jia, Xiaohua (Shenzhen Research Institute; City University of Hong Kong); Xu, Weitao (City University of Hong Kong; Shenzhen Research Institute)","","2023","This paper presents EMGSense, a low-effort self-supervised domain adaptation framework for sensing applications based on Electromyography (EMG). EMGSense addresses one of the fundamental challenges in EMG cross-user sensing—the significant performance degradation caused by time-varying biological heterogeneity—in a low-effort (data-efficient and label-free) manner. To alleviate the burden of data collection and avoid labor-intensive data annotation, we propose two EMG-specific data augmentation methods to simulate the EMG signals generated in various conditions and scope the exploration in label-free scenarios. We model combating biological heterogeneity-caused performance degradation as a multi-source domain adaptation problem that can learn from the diversity among source users to eliminate EMG heterogeneous biological features. To relearn the target-user-specific biological features from the unlabeled data, we integrate advanced self-supervised techniques into a carefully designed deep neural network (DNN) structure. The DNN structure can seamlessly perform two training stages that complement each other to adapt to a new user with satisfactory performance. Comprehensive evaluations on two sizable datasets collected from 13 participants indicate that EMGSense achieves an average accuracy of 91.9% and 81.2% in gesture recognition and activity recognition, respectively. EMGSense outperforms the state-of-the-art EMG-oriented domain adaptation approaches by 12.5%-17.4% and achieves a comparable performance with the one trained in a supervised learning manner.","EMG sensing; biological heterogeneity; domain adaptation; self-supervised learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-18","","","Embedded Systems","","",""
"uuid:33fe7d0c-ab76-4210-a10f-eff8a75b67c1","http://resolver.tudelft.nl/uuid:33fe7d0c-ab76-4210-a10f-eff8a75b67c1","Intermediate-mass black holes: finding of episodic, large-scale, and powerful jet activity in a dwarf galaxy","Yang, Jun (Onsala Space Observatory); Paragi, Zsolt (Joint Institute for VLBI ERIC); Frey, Sándor (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Liao, Mai (University of Science and Technology of China); Liu, Xiang (Xinjiang Astronomical Observatory); Cui, Lang (Xinjiang Astronomical Observatory); Yang, Xiaolong (Chinese Academy of Sciences); Chen, Wen (Onsala Space Observatory; Yunnan Observatories; University of Chinese Academy of Sciences)","","2023","Dwarf galaxies are characterized by a very low luminosity and low mass. Because of significant accretion and ejection activity of massive black holes, some dwarf galaxies also host low-luminosity active galactic nuclei (AGNs). In a few dwarf AGNs, very long baseline interferometry (VLBI) observations have found faint non-thermal radio emission. SDSS J090613.77+561015.2 is a dwarf AGN owning an intermediate-mass black hole (IMBH) with a mass of MBH = 3.6+−2539 × 105M and showing a rarely seen two-component radio structure in its radio nucleus. To further probe their nature, i.e. the IMBH jet activity, we performed additional deep observations with the European VLBI Network (EVN) at 1.66 and 4.99 GHz. We find the more diffuse emission regions and structure details. These new EVN imaging results allow us to reveal a two-sided jet morphology with a size up to about 150 mas (projected length ∼140 pc) and a radio luminosity of about 3 × 1038 erg s−1. The peak feature has an optically thin radio spectrum and thus more likely represents a relatively young ejecta instead of a jet base. The EVN study on SDSS J090613.77+561015.2 demonstrates the existence of episodic, relatively large-scale, and powerful IMBH jet activity in dwarf AGNs. Moreover, we collected a small sample of VLBI-detected dwarf AGNs and investigated their connections with normal AGNs. We notice that these radio sources in the dwarf AGNs tend to have steep spectra and small linear sizes, and possibly represent ejecta from scaled-down episodic jet activity.","galaxies: active; galaxies: dwarf; galaxies: individual: SDSS J090613.77+561015.2; radio continuum: galaxies","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:d3912f8c-8e44-4c97-ace2-6974b8c570bb","http://resolver.tudelft.nl/uuid:d3912f8c-8e44-4c97-ace2-6974b8c570bb","A Level Shifter With Almost Full Immunity to Positive dv/dt for Buck Converters","Yang, Y. (TU Delft Electronic Instrumentation; University of Macau); Huang, Mo (University of Macau); Du, S. (TU Delft Electronic Instrumentation); Martins, Rui P. (University of Macau); Lu, Yan (University of Macau)","","2023","High-frequency buck converters need a fast transition of switching nodes (high dv/dt). Such high dv/dt, especially the positive one, can cause malfunction of a conventional pulse-triggered active-coupled (PTAC) level shifter that is used to control the high-side NMOS switch. In this work, we first discuss the dv/dt immunity of conventional PTAC level shifters. Subsequently, we propose a new scheme to block the noise current during the dv/dt sequence, allowing an almost full immunity to the positive dv/dt. With this scheme, the maximum dv/dt is determined by how well the circuitry is protected from the overvoltage during the dv/dt sequence. We design a 20-V buck converter with this level shifter, fabricated in 180-nm BCD process. Experimental results show it works correctly under a 67-V/ns dv/dt.","buck converter; Buck converters; DC-DC converter; dv/dt immunity; High-voltage techniques; Latches; level shifter; Microelectronics; Switches; Transient analysis; Transistors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-04","","","Electronic Instrumentation","","",""
"uuid:68f121ca-216d-4f48-86c9-4f769b7d8f76","http://resolver.tudelft.nl/uuid:68f121ca-216d-4f48-86c9-4f769b7d8f76","Occupational Health and Safety in China: A Systematic Analysis of Research Trends and Future Perspectives","Chen, Xinglin (Fuzhou University); Yang, Fuqiang (Fuzhou University); Cheng, Shuo (Fuzhou University); Yuan, S. (TU Delft Safety and Security Science)","","2023","The frequent occurrence of various occupational accidents has resulted in significant casualties and occupational disease issues, which hinder economic and social development seriously. The promotion and enhancement of occupational health and safety (OHS) require greater efforts to be made to achieve sustainable economic development, particularly in developing countries. With remarkable progress and achievements that have been made in terms of OHS in China, a systematic and thorough review is needed to gain insight into the development process, current status, and research trends regarding OHS in China. Additionally, pathways for future work need to be discussed to boost the OHS development in China in the new era. Therefore, a systematic literature review is performed in this study to investigate the development of OHS in China with the help of a bibliometric analysis. Firstly, a total of 5675 publications related to OHS in China between 1979 and 2022 were collected from the Web of Science Core Collection (WoSCC) and the Chinese Science Citation Database (CSCD) before being refined manually. Then, the temporal distribution and journal sources of the collected publications were analyzed before the collaboration networks of the “productive institutions” and “productive authors” were discussed. Furthermore, the key research topics (e.g., disease prevention, psychological safety, occupational exposure) and dominant research methods (e.g., epidemiological methods, risk modeling) associated with OHS during different periods were identified and discussed based on the keywords and bibliographic analysis. Finally, the current needs and promising pathways for future work were discussed. It is suggested that the prevention and control of conventional and new occupational diseases, the protection of workers’ occupational health rights and interests, the development and implementation of advanced technologies for OHS, and the development of more sophisticated and efficient health and safety risk assessment models may be focused on to accelerate the development of OHS in China. This study systematically reviews the development processes, current status, and future prospects regarding OHS in China. The results of this study provide valuable insights for researchers and practitioners who are involved in the Chinese OHS development, and the promising pathways for future works are suggested to boost the OHS development in China.","occupational health and safety; China; bibliometric analysis; literature review; research trends","en","review","","","","","","","","","","","Safety and Security Science","","",""
"uuid:d5266b80-7888-47ee-8bf4-edd65112160d","http://resolver.tudelft.nl/uuid:d5266b80-7888-47ee-8bf4-edd65112160d","Editorial: Materials for thermal safety enhancement in energy industries","Zhang, Ying (Wuhan University of Technology); Mao, Binbin (Wuhan University of Technology); Yang, M. (TU Delft Safety and Security Science); Lu, Kaihua (China University of Geosciences, Wuhan); Tian, Fuchao (CCTEG Shenyang Research Institute)","","2023","","energy industry; heatresistant coating; materials; suppression; thermal safety enhancement","en","contribution to periodical","","","","","","","","","","","Safety and Security Science","","",""
"uuid:75c07e94-6cc7-46a6-b68d-ad3c8edb921e","http://resolver.tudelft.nl/uuid:75c07e94-6cc7-46a6-b68d-ad3c8edb921e","EAVS: Edge-assisted Adaptive Video Streaming with Fine-grained Serverless Pipelines","Hou, Biao (Beijing Institute of Technology); Yang, Song (Beijing Institute of Technology); Kuipers, F.A. (TU Delft Networked Systems); Jiao, Lei (University of Oregon); Fu, Xiaoming (University of Göttingen)","","2023","Recent years have witnessed video streaming grad- ually evolve into one of the most popular Internet applications. With the rapidly growing personalized demand for real-time video streaming services, maximizing their Quality of Experience (QoE) is a long-standing challenge. The emergence of the server- less computing paradigm has potential to meet this challenge through its fine-grained management and highly parallel comput- ing structures. However, it is still ambiguous how to implement and configure serverless components to optimize video streaming services. In this paper, we propose EAVS, an Edge-assisted Adaptive Video streaming system with Serverless pipelines, which facilitates fine-grained management for multiple concurrent video transmission pipelines. Then, we design a chunk-level optimiza- tion scheme to address video bitrate adaptation. We propose a Deep Reinforcement Learning (DRL) algorithm based on Proximal Policy Optimization (PPO) with a trinal-clip mechanism to make bitrate decisions efficiently for better QoE. Finally, we implement the serverless video streaming system prototype and evaluate the performance of EAVS on various real-world network traces. Our results show that EAVS significantly improves QoE and reduces the video stall rate, achieving over 9.1% QoE improvement and 60.2% latency reduction compared to state- of-the-art solutions.","Video streaming; Serverless computing; Deep reinforcement learning; Quality of Experience","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-29","","","Networked Systems","","",""
"uuid:46a8c3fa-06b5-4d5f-a5a1-eff9df0c4d99","http://resolver.tudelft.nl/uuid:46a8c3fa-06b5-4d5f-a5a1-eff9df0c4d99","Lock congestion relief in a multimodal network with public subsidies and competitive carriers: a two-stage game model","Yang, Lijuan (Guilin University of Aerospace Technology); Lin, X. (TU Delft Transport and Planning); Li, Eldon Y. (National Chung Cheng University); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2023","Transshipment can be a detour for carriers to bypass congested locks. Therefore, the local government provides subsidies to carriers reluctant to adopt transshipment due to high costs. Using the Three Gorges Dam (TGD) as the subject, we address the interaction between the government and carriers and the rational routine choice for carriers when facing severe congestion. Specifically, we investigate pricing competition among carriers under different scenarios. A two-stage game model based on Evolutionary game theory and Bertrand game is used for the study. The results confirm that: (1) Subsidies for the road alternative can alleviate congestion in waterways transport before TGD; (2) Road transport is an efficient way to alleviate lock congestion, especially under emergency states; (3) Public subsidies for road transport support this change of modes at a reasonable price to shippers. Additionally, carriers with transshipment mode can provide more competitive freight prices and more convenient services to customers.","Bertrand Game; Evolutionary computation; Evolutionary Game Theory; Game theory; Games; Government; Laser mode locking; Pricing; Subsidies; Transportation","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:b67be914-2d4a-4d57-8e5c-b4c719d27e3e","http://resolver.tudelft.nl/uuid:b67be914-2d4a-4d57-8e5c-b4c719d27e3e","城市场景时序 InSAR 形变解译: 问题分析与研究进展","Yang, Mengshi (Yunnan University); Liao, Mingsheng (Wuhan University); Chang, Ling (University of Twente); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2023","Multi-epoch interferometric synthetic aperture radar (InSAR) is a highly effective technique for monitoring deformation in urban areas. However, interpreting InSAR deformation can be challenging due to various factors, including inherent geometric imaging distortion, the intricate structure and deformation properties of targets in urban scenes, and the multiple scattering of microwave signals between objects in urban scenes. This paper discusses the challenges involved in interpreting time-series InSAR deformation: (1) Precisely identifying the location of deformation signals and linking them to their corresponding objects, i.e., determining where the deformation signal occurs, (2) understanding the mechanisms and factors that cause the detected deformation signals, i.e., determining what the deformation signal represents, (3) establishing the connection among the detected deformation signals, the deformation events, and the scattering mechanisms. We suggest a parametric framework to improve the accurate interpretation of InSAR deformation. This framework includes several factors, including kinematic characteristics (deformation rate, cumulative deformation, deformation gradient, and deformation model), geometric parameters (position, size, structure, orientation, and roughness), semantic information (land cover type, terrain morphology, texture, and auxiliary information on natural and anthropogenic disturbance) and physical properties (scattering mechanism, penetrability, extensibility, conductivity, and thermal conductivity). Our approach aims to enhance the representation of coherent points for a better understanding of InSAR deformation. This paper offers a comprehensive overview of the advancements achieved in extracting parameters of InSAR coherent points and interpreting deformation based on geometric parameters, semantic information, and physical properties. High-precision 3D positioning is crucial for InSAR fine monitoring in urban areas. It helps determine the source of deformation signals and facilitates the analysis of deformation mechanisms. Semantic information, such as 3D models, high-resolution optical images, laser point cloud data, and land use data, can aid in interpreting InSAR deformation. By combining InSAR deformation data with a deep learning approach, there is an opportunity to interpret deformations effectively. In urban environments, the scattering mechanism of ground objects is complex. Multiple scattering signals can provide effective observations of deformation and information about the target’s size. However, combining the scattering mechanism of synthetic aperture radar signals to carry out parameter inversion and deformation mechanism interpretation of urban target terrain remains a challenge. The framework, which considers the geometric parameters, semantic information, and physical attributes of InSAR coherent points, will be crucial for deformation interpretation and mechanism cognition. This framework will enable fine deformation monitoring, intelligent recognition, and application in future urban scenes.","InSAR coherent point; InSAR deformation interpretation; time series InSAR technique; urban deformation monitoring","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-10","","","Mathematical Geodesy and Positioning","","",""
"uuid:0b035e0c-e9bd-43fb-93cd-db59f00cbc08","http://resolver.tudelft.nl/uuid:0b035e0c-e9bd-43fb-93cd-db59f00cbc08","Computational Fluid Dynamics Modeling of HIsarna Off-Gas System: Wall Modeling and Heat Loss Prediction","Hosseini, A. (TU Delft Team Yongxiang Yang); Calis, Randy (Tata Steel); van der Plas, Dirk (Tata Steel); Put, Pieter (Tata Steel); Agema, Jelle (Tata Steel); Meijer, Koen (Tata Steel); Hage, Johannes (Tata Steel); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2023","The HIsarna off-gas system wall is a cooling jacket made of cooling pipes arranged in the radial direction and in a circular pattern. Part of the off-gas system cooling pipes are isolated using a low-thermal-conductivity refractory material to protect the cooling pipe from melting and thermal stresses. During long runs and due to thermomechanical stresses, the refractory material is lost, and its thickness is reduced. It is possible to measure the thickness of the refractory layer only during shutdown, which is a disadvantage during long runs. The aim is to investigate the possibility of predicting the thickness of the refractory material by using other parameters that are possible to measure during the operation. A combination of FEM and CFD modeling is used to develop a methodology for detailed wall modeling and refractory material loss prediction. Finite element method (FEM) analysis is used to obtain the thermal properties of the wall using detailed geometries for variable refractory thickness. The obtained properties are then used to build CFD models to study the effect of refractory thickness on wall heat loss, temperature and composition profiles. The proposed procedure is validated against the plant measurement, and according to the findings, it is possible to relate the wall thickness to measured parameters such as heat loss through the walls, temperature and carbon conversion.","computational fluid dynamics (CFD); finite element method (FEM); HIsarna; off-gas system; reflux chamber; heat transfer modeling; wall modeling; shell conduction modeling","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:014217d4-e681-42af-87f2-9466c786c793","http://resolver.tudelft.nl/uuid:014217d4-e681-42af-87f2-9466c786c793","FedNaWi: Selecting the Befitting Clients for Robust Federated Learning in IoT Applications","Zhu, R. (TU Delft Embedded Systems); Yang, M. (TU Delft Embedded Systems); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","","2023","Federated Learning (FL) is an important privacy-preserving learning paradigm that is expected to play an essential role in the future Intelligent Internet of Things (IoT). However, model training in FL is vulnerable to noise and the statistical heterogeneity of local data across IoT clients. In this paper, we propose FedNaWi, a “Go Narrow, Then Wide” client selection method that speeds up the FL training, achieves higher model performance, while requiring no additional data or sensitive information transfer from clients. Our method first selects reliable clients (i.e., going narrow) which allows the global model to quickly improve its performance and then includes less reliable clients (i.e., going wide) to exploit more IoT data of clients to further improve the global model. To profile client utility, we introduce a unified Bayesian framework to model the client utility at the FL server, assisted by a small amount of auxiliary data. We conduct extensive evaluations with 5 state-of-the-art FL methods, on 3 IoT tasks and under 7 different types of label and feature noise. We build an FL testbed with 38 IoT nodes (20 nodes run on Raspberry Pi 4B and 18 nodes run on Jetson Nano) for the evaluation. Our results show that FedNaWi improves the FL accuracy substantially and significantly reduces energy consumption. In particular, FedNaWi improves the accuracy from 35% to 75% in the non-IID Dirichlet setting, and reduces the average energy consumption by 55%.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Embedded Systems","","",""
"uuid:751dc447-830d-4c96-a68d-31aa34f1386b","http://resolver.tudelft.nl/uuid:751dc447-830d-4c96-a68d-31aa34f1386b","Analysis of Injury Severity of Drivers Involved Different Types of Two-Vehicle Crashes Using Random-Parameters Logit Models with Heterogeneity in Means and Variances","Wu, Qiang (Nantong University); Song, Dongdong (Beijing Jiaotong University); Wang, Chenzhu (Southeast University); Chen, Fei (Southeast University); Cheng, Jianchuan (Southeast University); Easa, Said M. (Toronto Metropolitan University); Yang, Y. (TU Delft Transport and Planning); Yang, Wenchen (Broadvision Engineering Consultants Co., Ltd.; Yunnan Key Laboratory of Digital Communications)","","2023","This study proposes random-parameters multinomial logit models, with heterogeneity in means and variances, to explore the differences in the factors influencing injury severities of drivers involved in different types of two-vehicle crashes. The models are verified using crash data from the United Kingdom (UK) over three years (2016–2018). Three types of crashes are separately identified (car-car, car-truck, and truck-truck crashes). In this study, a wide variety of potential variables, including the driver, vehicle, road, and environmental characteristics, are considered, with two possible injury-severity outcomes: severe and slight injury. The results show that unobserved heterogeneity existed for young drivers in both car-car and truck-truck crash models and the 30 mph speed limit in the three separate models. Remarkably variations are observed in crashes involving different types of vehicles. The driver’s age and gender, speeding, sideswipes, presence of junctions, weekdays, unlit, and weather conditions significantly impact driver-injury severities in various types of vehicle crashes. These findings are expected to help policymakers seek to improve highway safety and implement proper safety countermeasures.","","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:ec09aba4-ffc2-4a52-a859-3d6e9285d267","http://resolver.tudelft.nl/uuid:ec09aba4-ffc2-4a52-a859-3d6e9285d267","Coverage and Capacity Analysis for Football Player's Bodycam with Cell-Free Massive MIMO","Hersyandika, Rizqi (Katholieke Universiteit Leuven); Rossanese, Marco (NEC Laboratories Europe); Lutu, Andra (Telefonica Research); Yang, Miao (Katholieke Universiteit Leuven; University of Twente); Wang, Q. (TU Delft Embedded Systems); Pollin, Sofie (Katholieke Universiteit Leuven)","","2023","This paper studies a promising use case of a private 5G network for the sports industry: wearable bodycams and sensors in a football match. This use case requires a reliable and dedicated massive MIMO network to provide uniform coverage with a high capacity in the whole pitch area. The coverage of co-located and distributed (cell-free) massive MIMO, differing in the configuration of base station antenna placement inside the stadium, are evaluated through ray tracing using a 3D stadium model and players' mobility dataset. The results give insight into how distributed antennas inside the stadium should be deployed to enhance the uniform coverage of moving wearable devices. Besides that, the uplink capacity performance and the impact of channel aging on the capacity are also evaluated. The results demonstrate the advantages and disadvantages of different base station antenna distribution strategies in terms of coverage, capacity and channel aging impact.","massive MIMO; cell-free; coverage; channel aging; private 5G; sports","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","Embedded Systems","","",""
"uuid:a9bdd9f5-24f6-4ed0-8e20-e10550fd7850","http://resolver.tudelft.nl/uuid:a9bdd9f5-24f6-4ed0-8e20-e10550fd7850","Unlined Length Effect on the Tunnel Face Stability and Collapse Mechanisms in c-ϕ Soils: A Numerical Study with Advanced Mesh Adaptive Strategies","Zheng, X. (Central South University China; Durham University); Yang, Feng (Central South University China); Shiau, Jim (University of Southern Queensland); Lai, F. (TU Delft Geo-engineering); Dias, Daniel (Université Grenoble Alpes)","","2023","This paper presents a stability study on the collapse mechanisms of a plane-strain tunnel face in c-ϕ soils using the upper bound finite element method with rigid translatory moving elements (UBFELA-RTME) and nonlinear programming technique. Practical considerations are given to the unlined length influence behind the tunnel face. An advanced mesh adaptive updating strategy is adopted, aiming to improve the computational efficiency, the accuracy of upper-bound solutions, as well as the produced collapse mechanisms. The unlined length influence on the face stability and collapse mechanism of the tunnel face are determined with various combinations of tunnel depth ratios, soil friction angles, and dilatancy angles. Using the UBFELA-RTME with the Davis's approach and a mesh adapting strategy, the non-associated plasticity flow rule can be well approximated. The developed technique was validated against different numerical methods, and it is concluded that the tunnel face stability can be improved by increasing soil friction and dilatancy angles, and yet weakens as the unlined length increases where a mesh-liked collapse zone gradually appears on the tunnel vault top. It gradually evolves to a global collapse failure till the ground surface. The findings contribute to a better understanding of the ground surface failure under the unlined support length influence in tunnel construction.","Collapse mechanism; Flow rule; Mesh adaptive updating strategy; Tunnel face stability; Upper bound limit analysis","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:a8f337ce-885d-4262-af8a-13d3520a3724","http://resolver.tudelft.nl/uuid:a8f337ce-885d-4262-af8a-13d3520a3724","Fishing Behavior Detection and Analysis of Squid Fishing Vessel Based on Multiscale Trajectory Characteristics","Zhang, Fan (Wuhan University of Technology); Yuan, Baoxin (Wuhan University of Technology); Huang, L. (TU Delft Safety and Security Science; Wuhan University of Technology); Wen, Yuanqiao (Wuhan University of Technology); Yang, Xue (National Engineering Laboratory of Application Technology of Integrated Transportation Big Data); Song, R. (TU Delft Safety and Security Science); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2023","Accurate fishing activity detection from the trajectories of fishing vessels can not only achieve high-precision fishery management but also ensure the reasonable and sustainable development of marine fishery resources. This paper proposes a new method to detect fishing vessels’ fishing activities based on the defined local dynamic parameters and global statistical characteristics of vessel trajectories. On a local scale, the stop points and points of interest (POIs) in the vessel trajectory are extracted. Voyage extraction can then be conducted on this basis. After that, multiple characteristics based on motion and morphology on a global scale are defined to construct a logistic regression model for fishing behavior detection. To verify the effectiveness and feasibility of the method, vessel trajectory data, and fishing log data collected from Chinese ocean squid fishing vessels in Argentine waters in 2020 are integrated for fishing operation detection. Multiple evaluation metrics show that the proposed method can provide robust and accurate recognition results. Moreover, further analysis of the temporal and spatial distribution and seasonal changes in squid fishing activities in Argentine waters has been performed. A more refined assessment of the fishing activities of individual fishing vessels can also be provided quantitatively. All the results above can benefit the regulation of fishing activities.","fishing behavior; fishery management; statistical features of trajectory sequences; logistic regression; sliding window","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:84968423-ba1b-45b4-ad83-274585bc30c0","http://resolver.tudelft.nl/uuid:84968423-ba1b-45b4-ad83-274585bc30c0","IMM estimator in multisensor multitarget tracking for air traffic control and autonomous driving","Blom, H.A.P. (TU Delft Air Transport & Operations); Yang, Kaipei (University of Connecticut); Bar-Shalom, Y (University of Connecticut)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Air Transport & Operations","","",""
"uuid:202860af-8f0c-46e3-8bf6-0836ab7e60e9","http://resolver.tudelft.nl/uuid:202860af-8f0c-46e3-8bf6-0836ab7e60e9","Seasonal Cooling Effect of Vegetation and Albedo Applied to the LCZ Classification of Three Chinese Megacities","Luo, Yifan (Guangzhou University); Yang, Jinxin (Guangzhou University); Shi, Qian (Sun Yat-sen University); Xu, Yong (Guangzhou University); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Wong, Man Sing (The Hong Kong Polytechnic University)","","2023","The urban heat island effect poses a growing threat to human society, especially in densely populated and developed megacities. With the introduction of the Local Climate Zones (LCZ) framework, new perspectives and findings have been brought to urban heat island studies. This study investigated the cooling effect of vegetation and albedo on the surface urban heat island (SUHI) in the classification system of LCZ during different seasons, using three Chinese megacities as case study areas. Single-factor linear regression and Pearson’s correlation coefficient were applied to analyze the seasonal cooling effect of both albedo and the NDVI on the SUHI within different LCZs. The results show that (1) the variability of the SUHI is reflected in its dominance and intensity within certain LCZs in different cities and in the efficiency of cooling factors; (2) the cooling effect of vegetation is dominant in each season, and the cooling effect produced by albedo within specific seasons can be differentiated by LCZs. This study provides valuable information for the mitigation of the SUHI magnitude in specific regions and at specific times of the year.","local climate zone; albedo; vegetation; heat mitigation","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:caadb01b-a462-43c6-9967-be872cf30cb3","http://resolver.tudelft.nl/uuid:caadb01b-a462-43c6-9967-be872cf30cb3","Rural Infrastructure Lifecycle Inclusiveness Impact Path Analysis: Combining Logical Framework and Structural Equation Modeling","Jiang, Aichun (Chengdu University of Information Technology); Ao, Yibin (Chengdu University of Technology); Yang, Ruo (Chengdu University of Information Technology); Wang, T. (TU Delft Design & Construction Management)","","2023","The rural infrastructures require inclusiveness in the whole lifecycle (WLC) for the benefits of the society. The theory of inclusive growth has been widely studied since its introduction in the infrastructure system research. However, the majority of the related studies has focused on macro level measurements and no systematic research has been carried out on the microlevel for inclusiveness formation and impact path discovery so that detailed recommendations regarding the process can be formulated. The WLC of infrastructure is a dynamic process, reflected in different stages with various activities and multiple factor groups that connect and influence each other. To address and analyze this dynamic and interdependent process from a micro perspective, this study applies the logical framework method in constructing nine impact paths of rural infrastructure inclusiveness for the WLC, which revealed the influence mechanism of rural infrastructure inclusiveness at the microlevel. According to the results, ""project quality""has the most significant influence on the rural infrastructure inclusiveness.","","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:3489ec77-08da-4ced-a81e-2388034aee5e","http://resolver.tudelft.nl/uuid:3489ec77-08da-4ced-a81e-2388034aee5e","Internal shear layer and vortex shedding development of a structured porous coated cylinder using tomographic particle image velocimetry","Arcondoulis, E.J.G. (University of Bristol); Liu, Y. (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Sedaghatizadeh, N. (University of Adelaide); Yang, Y. (Southern University of Science and Technology); Li, Z. (Southern University of Science and Technology)","","2023","Vortex shedding in the wake of a cylinder in uniform flow can be suppressed via the application of a porous coating; however, the suppression mechanism is not fully understood. The internal flow field of a porous coated cylinder (PCC) can provide a deeper understanding of how the flow within the porous medium affects the wake development. A structured PCC (SPCC) was three-dimensionally printed using a transparent material and tested in water tunnel facilities using flow visualisation and tomographic particle image velocimetry at outer-diameter Reynolds numbers of and, respectively. The internal and near-wall flow fields are analysed at the windward and mid-circumference regions. Flow stagnation is observed in the porous layer on the windward side and its boundary is shown to fluctuate with time in the outermost porous layer. This stagnation region generates a quasi-aerodynamic body that influences boundary layer development on the SPCC inner diameter, that separates into a shear layer within the porous medium. For the first time via experiment, spectral content within the separated shear layer reveals vortex shedding processes emanating through single pores at the outer diameter, providing strong evidence that SPCC vortex shedding originates from the inner diameter. Velocity fluctuations linked to this vortex shedding propagate through the porous layers into the external flow field at a velocity less than that of the free stream. The Strouhal number linked to this velocity accurately predicts the SPCC vortex shedding frequency.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:9aa427d9-f634-46ef-bab8-186dac3af2d7","http://resolver.tudelft.nl/uuid:9aa427d9-f634-46ef-bab8-186dac3af2d7","Anisotropic proximity-induced superconductivity and edge supercurrent in Kagome metal, K1-xV3Sb5","Wang, Y. (TU Delft QN/Ali Lab; Max Planck Institute of Microstructure Physics; Kavli institute of nanoscience Delft); Yang, Shuo Ying (Max Planck Institute of Microstructure Physics); Sivakumar, Pranava K. (Max Planck Institute of Microstructure Physics); Ortiz, Brenden R. (University of California); Teicher, Samuel M.L. (University of California); Wu, H. (TU Delft QN/Ali Lab; Max Planck Institute of Microstructure Physics; Kavli institute of nanoscience Delft); Srivastava, Abhay K. (Max Planck Institute of Microstructure Physics); Garg, Chirag (Max Planck Institute of Microstructure Physics; IBM Almaden Research Center); Ali, M.N. (TU Delft QN/Ali Lab; Max Planck Institute of Microstructure Physics; Kavli institute of nanoscience Delft)","","2023","Materials with Kagome nets are of particular importance for their potential combination of strong correlation, exotic magnetism, and electronic topology. KV3Sb5 was discovered to be a layered topological metal with a Kagome net of vanadium. Here, we fabricated Josephson Junctions of K1-xV3Sb5 and induced superconductivity over long junction lengths. Through magnetoresistance and current versus phase measurements, we observed a magnetic field sweeping direction-dependent magnetoresistance and an anisotropic interference pattern with a Fraunhofer pattern for in-plane magnetic field but a suppression of critical current for out-of-plane magnetic field. These results indicate an anisotropic internal magnetic field in K1-xV3Sb5 that influences the superconducting coupling in the junction, possibly giving rise to spin-triplet superconductivity. In addition, the observation of long-lived fast oscillations shows evidence of spatially localized conducting channels arising from edge states. These observations pave the way for studying unconventional superconductivity and Josephson device based on Kagome metals with electron correlation and topology.","","en","journal article","","","","","","","","","","","QN/Ali Lab","","",""
"uuid:b25e77b6-5ad2-48f3-a11d-d152b829c286","http://resolver.tudelft.nl/uuid:b25e77b6-5ad2-48f3-a11d-d152b829c286","Performance Comparison between Data Centers with Different Airflow Management Technologies","Li, Xueqiang (Tianjin University of Commerce); Zhang, Zhongyao (Tianjin University of Commerce); Wang, Qihui (Tianjin University of Commerce); Yang, Xiaohu (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy); Liu, Shengchun (Tianjin University; Tianjin University of Commerce)","","2023","Air cooling systems are widely used in current data centers owing to their low capital costs and high reliability. To satisfy the increasing rack power density, the optimal air-cooling technology and an economic analysis should be carefully discussed. Therefore, this study discusses four airflow management technologies: Case 1: raised floor and cold aisle containment supply/computer room air conditioning (CRAC) direct return; Case 2: CRAC direct supply/hot aisle containment (HAC) return; Case 3: overhead duct supply/CRAC direct return; and Case 4: overhead duct supply/HAC return. Using a validated model, the thermal and economic performances of each case were compared. Results showed that Case 4 exhibited the best thermal performance, followed by Cases 3, 2, and 1. Case 1 cannot satisfy the heat dissipation requirement when the rack power density is larger than 12.5 kW; whereas only Case 4 can be used when the power density is larger than 15 kW. Regarding location within China, owing to the high ambient temperature, Shenzhen showed the highest annual cost value and power usage effectiveness, followed by Shanghai, Xi’an, Beijing, and Harbin. Finally, Cases 3 and 4 are recommended for application when the rack power density is greater than 10 kW.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-15","","Process and Energy","","","",""
"uuid:429674e0-f2e7-4aa8-9ad2-e9fb6295ae59","http://resolver.tudelft.nl/uuid:429674e0-f2e7-4aa8-9ad2-e9fb6295ae59","Comparing the stretching technique and the wavelet cross-spectrum technique for measuring stress-induced wave-velocity changes in concrete","Cheng, H. (TU Delft Concrete Structures); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU)); Yang, Y. (TU Delft Concrete Structures)","","2023","Coda wave interferometry (CWI) holds promise as a technique for concrete stress monitoring. This is because the coda, which consists of multiply scattered arrivals, is the result of propagation through the medium over large distances. As such, it is sensitive to both minute structural changes and small velocity changes in that medium. Previous studies focusing on concrete have predominantly utilized the time-domain-based stretching technique to measure travel-time changes. There is, however, a lack of consensus on how to quantify these changes effectively. In this study, we conduct a systematic comparison between two techniques, namely the stretching technique and the wavelet cross-spectrum (WCS) technique, for measuring stress-induced velocity changes in a cylindrical concrete sample. Our comparison focuses on two key aspects: (i) stability against cycle skipping and (ii) consistency in retrieving velocity changes. Experimental results reveal that both the WCS technique and the stretching technique yield consistent velocity changes. In terms of stability, it is challenging to determine which technique performs better, due to differences in the mechanisms triggering cycle skipping. However, when considering waves with frequencies ranging from 50 kHz to 80 kHz, both techniques exhibit comparable performance. Based on our findings, we offer the following recommendations for utilizing these CWI techniques in concrete stress monitoring: For the stretching technique, selecting the time window length based on the wave frequency and the expected magnitude of velocity change. For the WCS technique, operating it in the frequency band where spectral decomposition shows sufficiently high energy in the signal and can accommodate the expected magnitude of velocity change.","Coda wave interferometry; Concrete; Smart aggregates; Stress-induced wave-velocity change; Stretching technique; Wavelet Cross-Spectrum (WCS) technique","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:c968a864-bee6-4bcb-8c2a-85b073bbdaed","http://resolver.tudelft.nl/uuid:c968a864-bee6-4bcb-8c2a-85b073bbdaed","Recycling waste tyre polymer for production of fibre reinforced cemented tailings backfill in green mining","Guo, Z. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Northeastern University); Qiu, Jingping (Northeastern University); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Zhou, Hao (Shougang Group Co., Ltd.); Liu, C. (TU Delft Materials and Environment); Yang, Lei (Johns Hopkins University)","","2023","The increasing amount of solid waste, e.g., waste tyres from car industry and tailings from mine operations, causes substantial environmental and societal issues. The recycled tyre polymer fibre (RTPF) reinforced cemented tailings backfill (CTB) is a kind of composite that can treat waste tyres and tailings simultaneously and realize green mining, but its engineering properties have not been well understood. In this study, the rheology (i.e., static and dynamic yield stress, and structural build-up), strength (i.e., uniaxial and triaxial compressive, splitting tensile and flexural strengths), microstructure, and life cycle of RTPF reinforced CTB are comprehensively evaluated. For comparison, the engineering performance of the commonly used polypropylene fibre (PPF) reinforced CTB in mines is tested. The experimental results demonstrate that incorporating 0.6 wt% RTPF into CTB can achieve comparable fluidity and strength to the CTB reinforced with 0.3 wt% PPF at reduced cost and improved sustainability. A strength enhancement approach for RTPF reinforced CTB is also developed by adjusting the viscosity of suspending CTB before the addition of RTPF. With this approach, the splitting tensile strength increases by 68 %. The results obtained from this study pave the way for promoting the recycling of abandoned waste tyres and the safe design of backfill structures in mines.","Solid waste utilization; Recycled Tyre polymer fibre; Cemented tailings backfill; Rheology; Strength; Microstructure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-08","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:354e9b05-5175-4d54-a93e-10c3a18d69ec","http://resolver.tudelft.nl/uuid:354e9b05-5175-4d54-a93e-10c3a18d69ec","Optimal Design of Multilayer Optical Color Filters for Building-Integrated Photovoltaic (BIPV) Applications","Ortiz Lizcano, J.C. (TU Delft Photovoltaic Materials and Devices); Villa, Simona (DIANA FEA); Zhou, Y. (TU Delft Photovoltaic Materials and Devices); Frantzi, Georgia (Student TU Delft); Vattis, Kyriakos (Student TU Delft); Calcabrini, A. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Herein, the application of a comprehensive modeling framework that can help optimize the design of multilayered optical filters for coloring photovoltaic (PV) modules is presented based on crystalline silicon solar cells. To overcome technical issues related to the implementation of color filters (CFs) on PV modules, like glare and color instability, colorimetry metrics, such as the hue, chroma, luminance color space, and the quantitative concept of difference between two colors are extensively deployed. It is showcased in this work that designing colored modules with high hue and chroma stability is possible by using a front-side texturing with edged geometry, like V-shaped grooves and inverted pyramids, while obtaining colors with relatively high luminance values, indicating good brightness. Furthermore, it is argued that adapting the rear surface of the front glass with a random textured layout where the CF is applied can improve color and luminance stability without significant loss of chroma while eliminating glare. Finally, the models can be used to optimize the number of layers for a given CF, reducing unnecessary optical losses. Compared to a standard PV module, performance simulation of optimized, bright-colored PV modules predicts relative energy yield losses ranging from 7% to 25%.","colors; design; integration; performance; photovoltaics","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:d326f2fa-2838-40be-9079-8eb70df86adb","http://resolver.tudelft.nl/uuid:d326f2fa-2838-40be-9079-8eb70df86adb","Online Edge Flow Prediction Over Expanding Simplicial Complexes","Yang, M. (TU Delft Multimedia Computing); Das, B. (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing)","","2023","Simplicial convolutional filters can process signals defined over levels of a simplicial complex such as nodes, edges, triangles, and so on with applications in e.g., flow prediction in transportation or financial networks. However, the underlying topology expands over time in a way that new edges and triangles form. For example, in a transportation network, a new connection between two locations is newly built, or in a currency exchange market, two currencies can be exchanged without an intermediate currency that can be understood as a new edge between them. To handle the streaming nature of data, we propose an online prediction for edge flows which generalizes to other higher-order simplicial signals. This is achieved by updating the filter coefficients via an online gradient descent with a provable sub-linear regret relative to the simplicial filter optimized over the whole sequence of edge flows. The update of the filter coefficients associated with the lower and upper Hodge Laplacians can be uncoupled in general. We test the online edge flow prediction on an expanding synthetic simplicial complex and a coauthorship complex showing a close performance to the offline counterpart.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Multimedia Computing","","",""
"uuid:d46d2d51-e4b0-456a-a784-2d1d48b30c6d","http://resolver.tudelft.nl/uuid:d46d2d51-e4b0-456a-a784-2d1d48b30c6d","Risk Analysis of Laboratory Fire Accidents in Chinese Universities by Combining Association Rule Learning and Fuzzy Bayesian Networks","Yang, Fuqiang (Fuzhou University); Li, Xin (Fuzhou University); Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2023","Targeting the challenges in the risk analysis of laboratory fire accidents, particularly considering fire accidents in Chinese universities, an integrated approach is proposed with the combination of association rule learning, a Bayesian network (BN), and fuzzy set theory in this study. The proposed approach has the main advantages of deriving conditional probabilities of BN nodes based on historical accident data and association rules (ARs) and making good use of expert elicitation by using an augmented fuzzy set method. In the proposed approach, prior probabilities of the cause nodes are determined based on expert elicitation with the help of an augmented fuzzy set method. The augmented fuzzy set method enables the effective aggregation of expert opinions and helps to reduce subjective bias in expert elicitations. Additionally, an AR algorithm is applied to determine the probabilistic dependency between the BN nodes based on the historical accident data of Chinese universities and further derive conditional probability tables. Finally, the developed fuzzy Bayesian network (FBN) model was employed to identify critical causal factors with respect to laboratory fire accidents in Chinese universities. The obtained results show that H4 (bad safety awareness), O1 (improper storage of hazardous chemicals), E1 (environment with hazardous materials), and M4 (inadequate safety checks) are the four most critical factors inducing laboratory fire accidents.","laboratory fire accidents; Bayesian network; association rules; fuzzy set theory; fire safety","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:03dd1891-0d72-4e6b-9d6b-6f0dc87491ca","http://resolver.tudelft.nl/uuid:03dd1891-0d72-4e6b-9d6b-6f0dc87491ca","Improved Wordpcfg for Passwords with Maximum Probability Segmentation","Li, Wenting (Peking University); Yang, Jiahong (Peking University); Cheng, Haibo (Peking University); Wang, Ping (Peking University); Liang, K. (TU Delft Cyber Security)","","2023","Modeling password distributions is a fundamental problem in password security, benefiting the research and applications on password guessing, password strength meters, honey password vaults, etc. As one of the best segment-based password models, WordPCFG has been proposed to capture individual semantic segments (called words) in passwords. However, we find WordPCFG does not address well the ambiguity of password segmentation by maximum matching, leading to the unreasonable segmentation of many password and further the inaccuracy of modeling password distributions. To address the ambiguity, we improve WordPCFG by maximum probability segmentation with A*-like pruning algorithm. The experimental results show that the improved WordPCFG cracks 99.26%–99.95% passwords, with nearly 5.67%–18.01% improvement.","Password; Probabilistic context-free grammar; maximum probability segmentation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Cyber Security","","",""
"uuid:c6419e6e-47d4-4d3d-a31c-e4dc8143535b","http://resolver.tudelft.nl/uuid:c6419e6e-47d4-4d3d-a31c-e4dc8143535b","Keyword Search Shareable Encryption for Fast and Secure Data Replication","Wang, Wei (Huazhong University of Science and Technology); Liu, Dongli (Huazhong University of Science and Technology); Xu, Peng (Huazhong University of Science and Technology); Yang, Laurence Tianruo (Huazhong University of Science and Technology); Liang, K. (TU Delft Cyber Security)","","2023","It has become a trend for clients to outsource their encrypted databases to remote servers and then leverage the Searchable Encryption technique to perform secure data retrieval. However, the method has yet to be considered a crucial need for replication on searchable encrypted data. It calls for challenging works on Dynamic Searchable Symmetric Encryption (DSSE) since clients must share the search capability of the encrypted data replicas and guarantee forward and backward privacy. We define a new notion called 'Keyword Search Shareable Encryption' (KS2E2E) and the corresponding security model capturing forward and backward privacy. In our notion, data owners are allowed to share search indexes of the encrypted data with users. A search index will be updated with a new search key before sharing to guarantee the data privacy of the source database. The target database also inherits data search efficiency along with the shared data. We further construct an instance of KS2E called Branch, prove its security, and use real-world datasets to evaluate Branch. The evaluation results show that Branch's performance is comparable to classical DSSE schemes on search efficiency and demonstrate the effectiveness of searching encrypted data replicas from multiple owners.","Cryptography; Data privacy; Databases; Encrypted Data Replication; Encryption; Forward and Backward Privacy; Indexes; Privacy; Searchable Symmetric Encryption; Servers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","","Cyber Security","","",""
"uuid:e23e92a2-f07b-4fc9-8d8b-07b704e9e795","http://resolver.tudelft.nl/uuid:e23e92a2-f07b-4fc9-8d8b-07b704e9e795","Life cycle assessment of material footprint in recycling: A case of concrete recycling","Zhang, Chunbo (Universiteit Leiden; Cornell University); Hu, Mingming (Universiteit Leiden); van der Meide, Marc (Universiteit Leiden); Di Maio, F. (TU Delft Resources & Recycling); Yang, Xining (Universiteit Leiden); Gao, Xiaofeng (Chongqing University); Li, Kai (Universiteit Leiden); Zhao, Hailong (Tsinghua University); Li, Chen (Universiteit Leiden)","","2023","Meeting the current demand for concrete requires not only mining tons of gravel and sand, but also burning large amounts of fossil fuel resources in cement kilning. Consequently, concrete recycling is crucial to achieving a material-efficient society, especially with the application of various categories of concrete and the goal of phasing out fossil fuels. A comparative life cycle assessment (LCA) is used to assess the engineering material footprint (EMF) and the fossil fuel material footprint (FMF) in closed-loop recycling of three types of concrete: siliceous concrete, limestone concrete, and lightweight aggregate concrete. This study aims to investigate the impact of (i) concrete categories, (ii) methods to model recycling, and (iii) using renewable energy sources on the material footprint in concrete recycling. The results highlight that the concrete recycling system can reduce 99% of the EMF and 66–93% of the FMF compared with the baseline system, in which concrete waste is landfilled. All three recycling modeling approaches indicate that concrete recycling can considerably reduce EMF and FMF compared with the baseline system, primarily resulting from the displacement of virgin raw materials. Using alternative diesels is more sensitive than adopting renewable electricity in reduction of the FMF in concrete recycling. Replacing diesel with electrolysis- and coal-based synthetic diesel for concrete recycling could even increase the FMF, while using biodiesel made from rapeseed and wood-based synthetic diesel can reduce 47–51% and 84–89% of the FMF, respectively, compared to the virgin diesel-based recycling system. Finally, we discussed the multifunctionality and rebound effects of recycling, and double-counting risk in material and energy accounting.","Concrete; Construction and demolition waste; Life cycle assessment; Material footprint; Recycling; Renewable energy","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:b6400d7a-bcd4-47c6-9564-de1e5a0fd280","http://resolver.tudelft.nl/uuid:b6400d7a-bcd4-47c6-9564-de1e5a0fd280","Pressure sensitivity for head, face and neck in relation to soft tissue","Yang, W. (Hunan University); He, Renke (Hunan University); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design); Huysmans, T. (TU Delft Applied Ergonomics and Design)","","2023","Pressure sensitivity research on the head, face, and neck is critical to develop ways to reduce discomfort caused by pressure in head-related products. The aim of this paper is to provide information for designers to be able to reduce the pressure discomfort by studying the relation between pressure sensitivity and soft tissue in the head, face and neck. We collected pressure discomfort threshold (PDT) and pressure pain threshold (PPT) from 119 landmarks (unilateral) for 36 Chinese subjects. Moreover, soft tissue thickness data on the head, face and neck regions of 50 Chinese people was obtained through CT scanning while tissue deformation data under the PDT and PPT states was obtained from literature. The results of the three-elements correlation analysis revealed that soft tissue thickness is positively correlated with deformation but not an important factor in pressure sensitivity. Our high-precision pressure sensitivity maps confirm earlier findings of more rough pressure sensitivity studies, while also revealing additional fine scale sensitivity differences. Finally, based on the findings, a high-precision ""recommended map” of the optimal stress-bearing area of the head, face and neck was generated.","CT data; Pressure discomfort; Product design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:958f91bc-f0cb-4697-9747-0af91d0936da","http://resolver.tudelft.nl/uuid:958f91bc-f0cb-4697-9747-0af91d0936da","Influence of solder condition on effective thermal conductivity of two-directional random fibres: Pore-scale simulation","Xiao, Tian (Xi’an Jiaotong University; Xi’an University of Technology); Zhang, Qiaoling (Xi’an University of Technology); Yang, Xiaohu (Xi’an Jiaotong University; Xi’an University of Technology); Hooman, K. (TU Delft Process and Energy); Li, Guodong (Xi’an University of Technology)","","2023","It is indicated that the solder joint of the metal fibrous materials is a critical factor impacting the heat conduction. To reveal the mechanism by which solder joint sizes, solder joint skips, solder flux materials, and filling media affect the thermal conductivity of fibres, pore-scale numerical simulation is employed to study the thermal transport in two-directional (2-D) random fibres. Satisfactory agreement with existing data validates the numerical model. The dimensionless effective thermal conductivity (ETC) of the porous fibres increases with the solder joint sizes. As the solder joint size (i.e., solder joint ratio) increases by 3.06%, the in-plane (ke-in) and out-of-plane (ke-out) dimensionless ETC increase by 9.0% and 437.2%, respectively. However, the solder joint skips will weaken the thermal conductivity of the fibres. For the same fibre, the ETC of the fibre increases as the thermal conductivity of solders increases. Further, when the dissimilarity in thermal conductivity between the filling medium and the fibre is reduced, the fibre is less affected by the solder joint skips. Finally, it should be supplemented that the in-plane and out-of-plane ETC (ke-in and ke-out) of the fibre without any solder joint are reduced by an average of 14.3% and 98.8%, respectively.","Effective thermal conductivity (ETC); Metal fibre materials; Numerical simulation; Solder joints","en","journal article","","","","","","","","","","Process and Energy","","","",""
"uuid:54e72996-74cc-46e6-b5a9-e7a14ce6e71f","http://resolver.tudelft.nl/uuid:54e72996-74cc-46e6-b5a9-e7a14ce6e71f","Understanding the interaction of nucleotides with UVC light: an insight from quantum chemical calculation-based findings","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Ye, H. (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Short-wave ultraviolet (also called UVC) irradiation is a well-adopted method of viral inactivation due to its ability to damage genetic material. A fundamental problem with the UVC inactivation method is that its mechanism of action on viruses is still unknown at the molecular level. To address this problem, herein we investigate the response mechanism of genome materials to UVC light by means of quantum chemical calculations. The spectral properties of four nucleotides, namely, adenine, cytosine, guanine, and uracil, are mainly focused on. Meanwhile, the transition state and reaction rate constant of uracil molecules are also considered to demonstrate the difficulty level of adjacent nucleotide reaction without and with UVC irradiation. The results show that the peak wavelengths are 248.7 nm, 226.1 nm (252.7 nm), 248.3 nm, and 205.8 nm (249.2 nm) for adenine, cytosine, guanine, and uracil nucleotides, respectively. Besides, the reaction rate constants of uracil molecules are 6.419 × 10−49 s−1 M−1 and 5.436 × 1011 s−1 M−1 for the ground state and excited state, respectively. Their corresponding half-life values are 1.56 × 1048 s and 1.84 × 10−12 s. This directly suggests that the molecular reaction between nucleotides is a photochemical process and the reaction without UVC irradiation almost cannot occur.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:008210d5-61ec-4b11-8799-855609248ddc","http://resolver.tudelft.nl/uuid:008210d5-61ec-4b11-8799-855609248ddc","Effect of CO2-based binary mixtures on the performance of radial-inflow turbines for the supercritical CO2 cycles","Yang, Yueming (Shandong University); Wang, Xurong (Henan University of Urban Construction); Hooman, K. (TU Delft Process and Energy); Han, Kuihua (Shandong University); Xu, Jinliang (North China Electric Power University); He, Suoying (Shandong University); Qi, Jianhui (Shandong University; North China Electric Power University)","","2023","Recently, the supercritical carbon dioxide (SCO2) power cycle has become a hotspot in the field of energy-efficient utilization. The utilization of additives in the power cycle has been proven to be an effective way to improve the SCO2 power cycle efficiency. As one of the core components of the system, the influence of CO2-based mixtures on turbine performance needs to be further explored. In this study, the preliminary design and three-dimensional numerical simulation of a 500 kW radial-inflow turbine (RIT) for small-scale SCO2 power systems were carried out. Furthermore, the design and off-design performance of high Reynolds number and small size turbine under the change of the CO2-based binary mixture compositions and mixing ratios were studied. Increasing the amount of nitrogen, oxygen, or helium into CO2 has a negative effect on the RIT performance, and the appropriate amount of xenon or krypton can improve the turbine efficiency. Moreover, mixtures with higher krypton additions adapt to higher heat source conditions. The loss of the turbine stage passage shows that a large amount of helium greatly reduces the working fluid density, and the high amount of xenon has a great influence on the dynamic viscosity, which all makes the RIT operation deviate from the steady state. Therefore, the CFD model simulation fails indicating that RIT designed based on pure CO2 may not run smoothly and continuously. The losses in the stage with pure CO2 and CO2–Kr mixture were investigated. The results indicate that the losses originated from the stator cannot be ignored and that the improvement of efficiency is mainly owed to the reduction in clearance losses. There is no doubt that the viewpoints proposed in this paper have significant reference value for the practical application of the SCO2 power cycle using mixtures.","CO-based binary mixture; Numerical simulation; Radial-inflow turbine; Supercritical carbon dioxide; Turbine stage losses","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-19","","Process and Energy","","","",""
"uuid:ce8bae21-30a4-45f7-a9ab-69d33e818b68","http://resolver.tudelft.nl/uuid:ce8bae21-30a4-45f7-a9ab-69d33e818b68","Switched Control Design for Quadrotor in Target Tracking with Complex Intermittent Measurements","Liang, Y. (Harbin Institute of Technology); Yang, Jianan (Harbin Institute of Technology); Zhang, Lixian (Harbin Institute of Technology); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:25961f04-7ce7-49e5-a9fa-66af10ad8f26","http://resolver.tudelft.nl/uuid:25961f04-7ce7-49e5-a9fa-66af10ad8f26","Inference algorithms for the useful life of safety instrumented systems under small failure sample data","Mao, Qi (China University of Petroleum (East China)); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Hu, Jason (Covestro Polymers (China) Co.)","","2023","Safety instrumented systems(SIS) have been widely used in petroleum and chemical plants to detect and respond to dangerous events and prevent them from developing into accidents. The in-service time of SIS does not exceed its useful life is one of the crucial assumptions of IEC functional safety standards. The testing method recommended in the IEC standard is essentially a chi-square testing, where the testing effect is proportional to the sample size and, therefore, not suitable for testing the type of data distribution under small samples. In this paper, a rapid inference method of useful life (RIUL) is proposed to: i) determine whether the distribution type of failure data is exponential under small samples with the help of Anderson-Darling testing, and ii) use the Bayesian sequential testing method for estimating the useful life. The sequential posterior odds ratio testing is introduced to test the equipment failure rate one by one. The proposed RIUL approach is applied to the liquid-level protection circuit of the hot high-pressure separator. The engineering simulation results show that compared with IEC standard methods, the proposed method can be performed with fewer failure data, providing a theoretical basis for reasonable maintenance and replacement of equipment.","Failure rate; Reliability; Safety instrumented systems; Small samples; Useful life","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:37596b65-e705-4b1c-ad91-20d285f3c8e1","http://resolver.tudelft.nl/uuid:37596b65-e705-4b1c-ad91-20d285f3c8e1","Mapping knowledge domains for mine heat hazard: a bibliometric analysis of research trends and future needs","Zhao, Jiale (Fuzhou University); Yang, Fu Qiang (Fuzhou University); Guo, Yong (Fuzhou University); Ren, X. (TU Delft Safety and Security Science)","","2023","As the shallow mineral resources are nearly depleted, the mining of deep resources has become an urgent problem to be studied. The increase in mine depth can lead to the increase of mine heat hazard, which is a critical concern for mining safety/occupational health and safety. However, there are limited review articles available regarding the prevention of mine heat hazard. To fill in this gap, a bibliometric analysis and knowledge mapping of the field of mine heat hazard prevention are presented in this paper. A total of 314 papers from the Web of Science (WOS) core collection database that published between January 1998 and July 2022 were analyzed using VOSviewer and CiteSpace. China, South Africa, Poland, USA, and Australia are the top five countries in this field. The important journals are Applied Thermal Engineering, Applied Energy, Energies, and International Journal of Mining Science and Technology. In addition, the research focal points and two research fronts were identified and discussed. The knowledge base of mine heat hazard research focuses on mine cooling technology, energy efficiency optimization of cooling systems, thermodynamic theory, and occupational health. There are two research fronts. One is to use the numerical simulation method to study various problems such as simulate the performance of refrigeration systems and thermal comfort in mines. The second is to study the occupational health impact of climate change on miners. Therefore, this paper provides readers and academics with an overview of the intellectual structure and knowledge body that have been developed on the subject of mine heat hazard.","Bibliometrics; Knowledge mapping; Mine cooling; Mine heat hazard; Occupational health and safety","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Safety and Security Science","","",""
"uuid:d3100b35-f855-42f8-a628-44c7c14d2a14","http://resolver.tudelft.nl/uuid:d3100b35-f855-42f8-a628-44c7c14d2a14","Equivalent material properties of the heat-affected zone in welded cold-formed rectangular hollow section connections","Yan, R. (TU Delft Steel & Composite Structures); Mela, Kristo (Tampere University); Yang, Fei (Chang'an University); El Bamby, H. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","A welded connection consists of three main material zones, the base material (BM), the heat-affect zone (HAZ), and the weld metal (WM). The strength of HAZ depends on the BM grade and manufacturing process, electrode grade, and welding parameters. Under certain conditions, HAZ has the lowest material strength, especially for high-strength steel. Therefore, a semi-empirical methodology is proposed to establish a constitutive model of HAZ necessary for predicting the fracture position of welded connections. This methodology is based on an engineering approach to consider HAZ as an isotropic and homogeneous material, with no consideration of different volumetric fractions of microstructures within a HAZ. The equivalent material properties of HAZ in butt-welded hollow section connections were investigated experimentally and numerically. Hardness tests and microstructure investigations were conducted to determine the boundaries of material variations and the width of HAZ. The stress–strain relationship of HAZ was established and calibrated based on tensile coupon tests and finite element analyses. Using the calibrated HAZ stress–strain relationship, the effect of transverse constraint imposed by the adjacent and stronger material (BM and WM) on HAZ was evaluated in the welded connections. Finally, the new methodology of a semi-empirical constitutive model based on the Swift model was used to propose equivalent characteristics of HAZ as a function of the mechanical properties of BM for a specific welding procedure considered in the project.","Butt weld; Constitutive model; Heat-affected zone; High-strength steel; Transverse constraint","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:bd44af96-a582-404e-a368-9bbefe8f9b51","http://resolver.tudelft.nl/uuid:bd44af96-a582-404e-a368-9bbefe8f9b51","Enriching Point Clouds with Implicit Representations for 3D Classification and Segmentation","Yang, Z. (TU Delft Urban Data Science; Tongji University); Ye, Qin (Tongji University); Stoter, J.E. (TU Delft Urban Data Science); Nan, L. (TU Delft Urban Data Science)","","2023","Continuous implicit representations can flexibly describe complex 3D geometry and offer excellent potential for 3D point cloud analysis. However, it remains challenging for existing point-based deep learning architectures to leverage the implicit representations due to the discrepancy in data structures between implicit fields and point clouds. In this work, we propose a new point cloud representation by integrating the 3D Cartesian coordinates with the intrinsic geometric information encapsulated in its implicit field. Specifically, we parameterize the continuous unsigned distance field around each point into a low-dimensional feature vector that captures the local geometry. Then we concatenate the 3D Cartesian coordinates of each point with its encoded implicit feature vector as the network input. The proposed method can be plugged into an existing network architecture as a module without trainable weights. We also introduce a novel local canonicalization approach to ensure the transformation-invariance of encoded implicit features. With its local mechanism, our implicit feature encoding module can be applied to not only point clouds of single objects but also those of complex real-world scenes. We have validated the effectiveness of our approach using five well-known point-based deep networks (i.e., PointNet, SuperPoint Graph, RandLA-Net, CurveNet, and Point Structuring Net) on object-level classification and scene-level semantic segmentation tasks. Extensive experiments on both synthetic and real-world datasets have demonstrated the effectiveness of the proposed point representation.","implicit representation; object classification; point cloud; semantic segmentation","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:c1cd5953-2fb6-4b15-ac2b-ba93b7631747","http://resolver.tudelft.nl/uuid:c1cd5953-2fb6-4b15-ac2b-ba93b7631747","Strengthening effects evaluation on fatigue damage of rib to deck joint in orthotropic steel deck","Xin, Haohui (Xi’an Jiaotong University); Liu, Jielin (Xi’an Jiaotong University); Correia, Jose A.F. (Xi’an Jiaotong University; Universidade do Porto); Berto, Filippo (Xi’an Jiaotong University; Norwegian University of Science and Technology (NTNU)); Veljkovic, M. (TU Delft Steel & Composite Structures); Yang, Fei (Chang'an University)","","2023","Strengthening fatigue damage of orthotropic steel decks (OSDs) needs to comprehensively consider the strengthening effect and the dead weight introduced during the strengthening process, especially for OSDs in super large-span bridges or old renovated bridges, where the dead weight cannot be significantly increased during repair and maintenance. This paper proposed a new CFRP prestressed reinforcement method, that does not significantly increase dead weight while effectively inhibiting fatigue crack growth. The strengthening effects of various strengthening methods on the fatigue crack propagation of rib to deck joint in OSDs were compared. Besides, the strengthening effects of different crack sizes were also analyzed. The results showed that the proposed method is effective in reducing fatigue damage at the rib to deck joint in OSDs.","Extended finite element method; Orthotropic steel decks (OSDs); Prestressed strengthening method; Rib to deck joint","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:7ee0cba8-9aeb-4753-996a-9ab440a661ae","http://resolver.tudelft.nl/uuid:7ee0cba8-9aeb-4753-996a-9ab440a661ae","A Bayesian-network approach for assessing the probability of success of physical security attacks to offshore Oil&Gas facilities","Iaiani, Matteo (University of Bologna); Tugnoli, Alessandro (University of Bologna); Cozzani, Valerio (University of Bologna); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","","2023","Offshore Oil&Gas facilities are attractive targets of intentional malicious attacks (security attacks) that may trigger cascading events (e.g., the release and dispersion of hazardous material and/or energy, fires, explosions) with consequences on people, environment, and assets. The severity of these consequences is potentially similar to those arising from major accident scenarios originated by conventional safety-related causes. Current practice in managing the risk of security attacks mostly relies on qualitative or semi-quantitative procedures developed over the years in the offshore Oil&Gas industry. In the present study, a systematic quantitative procedure is developed, based on a Bayesian Network (BN) approach, for calculating the probability of success of physical security attacks, taking into account both preventive and mitigative security intervention strategies. The procedure addresses the specific framework of the offshore Oil&Gas industry. A case study concerning an offshore fixed Oil&Gas platform allowed us to demonstrate the quality of the results that can be achieved and their potential towards the improvement of the security of the installations considered.","Bayesian network; Offshore Oil&Gas industry; Quantitative assessment; Security; Security attack; Security risk","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:086009aa-e22d-495f-912e-bea55dcee975","http://resolver.tudelft.nl/uuid:086009aa-e22d-495f-912e-bea55dcee975","An integrated EDIB model for probabilistic risk analysis of natural gas pipeline leakage accidents","Chen, Xing lin (Fuzhou University); Lin, Wei dong (Fujian Provincial Institute of Architectural Design and Research); Liu, Chun xiang (Fuzhou University); Yang, Fu qiang (Fuzhou University); Guo, Yong (Fuzhou University); Li, Xin (Fuzhou University); Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2023","Natural gas pipeline construction is developing rapidly worldwide to meet the needs of international and domestic energy transportation. Meanwhile, leakage accidents occur to natural gas pipelines frequently due to mechanical failure, personal operation errors, etc., and induce huge economic property loss, environmental damages, and even casualties. However, few models have been developed to describe the evolution process of natural gas pipeline leakage accidents (NGPLA) and assess their corresponding consequences and influencing factors quantitatively. Therefore, this study aims to propose a comprehensive risk analysis model, named EDIB (ET-DEMATEL-ISM-BN) model, which can be employed to analyze the accident evolution process of NGPLA and conduct probabilistic risk assessments of NGPLA with the consideration of multiple influencing factors. In the proposed integrated model, event tree analysis (ET) is employed to analyze the evolution process of NGPLA before the influencing factors of accident evolution can be identified with the help of accident reports. Then, the combination of DEMATEL (Decision-making Trial and Evaluation Laboratory) and ISM (Interpretative Structural Modeling) is used to determine the relationship among accident evolution events of NGPLA and obtain a hierarchical network, which can be employed to support the construction of a Bayesian network (BN) model. The prior conditional probabilities of the BN model were determined based on the data analysis of 773 accident reports or expert judgment with the help of the Dempster-Shafer evidence theory. Finally, the developed BN model was used to conduct accident evolution scenario analysis and influencing factor sensitivity analysis with respect to secondary accidents (fire, vapor cloud explosion, and asphyxia or poisoning). The results show that ignition is the most critical influencing factor leading to secondary accidents. The occurrence time and occurrence location of NGPLA mainly affect the efficiency of emergency response and further influence the accident consequence. Meanwhile, the weight ranking of economic loss, environmental influence, and casualties on social influence is determined with respect to NGPLAs.","Accident evolution analysis; Bayesian network; Gas leakage; Natural gas pipeline; Probabilistic risk analysis","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:7bf8b85b-d827-445b-aaac-9db6b8110ef1","http://resolver.tudelft.nl/uuid:7bf8b85b-d827-445b-aaac-9db6b8110ef1","Uncertainties and their treatment in the quantitative risk assessment of domino effects: Classification and review","Xu, Y. (TU Delft Industrial Design Engineering); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Yuan, S. (TU Delft Safety and Security Science); Chen, Chao (Southwest Petroleum University)","","2023","Domino accidents are typical low-frequency and high-consequence events in chemical process industries. Applying quantitative risk assessment (QRA) in domino accident assessment is challenging due to the uncertainties in the escalation process. Meanwhile, the outcomes of QRA are subject to a certain degree of unreliability due to the inappropriate representation of uncertainty. This paper reviews the literature in the field of QRA of domino accidents that may happen in the chemical process industries. Firstly, the sources of uncertainty in risk assessment of domino effects are identified and categorized based on a fundamental structure of uncertainty and a QRA framework. Furthermore, the current methodologies and approaches applied for handling various uncertainties (input uncertainty, model parameter uncertainty, and model structure uncertainty) in the QRA related to domino effects are reviewed. Based on the literature review results, current challenges with respect to uncertainty handling in QRA of domino accidents are discussed, and recommendations for future research are given before the conclusions are presented. This study helps researchers to get insights into the interface between uncertainty fundamentals and the QRA framework and the current status of uncertainty handling in the QRA of domino effects. Furthermore, this study promotes the development of new approaches for handling uncertainty in domino accident analysis.","Chemical process industry; Domino effects; Quantitative risk assessment; Uncertainty fundamentals; Uncertainty handling","en","journal article","","","","","","","","","Industrial Design Engineering","","Safety and Security Science","","",""
"uuid:4f9df1bb-e3d2-45dd-9794-99ee5c1cc435","http://resolver.tudelft.nl/uuid:4f9df1bb-e3d2-45dd-9794-99ee5c1cc435","Dynamic-risk-informed safety barrier management: An application to cost-effective barrier optimization based on data from multiple sources","Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania)","","2023","An integrated approach for performance assessment and management of safety barriers in a systemic manner is needed concerning the prevention and mitigation of major accidents in chemical process industries. Particularly, the effects of safety barriers on system risk reduction should be assessed in a dynamic manner to support the decision-making on safety barrier establishments and improvements. A simulation approach, named Simulink-based Safety Barrier Modeling (SSBM), is proposed in this paper to conduct dynamic risk assessment of chemical facilities with the consideration of the degradation of safety barriers. The main functional features of the SSBM include i) the basic model structures of SSBM can be determined based on bow-tie diagrams, ii) multiple data (periodic proof test data, continuous condition-monitoring data, and accident precursor data) may be combined to update barrier failure probabilities and initiating event probabilities, iii) SSBM is able to handle uncertainty propagation in probabilistic risk assessment by using Monte Carlo simulations, and iv) cost-effectiveness analysis (CEA) and optimization algorithms are integrated to support the decision-making on safety barrier establishments and improvements. An illustrative case study is demonstrated to show the procedures of applying the SSBM on dynamic risk-informed safety barrier management and validate the feasibility of implementing the SSBM for cost-effective safety barrier optimization.","Bayesian updating; Condition monitoring; Cost-effectiveness analysis; Dynamic risk assessment; Safety barrier degradation; Safety barrier management","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:2a8a2bc5-5ac7-4827-bddb-33d887fc6375","http://resolver.tudelft.nl/uuid:2a8a2bc5-5ac7-4827-bddb-33d887fc6375","Application of game theory in risk management of urban natural gas pipelines","Li, Xinhong (Xi'an University of Architecture and Technology); Ma, Jie (Xi'an University of Architecture and Technology); Han, Ziyue (Xi'an University of Architecture and Technology); Zhang, Y. (TU Delft Applied Sciences; Xi'an University of Architecture and Technology); Yang, M. (TU Delft Safety and Security Science)","","2023","This paper presents a game theory methodology for risk management of urban natural gas pipelines, which is a collaborative participation mechanism of the stakeholders, including government, pipeline companies, and the public. Firstly, the involvement proportion of stakeholders in risk management under rational conditions is estimated by the static game theory. Subsequently, the system dynamics (SD) simulation is used to establish an evolution game model of stakeholders in risk management under the irrational conditions, in which the stability of the evolution game process is analyzed. The stakeholders’ involvement proportions from the static game model are utilized as the inputs for the evolution game model to simulate the dynamic evolution behavior of risk management strategies with different involvement proportions of stakeholders. Eventually, the dynamic evaluation game can extract an optimal strategy for risk management of urban natural gas pipelines. A case study is used to illustrate the methodology. In essence, this methodology can be extended for implementing risk management of urban infrastructure.","Game theory; Risk management; System dynamics simulation; Urban natural gas pipeline","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","Applied Sciences","","Safety and Security Science","","",""
"uuid:b02144a8-1831-48bd-b011-ebe26f3dcdba","http://resolver.tudelft.nl/uuid:b02144a8-1831-48bd-b011-ebe26f3dcdba","Effect of pretreated cow dung fiber on rheological and fatigue properties of asphalt binder","Niu, D. (Chang'an University); Zhang, Zhao (Chang'an University); Gao, Y. (TU Delft Pavement Engineering; Liverpool John Moores University); Li, Yuanxiao (Henan University of Science and Technology); Yang, Zhengxian (Fuzhou University); Niu, Yanhui (Chang'an University)","","2023","Cow dung waste has caused severe environmental pollution and public health issues in China. In this study, the cow dung residues were used as a cheap renewable fiber to modify asphalt binder, providing a new solution for the proper disposal of cow dung waste. Three cow dung fibers with two lengths were prepared using different treatments, including original cow dung fiber (CDF), surface treatments of cow dung fiber (STCDF) and alkali treatments of cow dung fiber (ATCDF). The physicochemical properties of CDF, STCDF and ATCDF were analyzed by scanning electron microscope (SEM) and thermogravimetry (TG). The viscidity, rheological properties and fatigue characteristics of CDF modified asphalt binders (CDFMA) were evaluated using Brookfield viscometer and dynamic shear rheometer. The results showed that the rough surfaces of STCDF and ATCDF improved their thermal stability. STCDF and ATCDF enhanced the resistance to permanent deformation under high temperature conditions of modified asphalt binder. STCDF modified asphalt binders exhibited the best viscosity and rheological performance. The increase of fiber length was positively correlated with the high temperature deformation resistance of CDFMA. CDF, STCDF and ATCDF inhibited fatigue cracking of modified asphalt binders compared to base asphalt binders. ATCDF modified asphalt binders exhibited higher fatigue life and smaller crack under the same cyclic loading. The increase in fiber length had a slight improvement on the fatigue resistance of modified asphalt binders.","Cow dung fiber; Fatigue cracking; Modified asphalt binder; Rheological properties; Surface treatment","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:a69e671e-5abe-4c07-b9d5-7c964aaafef6","http://resolver.tudelft.nl/uuid:a69e671e-5abe-4c07-b9d5-7c964aaafef6","Performance of homogeneous catalysts viewed in dynamics","Yang, W. (TU Delft ChemE/Inorganic Systems Engineering); Filonenko, G.A. (TU Delft Team Georgy Filonenko); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2023","Effective assessment of catalytic performance is the foundation for the rational design and development of new catalysts with superior performance. The ubiquitous screening/optimization studies use reaction yields as the sole performance metric in an approach that often neglects the complexity of the catalytic system and intrinsic reactivities of the catalysts. Using an example of hydrogenation catalysis, we examine the transient behavior of catalysts that are often encountered in activation, deactivation and catalytic turnover processes. Each of these processes and the reaction environment in which they take place are gradually shown to determine the real-time catalyst speciation and the resulting kinetics of the overall catalytic reaction. As a result, the catalyst performance becomes a complex and time-dependent metric defined by multiple descriptors apart from the reaction yield. This behaviour is not limited to hydrogenation catalysis and affects various catalytic transformations. In this feature article, we discuss these catalytically relevant descriptors in an attempt to arrive at a comprehensive depiction of catalytic performance.","","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:16c38df9-5825-4c85-a8e2-72f20bcce72f","http://resolver.tudelft.nl/uuid:16c38df9-5825-4c85-a8e2-72f20bcce72f","Combustion Air Humidification for NOx Emissions Reduction in Gas Boiler: An Experimental Study","Zhang, Qunli (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Zhao, Wenqiang (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Sun, Donghan (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Meng, Xiangzhao (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy); Yang, Xiaohu (Xi’an Jiaotong University)","","2023","NOx emission reduction from gas boilers has become a key issue in improving air quality. Combustion air humidification technology is gradually being used to reduce NOx emissions. However, the NOx emission reduction effect of gas boilers at a higher combustion air humidity has been studied less. A flue gas with low NOx emissions and a waste heat recovery system using combustion air humidification technology are proposed in this study. In the ultra-low NOx mode, the effect of high combustion air humidity on NOx emission reduction and efficiency of the gas boiler were studied experimentally. In the waste heat recovery mode, the effects of the heat network backwater temperature on the NOx emission reduction and system efficiency were studied experimentally. Results showed that an increase in air humidity can significantly reduce the NOx concentration formed by combustion. The ultra-low NOx mode reduces NOx emissions from 130 mg/m3 to 23.3 mg/m3 and affects the boiler efficiency slightly. In the waste heat recovery mode, NOx emissions can be reduced to 39.9 mg/m3 when the backwater temperature of the heat network is 55 °C. This condition improves the efficiency to 93.8%. The analysis results provide suggestions for the selection of the operation modes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","Process and Energy","","","",""
"uuid:c59a9a4c-8106-4674-bf6a-5cb30bd98b65","http://resolver.tudelft.nl/uuid:c59a9a4c-8106-4674-bf6a-5cb30bd98b65","Radar-based human activity recognition with adaptive thresholding towards resource constrained platforms","Li, Zhenghui (University of Glasgow); Le Kernec, Julien (University of Glasgow); Abbasi, Qammer (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yang, Shufan (Edinburgh Napier University); Romain, Olivier (CY University)","","2023","Radar systems are increasingly being employed in healthcare applications for human activity recognition due to their advantages in terms of privacy, contactless sensing, and insensitivity to lighting conditions. The proposed classification algorithms are however often complex, focusing on a single domain of radar, and requiring significant computational resources that prevent their deployment in embedded platforms which often have limited memory and computational resources. To address this issue, we present an adaptive magnitude thresholding approach for highlighting the region of interest in the multi-domain micro-Doppler signatures. The region of interest is beneficial to extract salient features, meanwhile it ensures the simplicity of calculations with less computational cost. The results for the proposed approach show an accuracy of up to 93.1% for six activities, outperforming state-of-the-art deep learning methods on the same dataset with an over tenfold reduction in both training time and memory footprint, and a twofold reduction in inference time compared to a series of deep learning implementations. These results can help bridge the gap toward embedded platform deployment.","","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:2866afd6-a7ab-460c-9380-aed87aa20da7","http://resolver.tudelft.nl/uuid:2866afd6-a7ab-460c-9380-aed87aa20da7","Sea Surface Temperature Gradients Estimation Using Top-of-Atmosphere Observations from the ESA Earth Explorer 10 Harmony Mission: Preliminary Studies","Ciani, Daniele (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Sabatini, Mattia (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Buongiorno Nardelli, Bruno (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning); Rommen, Björn (European Space Agency (ESA)); Wethey, David S. (University of South Carolina); Yang, Chunxue (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Liberti, Gian Luigi (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory)","","2023","The Harmony satellite mission was recently approved as the next European Space Agency (ESA) Earth Explorer 10. The mission science objectives cover several applications related to solid earth, the cryosphere, upper-ocean dynamics and air–sea interactions. The mission consists of a constellation of two satellites, flying with the Copernicus Sentinel 1 (C or D) spacecraft, each hosting a C-band receive-only radar and a thermal infrared (TIR) payload. From an ocean dynamics/air–sea interaction perspective, the mission will provide the unique opportunity to observe simultaneously the signature of submesoscale upper-ocean processes via synthetic aperture radar and TIR imagery. The TIR imager is based on microbolometer technology and its acquisitions will rely on four channels: three narrow-band channels yielding observations at a ≃1 km spatial sampling distance (SSD) and a panchromatic (PAN, 8–12 (Formula presented.) m) channel characterized by a ≃300 m SSD. Our study investigates the potential of Harmony in retrieving spatial features related to sea surface temperature (SST) gradients from the high-resolution PAN channel, relying on top-of-atmosphere (TOA) observations. Compared to a standard SST gradient retrieval, our approach does not require atmospheric correction, thus avoiding uncertainties due to inter-channel co-registration and radiometric consistency, with the possibility of exploiting the higher resolution of the PAN channel. The investigations were carried out simulating the future Harmony TOA radiances (TARs), as well as relying on existing state-of-the-art level 1 satellite products. Our approach enables the correct description of SST features at the sea surface avoiding the generation of spurious features due to atmospheric correction and/or instrumental issues. In addition, analyses based on existing satellite products suggest that the clear-sky TOA observations, in a typical mid-latitude scene, allow the reconstruction of up to 85% of the gradient magnitudes found at the sea-surface level. The methodology is less efficient in tropical areas, suffering from smoothing effects due to the high concentrations of water vapor.","ESA Earth Explorer 10 Harmony; sea surface temperature (SST); SST gradients","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:2cd2f7a0-7f1a-47da-84c6-d627b21e5502","http://resolver.tudelft.nl/uuid:2cd2f7a0-7f1a-47da-84c6-d627b21e5502","GlobalMatch: Registration of forest terrestrial point clouds by global matching of relative stem positions","Wang, Xufei (Tongji University); Yang, Z. (TU Delft Urban Data Science; Tongji University); Cheng, Xiaojun (Tongji University); Stoter, J.E. (TU Delft Urban Data Science); Xu, Wenbing (Zhejiang Agriculture and Forestry University); Wu, Zhenlun (Big Data Development Administration of Yichun); Nan, L. (TU Delft Urban Data Science)","","2023","Registering point clouds of forest environments is an essential prerequisite for LiDAR applications in precision forestry. State-of-the-art methods for forest point cloud registration require the extraction of individual tree attributes, and they have an efficiency bottleneck when dealing with point clouds of real-world forests with dense trees. We propose an automatic, robust, and efficient method for the registration of forest point clouds. Our approach first locates tree stems from raw point clouds and then matches the stems based on their relative spatial relationship to determine the registration transformation. The algorithm requires no extra individual tree attributes and has quadratic complexity to the number of trees in the environment, allowing it to align point clouds of large forest environments. Extensive experiments on forest terrestrial point clouds have revealed that our method inherits the effectiveness and robustness of the stem-based registration strategy while exceedingly increasing its efficiency. Besides, we introduce a new benchmark dataset that complements the very few existing open datasets for the development and evaluation of registration methods for forest point clouds. The source code of our method and the dataset are available at https://github.com/zexinyang/GlobalMatch.","Dataset; Forest; Laser scanning; Point cloud; Registration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","","Urban Data Science","","",""
"uuid:028a0f1d-691c-4864-a6d3-1acbf9457acf","http://resolver.tudelft.nl/uuid:028a0f1d-691c-4864-a6d3-1acbf9457acf","A Plant-inspired Light Transducer for High-performance Near-infrared Light Mediated Gas Sensing","Liang, Hongping (South China Normal University; Huizhou University); Guo, Xin (South China Normal University); Guo, Lanpeng (South China Normal University); Liu, Siying (South China Normal University); Zhan, Qiuqiang (South China Normal University); Yang, Haihong (The First Affiliated Hospital of Guangzhou Medical University); Li, Hao (South China Normal University); de Rooij, Nicolaas Frans (South China Normal University); Lee, Yi Kuen (The Hong Kong University of Science and Technology); French, P.J. (TU Delft Bio-Electronics); Wang, Yao (South China Normal University); Zhou, Guofu (South China Normal University)","","2023","Constructing near-infrared light (NIR) light-enhanced room temperature gas sensors is becoming more promising for practical application. In this study, learning from the structure and photosynthetic process of chlorophyll thylakoid membranes in plants, the first “Thylakoid membrane” structural formaldehyde (HCHO) sensor is constructed by matching the upconversion emission of the lanthanide-doped upconversion nanoparticles (UCNPs) and the UV–vis adsorption of the as-prepared nanocomposites. The NIR-mediated sensor exhibits excellent performances, including ultra-high response (Ra / Rg = 2.22, 1 ppm), low practical limit of detection (50 ppb), reliable repeatability, high selectivity, and broadband spectral response. The practicality of the NIR-mediated gas sensor is confirmed through the remote and external stimulation test. A study of sensing mechanism demonstrates that it is the UCNPs-based light transducer produces more light-induced oxygen species for gas response in the process of non-radiative/radiative energy transfer, playing a key role in significantly improving the sensing properties of the sensor. The universality of NIR-mediated gas sensors based on UCNPs is verified using ZnO, In2O3, and SnO2 systems. This work paves a way for fabricating high-performance NIR-mediated gas sensors and will expand the application fields of NIR light.","gas sensors; light transducers; NIR-mediation; thylakoid membranes; upconversion nanoparticles","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Bio-Electronics","","",""
"uuid:66372140-4a90-4538-ae15-79fe444033c2","http://resolver.tudelft.nl/uuid:66372140-4a90-4538-ae15-79fe444033c2","Multi-objective Optimisation Framework for Assessment of Trade-Offs between Benefits and Co-benefits of Nature-based Solutions","Yang, Shengnan (IHE Delft Institute for Water Education); Ruangpan, L. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Torres, Arlex Sanchez (IHE Delft Institute for Water Education); Vojinovic, Zoran (University of Belgrade; University of Exeter; IHE Delft Institute for Water Education)","","2023","Urbanization and climate change are producing an escalation in the prevalence of urban problems, particularly those connected to flooding, prompting authorities and stakeholders to recognize the need for sustainable solutions. Nature-Based Solutions are progressively replacing traditional engineering solutions as an alternative since they are more eco-friendly. By re-activating the urban hydrological cycle processes, NBS intends to increase the natural water storage capacity to help decrease urban flooding. The work described here outlines a framework for optimising the efficacy of NBS for flood risk reduction and its co-benefits, as well as defining the trade-offs among these co-benefits. The framework integrates 1D hydrodynamic models with multi-objective optimisation techniques. To demonstrate the applicability of the framework and its methods it has been used in Sint Maarten, which is an island located in the Caribbean Sea. Four NBS measure were identified as having good potential to be applied in the case study, namely: green roof, permeable pavement, bio-retention pond, and open detention basin. The results showed that the developed framework has the ability to represent the link between benefits and costs when evaluating various NBS, hence aiding the decision-making process to select and implement NBS.","Flood risk reduction; Multi-objective optimisation; Nature-based solution; NBS benefits; NSGA-II; SWMM model","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:408eae9f-2fc9-49f5-8fda-43635d0abd16","http://resolver.tudelft.nl/uuid:408eae9f-2fc9-49f5-8fda-43635d0abd16","Recognition of Unsafe Onboard Mooring and Unmooring Operation Behavior Based on Improved YOLO-v4 Algorithm","Zhao, Changjiu (Dalian Maritime University; Dalian University); Zhang, Wenjun (Dalian Maritime University; Dalian University); Chen, C.Y. (TU Delft Transport Engineering and Logistics); Yang, Xue (Dalian Maritime University; Dalian University); Yue, Jingwen (Dalian Maritime University; Dalian University); Han, Bing (Shanghai Ship and Shipping Research Institute Co.; Minjiang University)","","2023","In the maritime industry, unsafe behaviors exhibited by crew members are a significant factor contributing to shipping and occupational accidents. Among these behaviors, unsafe operation of mooring lines is particularly prone to causing severe accidents. Video-based monitoring has been demonstrated as an effective means of detecting these unsafe behaviors in real time and providing early warning to crew members. To this end, this paper presents a dataset comprising videos of unsafe mooring line operations by crew members on the M.V. YuKun. Additionally, we propose an unsafe behavior recognition model based on the improved You Only Look Once (YOLO)-v4 network. Experimental results indicate that the proposed model, when compared to other models such as the original YOLO-v4 and YOLO-v3, demonstrates a significant improvement in recognition speed by approximately 35% while maintaining accuracy. Additionally, it also results in a reduction in computation burden. Furthermore, the proposed model was successfully applied to an actual ship test, which further verifies its effectiveness in recognizing unsafe mooring operation behaviors. Results of the actual ship test highlight that the proposed model’s recognition accuracy is on par with that of the original YOLO-v4 network but shows an improvement in processing speed by 50% and a reduction in processing complexity by about 96%. Hence, this work demonstrates that the proposed dataset and improved YOLO-v4 network can effectively detect unsafe mooring operation behaviors and potentially enhance the safety of marine operations.","maritime safety; Mobilenet-v3; unsafe behavior; YOLO-v4","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:a986ac42-12e1-4a21-86be-6fdef45effd8","http://resolver.tudelft.nl/uuid:a986ac42-12e1-4a21-86be-6fdef45effd8","The contribution shift of ammonia-oxidizing archaea and bacteria to ammoxidation under Ag-NPs/SWCNTs/PS-NPs stressors in constructed wetlands","Yang, X. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Guo, Fucheng (Chongqing University); Liu, Tao (Chongqing University); He, Qiang (Chongqing University); Vymazal, Jan (Czech University of Life Sciences Prague); Chen, Yi (Chongqing University)","","2023","Ammonia-oxidizing microorganisms (AOMs, archaea (AOA) and bacteria (AOB)) are primarily responsible for the ammoxidation in constructed wetlands (CWs). However, little is known about evaluating the response of AOA and AOB to engineered nanomaterials (ENMs) and quantifying the shift of their contribution to ammoxidation. Herein, we operated a series of CWs exposing to silver nanoparticles (Ag-NPs), single-walled carbon nanotubes (SWCNTs), and polystyrene nano-sized plastics (PS-NPs) with the wastewater-accumulating concentration of ENMs for 180 days. The results showed that the abundance of AOA amoA gene in situ was far lower than that of AOB, while the abundance ratio of AOA to AOB increased by 15 folds after 180-day experiment. Using DNA stable isotope probing (DNA-SIP) experiment, we found that the active AOB microbiota varied substantially but the AOA was more stable across different groups. Furthermore, the co-occurrence analysis proved that ENMs stress increased the negative coexistence pattern of AOA and AOB; predictive functional profiling showed that the ENMs enhanced the functional advantage of AOA by inhibiting AOB (mainly hydroxylamine oxidation process). Finally, the contribution of AOA increased under exposing to SWCNTs (18.35%), PS-NPs (24.92%), and Ag-NPs (32.14%) compared with control group (0.03%) for 180 days. Despite this, AOB was still the primary executant of ammoxidation in CWs. Overall, in our study, the differences in activities and contributions of AOMs were quantified in CWs, and a significantly negative coexistence relationship between AOA and AOB was revealed when exposed to emerging nanomaterials.","Ammonia-oxidizing microorganisms; Ammoxidation; Constructed wetlands; DNA-stable isotope probe; Engineered nanomaterials","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-14","","","Sanitary Engineering","","",""
"uuid:b117e566-b049-4766-92f9-309970f6bd7d","http://resolver.tudelft.nl/uuid:b117e566-b049-4766-92f9-309970f6bd7d","Integrating the flow regime and water quality effects into a niche-based metacommunity dynamics model for river ecosystems","Wang, Yibo (Wuhan University); Liu, Pan (Wuhan University); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education); Li, Liping (Changjiang Water Resources Commission); Wu, Chen (Wuhan University); Han, Dongyang (Wuhan University); Zhang, Xiaojing (Wuhan University); Yang, Zhikai (Wuhan University); Yang, Sheng (China Energy Science and Technology Research Institute Co.,Ltd)","","2023","Aquatic community dynamics are closely dominated by flow regime and water quality conditions, which are increasingly threatened by dam regulation, water diversion, and nutrition pollution. However, further understanding of the ecological impacts of flow regime and water quality conditions on aquatic multi-population dynamics has rarely been integrated into existing ecological models. To address this issue, a new niche-based metacommunity dynamics model (MDM) is proposed. The MDM aims to simulate the coevolution processes of multiple populations under changing abiotic environments, pioneeringly applied to the mid-lower Han River, China. The quantile regression method was used for the first time to derive ecological niches and competition coefficients of the MDM, which are demonstrated to be reasonable by comparing them with the empirical evidence. Simulation results show that the Nash efficiency coefficients for fish, zooplankton, zoobenthos, and macrophytes are more than 0.64, while the Pearson correlation coefficients for them are no less than 0.71. Overall, the MDM performs effectively in simulating metacommunity dynamics. For all river stations, the average contributions of biological interaction, flow regime effects, and water quality effects to multi-population dynamics are 64%, 21%, and 15%, respectively, suggesting that the population dynamics are dominated by biological interaction. For upstream stations, the fish population is 8%–22% more responsive to flow regime alteration than other populations, while other populations are 9%–26% more responsive to changes in water quality conditions than fish. For downstream stations, flow regime effects on each population account for less than 1% due to more stable hydrological conditions. The innovative contribution of this study lies in proposing a multi-population model to quantify the effects of flow regime and water quality on aquatic community dynamics by incorporating multiple indicators of water quantity, water quality, and biomass. This work has potential for the ecological restoration of rivers at the ecosystem level. This study also highlights the importance of considering threshold and tipping point issues when analyzing the “water quantity-water quality-aquatic ecology” nexus in future works.","Ecological niche; Flow regime; Metacommunity dynamics; Quantile regression; River ecosystem; Water quality","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-11","","","Water Resources","","",""
"uuid:2394970d-64dd-4f75-917f-12a48630021d","http://resolver.tudelft.nl/uuid:2394970d-64dd-4f75-917f-12a48630021d","Roles of the grain-boundary characteristics and distributions on hydrogen embrittlement in face-centered cubic medium-entropy VxCr1-xCoNi alloys","Yang, Dae Cheol (Korea University); Song, Sang Yoon (Korea University); Kim, Han Jin (Korea Institute of Science and Technology); Lee, Sang In (Korea Institute of Science and Technology); Dutta, B. (TU Delft Team Marcel Sluiter); Kim, Young Kyun (Korea Institute of Materials Science); Shim, Jae Hyeok (Korea Institute of Science and Technology; Sungkyunkwan University); Suh, Jin Yoo (Korea Institute of Science and Technology); Na, Young Sang (Korea Institute of Materials Science); Sohn, Seok Su (Korea University)","","2023","The issue of hydrogen embrittlement (HE) in face-centered (FCC) structured alloys is significant for H storage and transportation application due to unanticipated damage beyond its predicted service life. This unpredictable situation may harm human life and limit hydrogen to a reliable source of renewable energy in industrial fields. Recent research has suggested that multi-principal element alloys possess high resistance to HE. However, there has been limited exploration of how their unique properties affect the HE mechanisms. In this study, using simple model VCrCoNi alloys with analogous grain sizes, the reduction rate of ductility by hydrogen uptake was measured through a slow strain rate tensile test following electro-chemical H charging. Further, the origin of HE resistance was investigated by analyzing various factors such as hydrogen contents, fracture and deformation behaviors, and grain boundary properties using thermal desorption spectroscopy, scanning electron microscope, and electron backscatter diffraction. Despite the consistent trends of the H content, stacking fault energy, and stress with increasing V content, the resistance to HE is the highest for the alloy with an intermediate ratio of V and Cr, namely, for the V0.7Cr0.3CoNi alloy. Through the analysis of grain boundary characteristics, the high resistance is attributed to large fractions of special boundaries and special triple junctions and large twin-related domain size, which suppresses crack growth and interlinkage. The favorable grain boundary characteristics result from mechanical dynamic recovery, achieved by the competitive effects of solid-solution strengthening and stacking fault energy. Thus, the present study provides novel insights into enhancing HE resistance in FCC-structured alloys.","Grain boundary characteristics; Hydrogen embrittlement; Medium-entropy alloy; Solid-solution strengthening; Stacking fault energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-13","","","Team Marcel Sluiter","","",""
"uuid:a64bd421-420c-45cb-9147-313b007006c2","http://resolver.tudelft.nl/uuid:a64bd421-420c-45cb-9147-313b007006c2","Real-time pipeline leak detection and localization using an attention-based LSTM approach","Zhang, Xinqi (China University of Petroleum (East China)); Shi, Jihao (China University of Petroleum (East China); The Hong Kong Polytechnic University); Yang, M. (TU Delft Safety and Security Science); Huang, Xinyan (The Hong Kong Polytechnic University); Usmani, Asif Sohail (The Hong Kong Polytechnic University); Chen, Guoming (China University of Petroleum (East China)); Fu, Jianmin (China University of Petroleum (East China)); Huang, Jiawei (China University of Petroleum (East China)); Li, Junjie (China University of Petroleum (East China))","","2023","Long short-term memory (LSTM) has been widely applied to real-time automated natural gas leak detection and localization. However, LSTM approach could not provide the interpretation that this leak position is localized instead of other positions. This study proposes a leakage detection and localization approach by integrating the attention mechanism (AM) with the LSTM network. In this hybrid network, a fully-connected neural network behaving as AM is first applied to assign initial weights to time-series data. LSTM is then used to discover the complex correlation between the weighted data and leakage positions. A labor-scale pipeline leakage experiment of an urban natural gas distribution network is conducted to construct the benchmark dataset. A comparison between the proposed approach and the state-of-the-arts is also performed. The results demonstrate our proposed approach exhibits higher accuracy with AUC = 0.99. Our proposed approach assigns a higher attention weight to the sensor close to the leakage position, indicating the variation of data from the sensor has a significant influence on leakage localization. It corresponds that the closer to the leakage position, the larger variation of monitoring pressure after leakage, which enhances the detection results’ trustiness. This study provides a transparent and robust alternative for real-time automatic pipeline leak detection and localization, which contributes to constructing a digital twin of emergency management of urban pipeline leakage.","Attention mechanism; Leakage localization; Long short-term memory; Pipeline fault diagnosis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-12","","","Safety and Security Science","","",""
"uuid:02e260b6-22c1-421e-9b9a-d3bf776d0422","http://resolver.tudelft.nl/uuid:02e260b6-22c1-421e-9b9a-d3bf776d0422","Single-Molecule Protein Fingerprinting with Photonic Hexagonal Boron Nitride Nanopores","Shin, D. (TU Delft Dynamics of Micro and Nano Systems); Yang, X. (TU Delft Dynamics of Micro and Nano Systems); Caneva, S. (TU Delft Dynamics of Micro and Nano Systems)","","2023","","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:4694d842-4904-4c03-9b12-af8041d98da5","http://resolver.tudelft.nl/uuid:4694d842-4904-4c03-9b12-af8041d98da5","Crystalline silicon solar cells with thin poly-SiOx carrier-selective passivating contacts for perovskite/c-Si tandem applications","Singh, M. (TU Delft Photovoltaic Materials and Devices); Amarnath, A. (TU Delft EKL Processing); Wagner, Fabian (Student TU Delft); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; TNO Energy Transition); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Single junction crystalline silicon (c-Si) solar cells are reaching their practical efficiency limit whereas perovskite/c-Si tandem solar cells have achieved efficiencies above the theoretical limit of single junction c-Si solar cells. Next to low-thermal budget silicon heterojunction architecture, high-thermal budget carrier-selective passivating contacts (CSPCs) based on polycrystalline-SiOx (poly-SiOx) also constitute a promising architecture for high efficiency perovskite/c-Si tandem solar cells. In this work, we present the development of c-Si bottom cells based on high temperature poly-SiOx CSPCs and demonstrate novel high efficiency four-terminal (4T) and two-terminal (2T) perovskite/c-Si tandem solar cells. First, we tuned the ultra-thin, thermally grown SiOx. Then we optimized the passivation properties of p-type and n-type doped poly-SiOx CSPCs. Here, we have optimized the p-type doped poly-SiOx CSPC on textured interfaces via a two-step annealing process. Finally, we integrated such bottom solar cells in both 4T and 2T tandems, achieving 28.1% and 23.2% conversion efficiency, respectively.","","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:e64bad95-e9ff-40b0-ba08-01bf1c139544","http://resolver.tudelft.nl/uuid:e64bad95-e9ff-40b0-ba08-01bf1c139544","Visualized analysis of safety climate research: A bibliometric data mining approach","Yang, Fuqiang (Fuzhou University); Huang, Yujie (Fuzhou University); Tao, Jing (Fuzhou University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Chen, Chao (Southwest Petroleum University)","","2023","It is well known that safety climate (SC) has paramount significance in safety science and accident prevention. In this paper, a bibliometric data mining is conducted to systematically review the research domain of SC. Overall, 1624 documents on SC are obtained, covering 4830 authors, 473 journals, 89 countries/regions, and 1766 institutions between 1980 and 2021. SC has obtained increasing attention since the number of publications related to SC grew from 1 in 1980 to 188 in 2020. Based on the bibliometric data, network analysis was carried out to understand the relationship among different countries/regions, authors, and keywords. Safety Science, Journal of Safety Research, and Accident Analysis and Prevention are the major sources of SC publications, and the USA, Australia, and China lead scientific collaboration production on SC research. Then, text mining of publication keywords is used to identify the hot topics and the evolution of mainstream research over time in the SC domain. The dominant topics in SC research include culture, performance, safety behavior, and model. Meanwhile, the limitations of past research on SC are analyzed and the differences between SC and safety culture are discussed. Moreover, recommendations for future research on SC are also given based on the results of bibliometric analysis and existing literature reviews.","Bibliometric analysis; Data mining; Network analysis; Safety climate; Safety management","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-21","","","Safety and Security Science","","",""
"uuid:bfa552eb-eb31-4af2-b181-77837ab09bfe","http://resolver.tudelft.nl/uuid:bfa552eb-eb31-4af2-b181-77837ab09bfe","FE-assisted investigation for mechanical behaviour of connections in offshore wind turbine towers","Cheng, L. (TU Delft Steel & Composite Structures); Yang, Fei (Chang'an University); Seidel, Marc (Siemens Gamesa Renewable Energy GmbH & Co. KG); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","The overall competitiveness of offshore wind turbine towers is significantly influenced by the selection of the connection. The following three types of connections: a conventional bolted ring flange (RF) connection, ring flange connection with defined contact surfaces (RFD), and C1 wedge connection (C1-WC) are considered. A quantitative comparison is made to enhance performance in a specific condition and enable further optimization of these connections in engineering practices. The study compares the tensile behaviour and fatigue performance of these connections by validated finite element (FE) simulation and analysis. The proposed FE modelling is based on a realistic geometry including all contacts present in the connections, steel full-range stress–strain relationship and ductile damage model. The efficiency and accuracy of the FE models are validated through the comparison with the performed tests. Then, a series of parametric FE analyses are carried out to examine the impact of the applied boundary conditions, bolt pretension level, and steel grade on the behaviour of connections. Load-displacement curves, bolt evolution curves, and stress responses are analysed to compare their tensile behaviour. The effectiveness of conventional segment specimen testing is evaluated thoroughly. For the fatigue performance of connections, the results indicate that the segment specimen testing substantially underestimates the fatigue performance of C1-WCs. This discrepancy is essential to be considered in the tower design. It is also noted that C1-WCs are rather insensitive to the variation of pretension force level, which show superiority to avoiding the difficulties associated with typically bolted joints. This research provides in-depth knowledge for the practical application of such connections and further optimization.","C1 wedge connection; Fatigue performance; Finite element analysis; Ring flange connection; Tensile behaviour; Wind turbine tower","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:fa331fbc-6f45-4e3d-9da0-6a326f7c2b5e","http://resolver.tudelft.nl/uuid:fa331fbc-6f45-4e3d-9da0-6a326f7c2b5e","Investigation on the technical performance and workability of hot-melting road marking materials using for the high-altitude area","Lu, Jing (Anhui Open University); Zhang, Min (Henan University); Feng, Jianlin (Wuhan Institute of Technology); Gao, Y. (TU Delft Pavement Engineering); Yang, Ye (Wuhan Institute of Technology); Li, Yuanyuan (Wuhan Institute of Technology); Li, Linglin (University of Nottingham)","","2023","The special environment conditions in high altitude areas leads to serious cracking and peeling of road hot-melt marking coatings. In order to improve the durability of marking paint, a durable hot-melt marking paint was designed by modifying the paint with toughening-agent, rheological agent, and anti-aging agent. The modification mechanism of the modified coating was revealed through TG and FTIR analysis. The low-temperature anti cracking, adhesion, and anti-aging properties of the modified coating were studied by low-temperature bending test, interlaminar shear test and UV aging test. Besides this, the workability of modified coatings was tested onsite. The results showed that the mass loss rate of the rheological agent is 0.15% at 240 °C, the modified coating had good thermal stability within the mixing temperature range of the coating. There was no chemical change between the modifier and the coating, which was a physical blend. The modified marking paint had good fluidity, softening point and drying time, but its compressive strength was slightly reduced. With the increase of the content of the toughener, the low temperature crack resistance and adhesion of the marking coating gradually increase. When the toughener is 5%, the flexural tensile strain of the marking coating beam increased by 79.1%, and the adhesion strength of asphalt concrete increased by 53.4%. The anti-aging agent can shield most of the UV radiation and improve the anti-aging property of the coating by about 30%. The field process validation achieved the expected results. The modifier coating has excellent low temperature crack resistance, adhesion, UV aging resistance, and other properties, and has good application prospects in harsh environment areas.","Modification mechanism; Process validation; Road marking paint; Road performance; Working performance","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:2ccc4557-c4de-4578-a632-7afb367fc7c1","http://resolver.tudelft.nl/uuid:2ccc4557-c4de-4578-a632-7afb367fc7c1","Exploring weather-related factors affecting the delay caused by traffic incidents: Mitigating the negative effect of traffic incidents","Su, Xiangtong (Beijing Jiaotong University); Zhi, Danyue (Beijing Jiaotong University); Song, Dongdong (Beijing Jiaotong University); Tian, Le (China Communications Information Technology Group Co., Ltd); Yang, Y. (TU Delft Transport and Planning)","","2023","Background: Existing studies mainly focus on the relationship between real-time weather and traffic crash injury severity, while few scholars have investigated the operation risk levels caused by traffic incidents. Identifying weather-related factors that affect the incident-induced delay is helpful for estimating the delay levels when an incident occurs. Accordingly, the present study profoundly explores the relationship between weather conditions and traffic delays caused by traffic incidents. Methods: The traffic incident and weather datasets from January 1 to December 31, 2020, in New York State are used. To that end, the hazard-based duration and multinomial logit modeling frameworks are employed to determine the effect of weather conditions on the duration of traffic delay and the delay severity, respectively. More importantly, to account for multiple layers of unobserved heterogeneity, a random parameter with heterogeneity in means approach is introduced into the above two models. Results: (1) The strong breeze (wind speed over 8 m/s) and low visibility (visibility under 5 km) significantly affect the duration of delay. (2) Hot day (between 20 and 30 °C) has a 344.03 % greater probability of minor delay. A strong breeze has a higher probability of severe delay. The low visibility is found to increase the estimated odds of moderate delay and severe delay by 51.15 % and 13.39 %, respectively. In comparison, the normal visibility (between 10 and 20 km) significantly decreases the estimated odds of severe delay by 119.17 %. Conclusions: Compared with other weather factors, wind speed, temperature, and visibility have the greatest impact on the traffic delay levels after a traffic accident, and there are significant differences in the impact under different delay severity. Findings from this study will help policymakers to establish comprehensive differentiating security measures to resolve traffic delays.","Hazard-based duration model; Heterogeneity in the means; Random parameters logit model; Traffic delay; Weather condition","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-18","","","Transport and Planning","","",""
"uuid:326bd9fe-0220-4ee8-84ed-45e68daf33a4","http://resolver.tudelft.nl/uuid:326bd9fe-0220-4ee8-84ed-45e68daf33a4","An assessment method of rail corrugation based on wheel–rail vertical force and its application for rail grinding","Niu, Liubin (China Academy of Railway Sciences); Yang, Fei (China Academy of Railway Sciences); Deng, Xiangyun (Southeast University); Zhang, P. (TU Delft Railway Engineering); Jing, Guoqing (Beijing Jiaotong University); Qiang, Weile (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering)","","2023","In practice, the assessment and treatment of rail corrugation are quantitatively based on the corrugation depth. Wheel–rail vertical forces (WRVF), as a direct reflection of wheel–rail interaction, can give expression to the corrugation depth and thus serve as a key parameter for assessing the corrugation. In this paper, we propose an evaluation method for rail corrugation based on the WRVF. First, a 3D wheel–rail dynamic finite element (FE) model was developed with typical parameters of CRTS II slab track and CRH3 vehicle for high-speed railways in China. The accuracy of the model was then validated with the measured WRVF data in the field. Second, using the validated model, the time–frequency domain distribution of WRVF (vehicle speed: 300 km/h) was obtained with consideration of the corrugation wavelength in the range of 40–180 mm. The non-linear least squares method and rational equation were used to fit the function between the large value of WRVF and the corrugation depth value under the conditions of different corrugation wavelengths. Next, effects of the Pinned–Pinned resonance frequency and vibration mode on the fitted parameters were analysed, by which an indicator for corrugation treatment (grinding) was proposed. Finally, the indicator was applied in the monitoring of rail corrugation for high-speed railway lines in the field. The results show that the misjudgement rate of rail grinding decisions (using the proposed indicator) is low with the accuracy at 92.5%. The proposed method can provide a basis for the rail corrugation evaluation and grinding decisions-making.","Corrugation assessment; FE modelling; Grinding decision; High speed rail; Wheel–rail vertical forces","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:2d8745e4-3713-4719-8c66-153cf1ae4ae0","http://resolver.tudelft.nl/uuid:2d8745e4-3713-4719-8c66-153cf1ae4ae0","Editorial: Human-centered AI: Crowd computing","Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Gadiraju, Ujwal (TU Delft Web Information Systems); Lease, Matthew (The University of Texas at Austin)","","2023","","crowdsourcing; human computation; human-AI interaction; human-centered AI; human-in-the-loop AI","en","contribution to periodical","","","","","","","","","","","Web Information Systems","","",""
"uuid:3be90238-25f6-4a5c-9246-d7e1ec125151","http://resolver.tudelft.nl/uuid:3be90238-25f6-4a5c-9246-d7e1ec125151","Microwave Heating Healing of Asphalt Mixture with Coal Gangue Powder and Basalt Aggregate","Zhang, Bin (Wuhan University of Technology); Gao, Xu (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Yang, Xinkui (Wuhan University of Technology); Tian, Qin (Wuhan University of Technology); Liu, Jiayi (Wuhan University of Technology)","","2023","Microwave heating is an effective method to achieve autonomic crack healing in asphalt mixtures, and the use of microwave-absorbing materials can largely improve this healing efficiency. As a solid waste, coal gangue contains metal oxides, which shows the possibility of microwave heating. In order to further promote the application of coal gangue in the microwave healing of asphalt mixtures, this study looks into the synergistic effect of basalt and coal gangue powder (CGP) on the microwave heating self-healing of an asphalt mixture. The mechanical performance, water stability, low-temperature crack resistance and microwave healing efficiency of the asphalt mixture were investigated using the immersion Marshall test, standard Marshall test, Cantabro test and semi-circular bending (SCB), and healing tests, respectively. The results indicated that the addition of CGP in asphalt mixture can improve the microwave heating speed, which also showed a significant advantage in water stability and fracture energy recovery. The research results will further promote the utilization rate of coal gangue.","self-healing asphalt; coal gangue powder; basalt; microwave healing; pavement performance; healing efficiency","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:38545a77-f02a-41df-bbfc-ec3c6bd9f16f","http://resolver.tudelft.nl/uuid:38545a77-f02a-41df-bbfc-ec3c6bd9f16f","A review of vision-based road detection technology for unmanned vehicles","Liu, Chaoyang (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Yang, Fan (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning); Li, Mengkai (Beijing Institute of Technology)","","2023","With the development of unmanned vehicle technology, unmanned vehicles have played a huge role in logistics transportation, emergency rescue and disaster relief, etc., so the research on unmanned vehicles is becoming more and more important. Road detection is an important part of environmental perception and an important factor in the realization of assisted driving and unmanned driving technology. High-precision road detection technology can provide important environmental information for efficient planning and reasonable decision-making of unmanned vehicles. Firstly, the technical framework of road detection is given, and the road detection process is introduced in detail. Then, the vision-based road detection algorithm is introduced. Finally, some related data sets in the field of road detection are collected, which provides new ideas and methods for road detection researchers.","data sets; road detection; unmanned vehicle; vision","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-07","","","Transport and Planning","","",""
"uuid:e420db6d-4881-4e53-928d-4e96eb1a5268","http://resolver.tudelft.nl/uuid:e420db6d-4881-4e53-928d-4e96eb1a5268","A New Look at Blockchain Leader Election: Simple, Efficient, Sustainable and Post-Quantum","Esgin, Muhammed F. (Monash University); Ersoy, O. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Kuchta, Veronika (Florida Atlantic University); Loss, Julian (CISPA Helmholtz Center for Information Security); Sakzad, Amin (Monash University); Steinfeld, Ron (Monash University); Yang, Xiangwen (Monash University); Zhao, Raymond K. (CSIRO Data61)","","2023","In this work, we study the blockchain leader election problem. The purpose of such protocols is to elect a leader who decides on the next block to be appended to the blockchain, for each block proposal round. Solutions to this problem are vital for the security of blockchain systems. We introduce an efficient blockchain leader election method with security based solely on standard assumptions for cryptographic hash functions (rather than public-key cryptographic assumptions) and that does not involve a racing condition as in Proof-of-Work based approaches. Thanks to the former feature, our solution provides the highest confidence in security, even in the post-quantum era. A particularly scalable application of our solution is in the Proof-of-Stake setting, and we investigate our solution in the Algorand blockchain system. We believe our leader election approach can be easily adapted to a range of other blockchain settings. At the core of Algorand's leader election is a verifiable random function (VRF). Our approach is based on introducing a simpler primitive which still suffices for the blockchain leader election problem. In particular, we analyze the concrete requirements in an Algorand-like blockchain setting to accomplish leader election, which leads to the introduction of indexed VRF (iVRF). An iVRF satisfies modified uniqueness and pseudorandomness properties (versus a full-fledged VRF) that enable an efficient instantiation based on a hash function without requiring any complicated zero-knowledge proofs of correct PRF evaluation. We further extend iVRF to an authenticated iVRF with forward-security, which meets all the requirements to establish an Algorand-like consensus. Our solution is simple, flexible and incurs only a 32-byte additional overhead when combined with the current best solution to constructing a forward-secure signature (in the post-quantum setting). We implemented our (authenticated) iVRF proposal in C language on a standard computer and show that it significantly outperforms other quantum-safe VRF proposals in almost all metrics. Particularly, iVRF evaluation and verification can be executed in 0.02 ms, which is even faster than ECVRF used in Algorand.","Algorand; Blockchain; Leader Election; Post-Quantum; Verifiable Random Function","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-10","","","Cyber Security","","",""
"uuid:301731b3-c65a-4bb5-a5a9-b4899da1b8af","http://resolver.tudelft.nl/uuid:301731b3-c65a-4bb5-a5a9-b4899da1b8af","Study of Phase-transformation Behavior in Additive Manufacturing of Nitinol Shape Memory Alloys by In Situ TEM Heating","Yang, Yi Chieh (Technical University of Denmark); Zhu, Jia-Ning (TU Delft Team Vera Popovich); Sneppen, Thor Bjerregård (Technical University of Denmark); da Silva Fanta, Alice Bastos (Technical University of Denmark); Popovich, V. (TU Delft Team Vera Popovich); Jinschek, Joerg R. (Technical University of Denmark)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-22","","","Team Vera Popovich","","",""
"uuid:1ce5eb47-7501-49fe-8709-81fc0258b23c","http://resolver.tudelft.nl/uuid:1ce5eb47-7501-49fe-8709-81fc0258b23c","On the quantitative resilience assessment of complex engineered systems","Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Sun, H. (TU Delft Safety and Security Science; China University of Petroleum (East China)); Geng, S. (TU Delft Safety and Security Science; Nanjing University of Aeronautics and Astronautics)","","2023","Recent years have seen the increasing complexity of engineered systems. Complexity and uncertainty also exist in engineered systems’ interactions with human operators, managers, and the organization. Resilience, focusing on a system's ability to anticipate, absorb, adapt to, and recover from disruptive situations, can provide an umbrella concept that covers reliability and risk-based thinking to ensure these complex systems' safety. This paper discusses the quantitative aspects of the notion of resilience. Like the quantitative risk assessment framework, a generic framework should be developed for quantitative resilience assessment. This paper proposes a framework based on a triplet resilience definition consisting of disruption, functionality, and performance. Uncertainty treatment is also considered. The proposed framework aims to answer the question of “resilience of what to what” and how it can be quantitively assessed.","Complex system; Quantitative assessment; Resilience; Safety; Uncertainty","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fd58024b-d0d6-4800-9c71-9d84b49d3206","http://resolver.tudelft.nl/uuid:fd58024b-d0d6-4800-9c71-9d84b49d3206","Zero-Mode Waveguide Nanowells for Single-Molecule Detection in Living Cells","Yang, Sora (University Medical Center Utrecht; Koninklijke Nederlandse Akademie van Wetenschappen (KNAW)); Klughammer, N. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Barth, A. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Tanenbaum, M. (TU Delft BN/Bionanoscience; University Medical Center Utrecht; Koninklijke Nederlandse Akademie van Wetenschappen (KNAW)); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2023","Single-molecule fluorescence imaging experiments generally require sub-nanomolar protein concentrations to isolate single protein molecules, which makes such experiments challenging in live cells due to high intracellular protein concentrations. Here, we show that single-molecule observations can be achieved in live cells through a drastic reduction in the observation volume using overmilled zero-mode waveguides (ZMWs- subwavelength-size holes in a metal film). Overmilling of the ZMW in a palladium film creates a nanowell of tunable size in the glass layer below the aperture, which cells can penetrate. We present a thorough theoretical and experimental characterization of the optical properties of these nanowells over a wide range of ZMW diameters and overmilling depths, showing an excellent signal confinement and a 5-fold fluorescence enhancement of fluorescent molecules inside nanowells. ZMW nanowells facilitate live-cell imaging as cells form stable protrusions into the nanowells. Importantly, the nanowells greatly reduce the cytoplasmic background fluorescence, enabling the detection of individual membrane-bound fluorophores in the presence of high cytoplasmic expression levels, which could not be achieved with TIRF microscopy. Zero-mode waveguide nanowells thus provide great potential to study individual proteins in living cells.","fluorescence correlation spectroscopy; fluorescence enhancement; fluorescence microscopy; live-cell imaging; palladium; single-molecule fluorescence; zero-mode waveguide","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Cees Dekker Lab","","",""
"uuid:1b9335f3-643a-4710-ad43-64a3916658bd","http://resolver.tudelft.nl/uuid:1b9335f3-643a-4710-ad43-64a3916658bd","Resilience assessment and management","Yang, M. (TU Delft Safety and Security Science)","","2023","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-18","","","Safety and Security Science","","",""
"uuid:5fc082f5-0993-4136-a6f9-576294c6b275","http://resolver.tudelft.nl/uuid:5fc082f5-0993-4136-a6f9-576294c6b275","How do you feel?: Measuring User-Perceived Value for Rejecting Machine Decisions in Hate Speech Detection","Lammerts, Philippe (Student TU Delft); Lippmann, P. (TU Delft Web Information Systems); Hsu, Yen Chia (Universiteit van Amsterdam); Casati, Fabio (ServiceNow); Yang, J. (TU Delft Web Information Systems)","","2023","Hate speech moderation remains a challenging task for social media platforms. Human-AI collaborative systems offer the potential to combine the strengths of humans' reliability and the scalability of machine learning to tackle this issue effectively. While methods for task handover in human-AI collaboration exist that consider the costs of incorrect predictions, insufficient attention has been paid to accurately estimating these costs. In this work, we propose a value-sensitive rejection mechanism that automatically rejects machine decisions for human moderation based on users' value perceptions regarding machine decisions. We conduct a crowdsourced survey study with 160 participants to evaluate their perception of correct and incorrect machine decisions in the domain of hate speech detection, as well as occurrences where the system rejects making a prediction. Here, we introduce Magnitude Estimation, an unbounded scale, as the preferred method for measuring user (dis)agreement with machine decisions. Our results show that Magnitude Estimation can provide a reliable measurement of participants' perception of machine decisions. By integrating user-perceived value into human-AI collaboration, we further show that it can guide us in 1) determining when to accept or reject machine decisions to obtain the optimal total value a model can deliver and 2) selecting better classification models as compared to the more widely used target of model accuracy.","crowdsourcing; hate speech; human-in-the-loop; machine confidence; rejection; value-sensitive machine learning","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:0524edd1-c1b3-4903-8190-bacbab925171","http://resolver.tudelft.nl/uuid:0524edd1-c1b3-4903-8190-bacbab925171","Quantitative Evaluation of the Probability of Success of Deliberate Attacks in the Offshore Oil&Gas Industry","Iaiani, Matteo (University of Bologna); Tugnoli, Alessandro (University of Bologna); Cozzani, Valerio (University of Bologna); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","","2023","Deliberate attacks (security attacks) pose a significant threat to offshore Oil&Gas critical infrastructures as they have the potential of triggering major event scenarios with severe consequences on people, property, and the surrounding environment. The standards API RP 70 and API RP 70I address security issues in the offshore Oil&Gas sector, providing a semi-quantitative approach to evaluate the actual level of security risk. However, as the credibility of security attacks grows, security risk assessments should be approached in a more systematic and quantitative way to measure vulnerabilities and determine the level of protection available in the site. In this context, the present study introduces a systematic quantitative procedure using Bayesian Network (BN) to calculate the probability of success of physical attacks and the role of preventive and mitigative response strategies. The procedure is applied to a case study allowing to show its potential for improving security in the offshore Oil&Gas industry.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Safety and Security Science","","",""
"uuid:4aa1bd54-4fc5-4294-aa1a-f87fa76615a8","http://resolver.tudelft.nl/uuid:4aa1bd54-4fc5-4294-aa1a-f87fa76615a8","Uncovering and modeling the hierarchical organization of urban heavy truck flows","Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Jia, Bin (Beijing Jiaotong University; Xi'an Technological University); Yan, Xiao Yong (Beijing Jiaotong University); Zhi, Danyue (Beijing Jiaotong University; Technische Universität München); Song, Dongdong (Beijing Jiaotong University); Chen, Yan (Beijing Jiaotong University); de Bok, M.A. (TU Delft Transport and Planning); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics); Gao, Ziyou (Beijing Jiaotong University)","","2023","Knowledge of the hierarchical organization of urban heavy truck flows is important for understanding the structure of urban freight system and underlying interactions dynamics, providing insights to assess and develop freight policies. The complexity and dynamic nature of urban freight system pose significant challenges in comprehensively capturing structured arrangement of heavy truck movements. In this paper, we uncover the hierarchical organization of urban heavy truck flows by using complex network theory. We use large-scale heavy truck GPS data and urban freight location point-of-interest (POI) data to construct urban heavy truck mobility networks, and detect their community structure. The empirical results suggest different sets of locations are closely linked to each other to form multiple clusters. By integrating the categories of locations, we reveal the cluster-specific industry concentration and industry-specific location roles, informing evidence-based policy formulation. To capture the interaction dynamics of locations, we develop a spatial network growth model that considers the spatial agglomeration of industrial clusters and interaction pattern of locations. The model provides a mathematical tool to simulate the formation process of real-world networks for logistics planning and management.","Community structure; Complex networks; Heavy truck flows; Interaction dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-11","","","Transport and Planning","","",""
"uuid:39e62c96-d1d9-4882-a003-6b3b8398a7c5","http://resolver.tudelft.nl/uuid:39e62c96-d1d9-4882-a003-6b3b8398a7c5","Estimating intercity heavy truck mobility flows using the deep gravity framework","Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Jia, Bin (Beijing Jiaotong University; Xi'an Technological University); Yan, Xiao Yong (Beijing Jiaotong University); Chen, Yan (Beijing Jiaotong University); Song, Dongdong (Beijing Jiaotong University); Zhi, Danyue (Beijing Jiaotong University); Wang, Y. (TU Delft Transport and Planning; Ministry of Education, Shanghai); Gao, Ziyou (Beijing Jiaotong University)","","2023","Accurate estimation of intercity heavy truck mobility flows is of vital importance to urban planning, transportation management and logistics operations. The inaccessibility of big data related to intercity transport systems and the heterogeneity of trucking activities pose challenges for the reliable estimation. Recently, the advance of Artificial Intelligence (AI) provides a potential solution to this problem. However, most previous studies focused on the estimation of inter-regional passenger mobility. In-depth studies of estimating intercity heavy truck mobility flows by using deep learning techniques are still scarce. To fill in the gaps, we construct a deep neural network based on the Deep Gravity framework, an advanced predictive model for human mobility. We collect a wide range of data related to heavy truck movements, freight locations, road networks and land uses to train the model, and validate its high performance by comparing to traditional gravity model. Furthermore, we use an explainable AI technique to interpret how the city features contribute to the determination of intercity heavy truck movements, and the results can provide valuable policy implications for logistics operations, businesses and urban planning.","Deep gravity framework; Deep neural network; Heavy trucks; Intercity mobility","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-10","","","Transport and Planning","","",""
"uuid:a54db7b5-b20e-4b98-8fb0-290739839170","http://resolver.tudelft.nl/uuid:a54db7b5-b20e-4b98-8fb0-290739839170","Rational design and additive manufacturing of grain boundary-inspired, multi-architecture lattice structures","Yang, J. (TU Delft Biomaterials & Tissue Biomechanics; Chongqing University); Chen, Xiaohong (Chongqing University; Northwestern Polytechnical University); Sun, Yuanxi (Chongqing University); Feng, Chen (Chongqing University); Yang, Zheng (Chongqing University); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Bai, Long (Chongqing University)","","2023","The advent of additive manufacturing has facilitated the design and fabrication of hybrid lattice structures with multiple morphologies. These structures combine multiple distinct architectures into a single structure with an exceptional performance that far exceeds that of each constituting architecture. However, combining strut-based lattices poses serious challenges in establishing effective connections, primarily due to complications in formulating mathematical expressions. Here, we introduce a novel approach, inspired by the connections observed in the grain boundaries of polycrystalline materials, to design the interconnections of hybrid structures. This strategy involves shrinking the unit cell linkage, thereby addressing the difficulty of forming efficient connections at arbitrary spatial interfaces within strut-based lattice structures. We then use the relevant design theories to tune the performance of these connections and simplify the design process for hybrid structures – even for inexperienced designers. Our experimental observations confirm the efficacy of the proposed strategy, bridging the knowledge gap in the design of connected strut-based multi-lattice structures. Furthermore, this approach enhances the design of tailored hybrid structures and fosters the development of metamaterials with advanced, unique functionalities. The proposed approach has important implications for the development of designer materials, with applications in medical devices, (soft) robotics, and implants.","Additive manufacturing; Hybrid structures; Mechanical metamaterials; Multi-architecture lattices","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:295126a6-7d1c-436f-8b84-505e0f5fc429","http://resolver.tudelft.nl/uuid:295126a6-7d1c-436f-8b84-505e0f5fc429","Road performance evaluation of prestressed high-strength concrete pile waste powder as alternative filler in asphalt concrete","Tu, Botao (Guangdong Hongye Building Materials Technology Co., Ltd.); Yang, Xinkui (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Zhao, Zenggang (Wuhan University of Technology); Zhou, Yuheng (Wuhan University of Technology); Jiang, Jian (Shenzhen Sez Construction Group Co., Ltd.); Fan, Lulu (Shenzhen Sez Construction Group Co., Ltd.); Tu, Liangliang (Shenzhen Sez Construction Group Co., Ltd.)","","2023","As a kind of solid waste, using Prestressed High-Strength Concrete Pile Waste Concrete (PPWC) as the replacement for limestone filler in asphalt concrete can not only reduce the accumulation of PPWC and increase its utilization but also avoid the increased road construction costs and environmental degradation associated with limestone mining. This study aims to investigate the effect of using PPWC filler to replace limestone filler on the road performance of asphalt concrete. Firstly, PPWC was ground into filler particles with a diameter less than 0.075 mm. The particle characteristics such as surface morphology, particle size distribution and chemical composition of PPWC filler and limestone filler were compared. Then, PPWC filler was used to replace limestone filler with different volume fractions to prepare asphalt concrete, and the water damage resistance, high-temperature rutting resistance, low-temperature crack resistance, fatigue resistance and adhesion performance of asphalt concrete were tested. The results showed that PPWC filler has a smaller particle size and rougher surface than limestone filler, and it contains Ca(OH)2 produced by hydration. The addition of PPWC filler can effectively improve the mechanical properties of asphalt concrete without reducing its water damage resistance. PPWC filler can improve the high-temperature rutting resistance and low-temperature crack resistance of asphalt concrete, but reduce its low-temperature fatigue resistance. The low content of PPWC filler will enhance the adhesion between asphalt mortar and aggregate. However, when the content of PPWC filler exceeds 50%, Ca (OH)2 in PPWC will reduce the adhesion between acid asphalt mortar and alkaline basalt aggregate. Therefore, the use of PPWC as filler in asphalt mixtures provides a reliable solution for the sustainable development of road materials.","asphalt concrete; filler; particle characteristics; PHC pile waste concrete; road performance","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:a1d518cc-bab8-4585-bc93-1ffed77a802a","http://resolver.tudelft.nl/uuid:a1d518cc-bab8-4585-bc93-1ffed77a802a","A risk-based approach to inspection planning for pipelines considering the coupling effect of corrosion and dents","Huang, Y. (TU Delft Safety and Security Science; Southwest Petroleum University); Qin, Guojin (Southwest Petroleum University; Shanghai Jiao Tong University; Material Corrosion and Protection Key Laboratory of Sichuan province); Yang, M. (TU Delft Safety and Security Science; University of Tasmania; Universiti Teknologi Malaysia)","","2023","RBI, referring to a risk-based approach to inspection planning, is an established pipeline integrity management method. Both corrosion and dents are the primary threats to pipeline integrity. However, they are often treated separately in RBI without considering their interactions. This coupling may lead to a synergic effect on integrity degradation. The present study proposes an RBI planning framework for pipelines considering external corrosion and dents. Time-dependent pipeline deterioration by dents and corrosion is modeled probabilistically using a Dynamic Bayesian Network (DBN), in-line inspection (ILI) data, and corrosion propagation knowledge. Two failure scenarios (leakage and burst) are considered. The hybrid method, integrating Monte Carlo Simulation (MCS) and Latin Hypercube Sampling (LHS) technique, estimates the pipeline's Probability of Failure (PoF) over time. The pipeline failure risk is quantified by monetizing the Consequence of Failure (CoF). An optimization model of loss-maintenance total expected cost is introduced to determine the optimum inspection period using maximum acceptable risk (MAR) and the lowest total expected cost. A cost-benefit analysis (CBA) is finally implemented to choose appropriate risk reduction measures. The proposed framework is robust and well-validated by a case study on an in-service pipeline.","Corrosion; Dents; Dynamic Bayesian network; Pipelines; Risk-based inspection","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:de82924e-5c4a-4bd1-a4c4-742a104654ef","http://resolver.tudelft.nl/uuid:de82924e-5c4a-4bd1-a4c4-742a104654ef","Exploring the Utilization of PHC Pile Waste Concrete as Filler in Asphalt Mastics","Tu, Botao (Guangdong Hongye Building Materials Technology Co., Ltd.); Yang, Xinkui (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Liang, X. (TU Delft Materials and Environment); Liu, C. (TU Delft Materials and Environment); Jiang, Jian (Shenzhen Sez Construction Group Co., Ltd.); Fan, Lulu (Shenzhen Sez Construction Group Co., Ltd.); Tu, Liangliang (Shenzhen Sez Construction Group Co., Ltd.)","","2023","Using solid waste to replace limestone filler in asphalt concrete can not only reduce the cost of road construction, but also improve the utilization rate of solid waste. In this study, PHC pile waste concrete (PPWC) was innovatively used to replace limestone filler in asphalt mixture and its effect on the physical and rheological properties of asphalt mastics was studied. Firstly, PPWC was ground into filler particles with a diameter less than 0.075 mm. The physical properties, particle characteristics and chemical composition of PPWC filler and limestone filler were compared. Asphalt mastics were prepared with different filler-asphalt volume ratios (20%, 30% and 40%) and the physical properties, high-temperature rheological properties and low-temperature cracking resistance of asphalt mastics were tested. The experimental results showed that the surface of PPWC filler is rougher and has lower density and smaller particle size than limestone filler. When the filler content is the same, PPWC filler asphalt mastics have lower penetration and ductility, higher softening point than limestone filler asphalt mastics, and the viscosity of PPWC filler asphalt mastics is more sensitive than limestone filler asphalt mastics. PPWC filler asphalt mastics demonstrated superior high-temperature stability, but poorer low-temperature cracking resistance compared to limestone filler asphalt mastics. In conclusion, PPWC fillers can be used to replace limestone fillers in asphalt mixtures. The finding of this study will provide a new solution for the construction of eco-friendly roads.","asphalt mastics; filler; low-temperature crack resistance; particle characteristics; PHC pile waste concrete; physical properties; rheological properties","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:6ad4a21e-e7e1-468a-b123-2861319a1839","http://resolver.tudelft.nl/uuid:6ad4a21e-e7e1-468a-b123-2861319a1839","Structure and dynamics of urban freight truck movements: A complex network theory perspective","Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Jia, Bin (Beijing Jiaotong University; Xi'an Technological University); Yan, Xiao Yong (Beijing Jiaotong University); Chen, Y. (Beijing Jiaotong University); Tavasszy, Lorant (TU Delft Transport and Planning); de Bok, M.A. (TU Delft Transport and Planning); Bai, Zhuotong (Tsinghua University); Liu, Erjian (Beijing Jiaotong University); Gao, Ziyou (Beijing Jiaotong University)","","2023","Knowledge of the core structure and inherent dynamics of urban freight transport systems is important for the development of policies, aimed at improving the livability and sustainability of cities. The past decade has witnessed a great deal of efforts into analyzing the geographic structure of urban freight transport systems. However, in-depth studies on the system core structure and underlying dynamics are still absent. This study contributes to the field by analyzing large scale freight truck trip data from Chinese cities, using complex network analysis. We empirically reconstruct and characterize the urban freight truck mobility networks and reveal the underlying spatial interaction patterns. We develop a spatial network growth model which explains how hub-and-spoke core structure of urban freight transport systems are formed. The developed model captures the essential interaction dynamics of freight locations, and explains the effects of spatial distance, economic size and business pattern replication. Inspired by the model, we provide policy implications for land-use planning, transportation planning and sustainable urban development.","Complex networks; Freight truck flows; Interaction dynamics; Structure properties; Urban freight transport system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-02","","","Transport and Planning","","",""
"uuid:a07ff354-3da9-4e83-9a46-3b246736232e","http://resolver.tudelft.nl/uuid:a07ff354-3da9-4e83-9a46-3b246736232e","Effects of GBFS content and curing methods on the working performance and microstructure of ternary geopolymers based on high-content steel slag","Yang, Xinkui (Wuhan University of Technology); Wu, Shaopeng (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Chen, B. (TU Delft Materials and Environment); Chen, Dongyu (Wuhan University of Technology); Wang, Fusong (Huazhong University of Science and Technology); Jiang, Jian (Shenzhen Sez Construction Group Co., Ltd.); Fan, Lulu (Shenzhen Sez Construction Group Co., Ltd.); Tu, Liangliang (Shenzhen Sez Construction Group Co., Ltd.)","","2023","Aimed to address the low utilization rate of steel slag (SS) and its challenge in resource utilization in China, this study developed ternary geopolymers made by high-content (50%) SS together with fly ash (FA) and granulated blast furnace slag (GBFS). The effects of GBFS content (0–40%) and curing methods (water curing, standard curing, sealed curing, and heat curing) on the working performance and microstructure of geopolymers were investigated. Microscopic analysis such as X-ray diffraction (XRD), Fourier-transform infrared spectroscopy (FTIR), thermogravimetric analysis (TG-DTG), and scanning electron microscopy (SEM) were utilized to investigate the hydration process and products of geopolymers under different curing conditions and GBFS content. The results indicated that when the GBFS content increased from 0% to 40%, the fluidity of the mixture decreased by 11.7%, the initial setting time of the geopolymer slurry decreased by 76%, and the geopolymer mortar's 28d compressive strength increased from 31.9 MPa to 60.6 MPa. At room temperature, the geopolymer mortar's 28d compressive strength was higher under standard curing (70.8 MPa) compared to water curing (57.5 MPa) and sealed curing (68 MPa). The geopolymer mortar cured at 60 °C for 24 h exhibited the highest 28d compressive strength (76.3 MPa). However, excessively high curing temperatures or prolonged durations led to more shrinkage cracks and reduced the compressive strength. The microscopic analysis revealed that the main gel products of ternary geopolymer were C-(A)-S-H gel. The amount of gel products is directly related to the strength of geopolymers. The developed ternary geopolymer has the potential to promote the large-scale utilization of SS in the concrete industry, making a significant contribution to sustainable development.","Curing methods; Geopolymer; Steel slag; Working performance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-21","","","Materials and Environment","","",""
"uuid:a4d129b2-37e4-4213-998a-d02846955b7f","http://resolver.tudelft.nl/uuid:a4d129b2-37e4-4213-998a-d02846955b7f","Decades of subsidence followed by rapid uplift: Insights from microgravity data at Askja Volcano, Iceland","Koymans, M.R. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI)); de Zeeuw-van Dalfsen, E. (TU Delft Mathematical Geodesy and Positioning; Royal Netherlands Meteorological Institute (KNMI)); Sepúlveda, J. (University of Leeds); Evers, L.G. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI)); Giniaux, J. M. (University of Leeds); Grapenthin, R. (University of Alaska Fairbanks); Hooper, A. (University of Leeds); Ófeigsson, B. G. (Icelandic Meteorological Office; Nordic Volcanological Center); Sigmundsson, F. (Nordic Volcanological Center); Yang, Y. (Nordic Volcanological Center)","","2023","In August 2021, Askja volcano in Iceland returned to the spotlight after a sudden onset of rapid uplift followed decades of continuous subsidence. In this study the extended record of microgravity data from Askja between 1988 to 2017 is revisited, and new microgravity data from 2021 and 2022 are introduced, which were collected after the uplift had started. Askja caldera had been steadily subsiding since at least 1984 and was characterised by a net decrease in microgravity, potentially signalling the contraction of its magma chamber or eviction of magma either laterally or to deeper levels. The microgravity data indicate that despite ongoing subsidence between 2017 and early 2021, a significant gravity increase can be detected in the center of the caldera between 2017 and August 2021. This increase may be introduced during – or leading up to – the period of uplift. The new microgravity data also indicate that during the period of 40 cm uplift after August 2021 to fall 2022, gravity changes approach the free-air gradient, suggesting subsurface density decreases as a driving process. This process may relate to the vesiculation of magma previously emplaced in the volcano roots, a change in the hydrothermal system, or replacement of dense basaltic magma with less dense rhyolitic magma, or a combination of these processes. However, uncertainties for this period are elevated and may obscure a gravity signal expected from additional mass accumulation. The timing and high uncertainties of some campaigns make it challenging to be conclusive on the driving process behind the uplift, but future microgravity campaigns could help solve the ambiguity. The study also provides a description of potential pitfalls in microgravity campaigns and recommendations on how the reliability of microgravity data can be improved.","Askja; Campaign; Data; Deformation; Microgravity; Volcano","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-22","","","Applied Geophysics and Petrophysics","","",""
"uuid:4329b194-7c01-4ecd-849e-8ff3148450f9","http://resolver.tudelft.nl/uuid:4329b194-7c01-4ecd-849e-8ff3148450f9","A conflict cluster-based method for collision avoidance decision-making in multi-ship encounter situations","Liu, Kezhong (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Wu, Xiaolie (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Zhou, Y. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Wuhan University of Technology); Yuan, Zhitao (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Yang, Xing (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Xin, Xuri (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Zhuang, Sujie (Wuhan University of Technology)","","2023","During the process of collision avoidance, especially in a multi-ship encounter situation, the dynamic interactions among individual ships impose a significant impact on collision avoidance decision-making. It is imperative, therefore, that collision avoidance decisions are formulated with a comprehensive consideration of not only the current direct collision conflict but also the potential conflicts due to planned collision avoidance actions. To address this requirement, this paper proposes a dynamic conflict cluster detection method for collision avoidance decision-making in multi-ship encounters. The involved ships are clustered into stable temporal-dependent ship conflict groups taking into account both conflict connectivity and the potential spatiotemporal interactions originating from planned collision avoidance actions. The conflict cluster detection model is implemented within a framework to achieve hierarchical coordinated collision avoidance decision-making. By a simulation experiment of an 11-ship encounter, the proposed method successfully discerns the ships with conflicts and provides feasible collision avoidance decisions. Compared to the non-cluster collision avoidance methods, the proposed method generates the results with acceptable deviating distance and number of collision avoidance actions at minimum computation load. It has been demonstrated that the proposed method is both effective and efficient for officers on board and operators at Vessel Traffic Services centers in real-life navigation.","Collision avoidance; Conflict cluster detection; Decision-making; Multi-ship encounter","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:ce6bb680-046e-494e-9c70-2b3666b62330","http://resolver.tudelft.nl/uuid:ce6bb680-046e-494e-9c70-2b3666b62330","Integrated process safety and process security risk assessment of industrial cyber-physical systems in chemical plants","Yuan, S. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2023","Aligned with the development needs of Industry 4.0, industrial cyber-physical systems (ICPSs) are widely applied to chemical facilities to facilitate so-called intelligent production processes. Meanwhile, emerging cyber-to-physical (C2P) risks are introduced due to the vulnerability of ICPSs to cyberattacks. An integrated safety and security risk assessment of chemical facilities equipped with industrial cyber-physical systems becomes challenging, particularly in performing a probabilistic/quantitative risk assessment. Targeting this gap, this study develops a systematic approach to construct accident scenarios concerning both safety hazards and security threats and performs a probabilistic risk assessment of chemical facilities considering the interdependency between safety-associated events and security-associated events. In the proposed approach, bow-tie technique is used to perform a safety risk analysis, and meanwhile, the possible dangerous scenarios caused by physical attacks and C2P attacks are also identified and integrated into the bow-tie diagram. Particularly, attack impact modeling of C2P attacks helps to identify dangerous attack modes, and a time-to-compromise (TTC) based method is used to quantify the vulnerability of ICPSs to C2P attacks. Then, a Bayesian network (BN) model is developed to perform an integrated safety and security risk analysis. An illustrative case study is used in this study to give guidance on performing integrated safety and security risk assessment of ICPSs and validate the feasibility of the proposed approach.","Bayesian network; Bow-tie diagram; Cyber-physical systems; Cyber-to-physical attacks; Probabilistic risk assessment; Safety and security risks","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fc0549e6-376c-47f1-aa5f-df6a8da1da5c","http://resolver.tudelft.nl/uuid:fc0549e6-376c-47f1-aa5f-df6a8da1da5c","Probing Cation Displacements in Antiferroelectrics: A Joint NMR and TEM Approach","Ding, Hui (Technische Universität Darmstadt); Egert, Sonja (Technische Universität Darmstadt); Huang, Binxiang (Technische Universität Darmstadt); Jiang, Tianshu (Technische Universität Darmstadt); Carstensen, Leif (Technische Universität Darmstadt); Šić, Edina (Technische Universität Darmstadt); Liu, Yucheng (Tongji University); Yang, Tongqing (Tongji University); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy)","","2023","High-resolution scanning transmission electron microscopy (STEM) enjoys great advantages for atomic-resolution visualization of the atomic structure, while failing to disclose structural information along the atomic columns. On the other hand, solid-state nuclear magnetic resonance (NMR) spectroscopy is highly sensitive to the three-dimensional, local structure around atoms in the bulk sample but typically cannot provide an intuitive visualization of the structure. Thus, the combination of atomic-resolution (S)TEM and solid-state NMR spectroscopy has the potential to establish an in-depth, multidimensional structural understanding. Here, we explore this novel strategy to probe the structure of antiferroelectric perovskite oxides PbZrO3 and (Pb,La)(Zr,Sn,Ti)O3. We combine complementary information regarding the in-plane displacement vector mapping from STEM with the analysis of local PbO12 environments from 207Pb NMR spectroscopy to provide unprecedented insight into Pb displacements. For PbZrO3, an ordered 4-fold in-plane displacement modulation is clearly revealed via STEM imaging; meanwhile, the out-of-plane information is provided by two discrete 207Pb NMR signals attributed to two crystallographic Pb sites in the 2D-PASS NMR spectrum. In the chemically modified (Pb,La)(Zr,Sn,Ti)O3 system, disorder of the structure manifests in not only an inhomogeneous displacement modulation but also a broad distribution of 207Pb chemical shifts, related to significant disorder of displacement magnitudes and a favoring of larger displacements. We show that the displacement distribution depends on whether both in-plane and out-of-plane displacements or only out-of-plane displacements are considered. Our findings demonstrate the advantages in the structural analysis using combined TEM and NMR approaches, hence laying the foundation work for controlling and optimizing functional properties.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-17","","","RST/Storage of Electrochemical Energy","","",""
"uuid:c069f7c5-5bb8-47c1-8f08-cd3c07497110","http://resolver.tudelft.nl/uuid:c069f7c5-5bb8-47c1-8f08-cd3c07497110","Self-Assembled Lenalidomide/AIE Prodrug Nanobomb for Tumor Imaging and Cancer Therapy","Mai, Zhijian (South China Normal University); Cao, Nengjie (South China Normal University); Cheng, Erzhuo (The First Affiliated Hospital of Guangzhou Medical University); Zeng, Zhiwen (South China Normal University); Feng, Yancong (South China Normal University); Wang, Yao (South China Normal University); French, P.J. (TU Delft Bio-Electronics); Lee, Yi Kuen (The Hong Kong University of Science and Technology); Yang, Haihong (The First Affiliated Hospital of Guangzhou Medical University)","","2023","To develop multifunctional small-molecule prodrugs is highly desirable for cancer treatment but remains challenging in intrinsic traceability. As an acid-cleavable linkage, a Schiff bases benefiting from its distinctive fluorescence quenching ability was selected to prepare a small-molecule prodrug with cancer-targeted and self-indicating. In this study, we designed and developed a multifunctional self-assembled nanobomb of amphiphilic TPE-Lenalidomide prodrug, which comprises a hydrophobic aggregation-induced emission (AIE) probe 4-(1,2,2-triphenylvinyl)benzaldehyde (TPE-CHO) and a hydrophilic anticancer drug Lenalidomide via a Schiff base linkage. We investigated the synergistic effect of d-PET and C═N isomerization which would keep the fluorescence of TPE-Lenalidomide in the “always off” state by density functional theory (DFT) calculation. Once reaching the pathological site, such a vesicular nanobomb of TPE-Lenalidomide will be acidolyzed to release the AIE probe and Lenalidomide molecules simultaneously, consequently realizing high-efficiency effects of tumor imaging and cancer therapy (cell viability: normal cell L929, ∼79.49%; cancer cell 4T1, ∼27.08%; p = 0.000118). This work may pave an avenue to prepare small-molecule prodrugs for tumor-targeted diagnosis and cancer therapy.","aggregation-induced emission; Schiff base linkage; self-assembly; small-molecule prodrug; tumor-targeted diagnosis and therapy","en","journal article","","","","","","","","2024-04-29","","","Bio-Electronics","","",""
"uuid:1014a9d2-3604-46ac-a92e-cb6e485e7d72","http://resolver.tudelft.nl/uuid:1014a9d2-3604-46ac-a92e-cb6e485e7d72","DaisyRec 2.0: Benchmarking Recommendation for Rigorous Evaluation","Sun, Zhu (Institute of High Performance Computing); Fang, Hui; Yang, J. (TU Delft Web Information Systems); Qu, Xinghua (Bytedance AI Lab); Liu, Hongyang (Yanshan University); Yu, Di (Singapore Management University); Ong, Yew Soon (Nanyang Technological University); Zhang, Jie (Nanyang Technological University)","","2023","Recently, one critical issue looms large in the field of recommender systems - there are no effective benchmarks for rigorous evaluation - which consequently leads to unreproducible evaluation and unfair comparison. We, therefore, conduct studies from the perspectives of practical theory and experiments, aiming at benchmarking recommendation for rigorous evaluation. Regarding the theoretical study, a series of hyper-factors affecting recommendation performance throughout the whole evaluation chain are systematically summarized and analyzed via an exhaustive review on 141 papers published at eight top-tier conferences within 2017-2020. We then classify them into model-independent and model-dependent hyper-factors, and different modes of rigorous evaluation are defined and discussed in-depth accordingly. For the experimental study, we release DaisyRec 2.0 library by integrating these hyper-factors to perform rigorous evaluation, whereby a holistic empirical study is conducted to unveil the impacts of different hyper-factors on recommendation performance. Supported by the theoretical and experimental studies, we finally create benchmarks for rigorous evaluation by proposing standardized procedures and providing performance of ten state-of-the-arts across six evaluation metrics on six datasets as a reference for later study. Overall, our work sheds light on the issues in recommendation evaluation, provides potential solutions for rigorous evaluation, and lays foundation for further investigation.","Benchmarks; fair comparison; recommender systems; reproducible evaluation; standardized procedures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Web Information Systems","","",""
"uuid:9700fc10-5130-4886-8e2f-7b3a14e60d92","http://resolver.tudelft.nl/uuid:9700fc10-5130-4886-8e2f-7b3a14e60d92","Bivariate joint analysis of injury severity of drivers in truck-car crashes accommodating multilayer unobserved heterogeneity","Song, Dongdong (Beijing Jiaotong University); Yang, Xiaobao (Beijing Jiaotong University); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Cui, Pengfei (Beijing Jiaotong University); Zhu, Guangyu (Beijing Jiaotong University)","","2023","Truck-involved crashes, especially truck-car crashes, are associated with serious and even fatal injuries, thus necessitating an in-depth analysis. Prior research focused solely on examining the injury severity of truck drivers or developed separate performance models for truck and car drivers. However, the severity of injuries to both drivers in the same truck-car crash may be interrelated, and influencing factors of injury severities sustained by the two parties may differ. To address these concerns, a random parameter bivariate probit model with heterogeneity in means (RPBPHM) is applied to examine factors affecting the injury severity of both drivers in the same truck-car crash and how these factors change over the years. Using truck-car crash data from 2017 to 2019 in the UK, the dependent variable is defined as slight injury and serious injury or fatality. Factors such as driver, vehicle, road, and environmental characteristics are statistically analyzed in this study. According to the findings, the RPBPHM model demonstrated a remarkable statistical fit, and a positive correlation was observed between the two drivers' injury severity in truck-car crashes. More importantly, the effects of the explanatory factors showing relatively temporal stability vary across different types of vehicle crashes. For example, car driver improper actions and lane changing by trucks, have a significant interactive effect on the severity of injuries sustained by drivers involved collisions between trucks and cars. Male truck drivers, young truck drivers, older truck drivers, and truck drivers' improper actions, elevate the estimated odds of only truck drivers; while older car and unsignalized crossing increase the possibility of injury severity of only car drivers. Finally, due to shared unobserved crash-specific factors, the 30-mph speed limit, dark no lights, and head-on collision, significantly affect the severity of injuries sustained by drivers involved in collisions between trucks and cars. The modeling approach provides a novel framework for jointly analyzing truck-involved crash injury severities. The findings will help policymakers take the necessary actions to reduce truck-car crashes by implementing appropriate and accurate safety countermeasures.","Bivariate probit model; Heterogeneity in the means; Injury severity; Temporal stability; Truck-car crashes; Unobserved heterogeneity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Transport and Planning","","",""
"uuid:87e10b67-06b1-4627-9a6b-84a194999c3e","http://resolver.tudelft.nl/uuid:87e10b67-06b1-4627-9a6b-84a194999c3e","Strategies for realizing high-efficiency silicon heterojunction solar cells","Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Cao, L. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Özkol, E. (TU Delft Photovoltaic Materials and Devices); Alcañiz, Alba; Kovačević, K. (TU Delft Electrical Engineering, Mathematics and Computer Science); Limodio, G. (TU Delft QN/Kavli Nanolab Delft); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Smets, A.H.M. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; TNO); Zeman, M. (TU Delft Electrical Sustainable Energy); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Silicon heterojunction (SHJ) solar cells have achieved a record efficiency of 26.81% in a front/back-contacted (FBC) configuration. Moreover, thanks to their advantageous high VOC and good infrared response, SHJ solar cells can be further combined with wide bandgap perovskite cells forming tandem devices to enable efficiencies well above 33%. In this study, we present strategies to realize high-efficiency SHJ solar cells through combined theoretical and experimental studies, starting from the optimization of Si-based thin-film layers to the implementation of electrodes with reduced indium and silver usage. Advanced opto-electrical simulations, which enable comprehensive theoretical understandings of the main physical mechanisms governing carriers’ collection and light management, provide clear pathways for device designs and experimental optimizations. We present the fabricated FBC-SHJ solar cells in both monofacial and bifacial configurations with the best efficiencies of 24.18% and 23.25%, respectively. We point out that to achieve optimum device performance, the compositional materials should be holistically optimized and evaluated as part of the contact stacks with adjacent layers. As an outlook beyond the classical FBC-SHJ solar cell architecture, we propose various novel SHJ-based solar cell architectures. Their potential performance was assessed and compared via rigorous opto-electrical simulations and a maximal efficiency of 27.60% was simulated for FBC-SHJ solar cells featuring localized contacts.","","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:44145ec3-ea04-42df-a924-333c77c5d19f","http://resolver.tudelft.nl/uuid:44145ec3-ea04-42df-a924-333c77c5d19f","Dynamic thresholds for the resilience assessment of road traffic networks to wildfires","Arango, Erica (University of Minho); Nogal Macho, M. (TU Delft Integral Design & Management); Yang, M. (TU Delft Safety and Security Science); Sousa, Hélder S. (University of Minho); Stewart, Mark G. (University of Technology Sydney); Matos, José C. (University of Minho)","","2023","The severe effects of extreme wildfire events in recent years have shown that the fire suppression approach is not enough to solve the problem. An alternative to dealing with this issue is to accept the impossibility of eliminating wildfire hazards and focus on preparing systems to be more resilient. However, existing decision-making tools based on resilience present important drawbacks that make them inadequate for this task. This paper proposes a new approach and methodology for the resilience assessment of road traffic networks to wildfires that overcomes the main drawbacks, paying attention to the different functions of the system and the acceptance of a specific loss of performance. The latter is done through the introduction of dynamic thresholds that reflect the different requirements of the system under different wildfire conditions, including normal and extreme fires. The methodology is exemplified for five traffic networks. The results support the relevance of appropriate wildfire management through the adaptation of the natural and built environment to increase the capacity of the traffic networks to cope with wildfires.","Hazards; Preparedness; Resilience; Road traffic networks; Wildfire","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:88161c48-f59a-481c-ba61-4ab5ef2d9474","http://resolver.tudelft.nl/uuid:88161c48-f59a-481c-ba61-4ab5ef2d9474","Towards deep probabilistic graph neural network for natural gas leak detection and localization without labeled anomaly data","Zhang, Xinqi (China University of Petroleum (East China)); Shi, Jihao (China University of Petroleum (East China); The Hong Kong Polytechnic University); Huang, Xinyan (The Hong Kong Polytechnic University); Xiao, Fu (The Hong Kong Polytechnic University); Yang, M. (TU Delft Safety and Security Science); Huang, Jiawei (China University of Petroleum (East China)); Yin, Xiaokang (The Hong Kong Polytechnic University); Sohail Usmani, Asif (The Hong Kong Polytechnic University); Chen, Guoming (China University of Petroleum (East China))","","2023","Deep learning has been widely applied to automated leakage detection and location of natural gas pipe networks. Prevalent deep learning approaches do not consider the spatial dependency of sensors, which limits leakage detection performance. Graph deep learning is a promising alternative to prevailing approaches as it can model spatial dependency. However, the challenge of collecting real-world anomaly data for training limits the accuracy and robustness of currently used graph deep learning approaches. This study proposes a deep probabilistic graph neural network in which attention-based graph neural network is built to model spatial sensor dependency. Variational Bayesian inference is integrated to model the posterior distribution of sensor dependency so that the leakage can be localized. An urban natural gas pipe network experiment is employed to construct the benchmark dataset, in which normal time-series data is applied to develop our proposed model while anomaly leakage data is used for performance comparison between our model and other state-of-the-art models. The results demonstrate that our model exhibits competitive detection accuracy (AUC) = 0.9484, while the additional uncertainty interval provides more comprehensive leakage detection information compared to state-of-the-art deep learning models. In addition, our model's posterior distribution enhances the leakage localization with the accuracy of positioning (PAc) = 0.8, which is higher than that of other state-of-the-art graph deep learning models. This study provides a comprehensive and robust alternative for subsequent decision-making to mitigate natural gas leakage from pipe networks.","Digital twin; Graph deep learning; Leakage detection; Leakage localization; Variation Bayesian Inference","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Safety and Security Science","","",""
"uuid:92bc922c-49eb-4ca7-904c-890516a1b2ec","http://resolver.tudelft.nl/uuid:92bc922c-49eb-4ca7-904c-890516a1b2ec","Optical Simulation-Aided Design and Engineering of Monolithic Perovskite/Silicon Tandem Solar Cells","Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Datta, Kunal (Eindhoven University of Technology); Paggiaro, Giulia (Student TU Delft); Liu, Hanchen (Student TU Delft); Fardousi, Mohua (Student TU Delft); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; TNO Energy Transition); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Monolithic perovskite/c-Si tandem solar cells have attracted enormous research attention and have achieved efficiencies above 30%. This work describes the development of monolithic tandem solar cells based on silicon heterojunction (SHJ) bottom- and perovskite top-cells and highlights light management techniques assisted by optical simulation. We first engineered (i)a-Si:H passivating layers for (100)-oriented flat c-Si surfaces and combined them with various (n)a-Si:H, (n)nc-Si:H, and (n)nc-SiOx:H interfacial layers for SHJ bottom-cells. In a symmetrical configuration, a long minority carrier lifetime of 16.9 ms was achieved when combining (i)a-Si:H bilayers with (n)nc-Si:H (extracted at the minority carrier density of 1015 cm-3). The perovskite sub-cell uses a photostable mixed-halide composition and surface passivation strategies to minimize energetic losses at charge-transport interfaces. This allows tandem efficiencies above 23% (a maximum of 24.6%) to be achieved using all three types of (n)-layers. Observations from experimentally prepared devices and optical simulations indicate that both (n)nc-SiOx:H and (n)nc-Si:H are promising for use in high-efficiency tandem solar cells. This is possible due to minimized reflection at the interfaces between the perovskite and SHJ sub-cells by optimized interference effects, demonstrating the applicability of such light management techniques to various tandem structures.","optical simulations; perovskite; silicon heterojunction; tandem solar cells; two-terminal","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:4cf927d9-47c8-4f12-a118-2b7e51b24a2b","http://resolver.tudelft.nl/uuid:4cf927d9-47c8-4f12-a118-2b7e51b24a2b","Designed Multifunctional Spider Silk Enabled by Genetically Encoded Click Chemistry","Jiang, Bojing (The Hong Kong University of Science and Technology; Washington University in St. Louis); Tan, Sin Yen (The Hong Kong University of Science and Technology); Fang, Shiyu (The Hong Kong University of Science and Technology); Feng, Xiaohan (The Hong Kong University of Science and Technology); Park, B.P. (TU Delft ImPhys/Maresca group; The Hong Kong University of Science and Technology); Fok, Hong Kiu Francis (The Hong Kong University of Science and Technology); Yang, Zhongguang (The Hong Kong University of Science and Technology; SPES Tech Limited); Wang, Ri (The Hong Kong University of Science and Technology); Kou, Songzi (Greater Bay Biomedical InnoCenter); Wu, Angela Ruohao (The Hong Kong University of Science and Technology); Sun, Fei (The Hong Kong University of Science and Technology; Greater Bay Biomedical InnoCenter)","","2023","Spider silk is recognized for its exceptional mechanical properties and biocompatibility, making it a versatile platform for developing functional materials. In this study, a modular functionalization strategy for recombinant spider silk is presented using SpyTag/SpyCatcher chemistry, a prototype of genetically encoded click chemistry. The approach involves AlphaFold2-aided design of SpyTagged spider silk coupled with bacterial expression and biomimetic spinning, enabling the decoration of silk with various SpyCatcher-fusion motifs, such as fluorescent proteins, enzymes, and cell-binding ligands. The silk threads can be coated with a silica layer using silicatein, an enzyme for silicification, resulting in a hybrid inorganic–organic 1D material. The threads installed with RGD or laminin cell-binding ligands lead to enhanced endothelial cell attachment and proliferation. These findings demonstrate a straightforward yet powerful approach to 1D protein materials.","biomaterials; cell adhesion; click chemistry; silicification; spider silk","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-15","","","ImPhys/Maresca group","","",""
"uuid:a922afcf-1fea-42b3-9536-f2eebe30852d","http://resolver.tudelft.nl/uuid:a922afcf-1fea-42b3-9536-f2eebe30852d","Data-driven ballast layer degradation identification and maintenance decision based on track geometry irregularities","Zhao, Wenbo (China Academy of Railway Sciences); Qiang, Weile (China Academy of Railway Sciences); Yang, Fei (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Guo, Y. (TU Delft Railway Engineering)","","2023","Ballast layer defects are the primary cause for rapid track geometry degradation. Detecting these defects in real-time during track inspections is urgently needed to ensure safe train operations. To achieve this, an indicator, the track degradation rate (TDR) was proposed. This rate is calculated using track geometry inspection data to locate and predict railway-line sections with ballast layer defects. The TDR is determined by the monthly standard deviation of the rail longitudinal level, which is one aspect of track geometry. The Ballast Layer Health Classification (BLHC) is designed by assessing the two successive TDRs before and after track geometry maintenance actions. The BLHC is used to categorize the conditions of the ballast layer, including normal periodic deterioration, abrupt deterioration, effective maintenance, rising deterioration, and severe deterioration. Both the TDR and BLHC were validated through field assessments of ballast layer conditions, where the two indicators were found to be effective in revealing defects. The results indicate that the TDR is sensitive to ballast layer defects, while the BLHC can quickly identify the location of these defects. Consequently, the BLHC can provide real-time guidance for ballast layer maintenance.","ballast degradation; Ballast inspection; data science; maintenance; track geometry; track irregularity","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:bc824f66-ea8e-4f00-8b6c-b968b798c8ea","http://resolver.tudelft.nl/uuid:bc824f66-ea8e-4f00-8b6c-b968b798c8ea","Stretchable strain sensor based on HfSe2/LIG composite with high sensitivity and good linearity within a wide range","Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics); Huang, Qianming (Harbin Institute of Technology; Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials); Gao, Chenshan (Southern University of Science and Technology); Xu, Siyuan (Southern University of Science and Technology); Ye, Huaiyu (Southern University of Science and Technology; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Flexible strain sensors based on nanomaterials have sparked a lot of interest in the field of wearable smart electronics. Laser induced graphene (LIG) based sensors in particular stand out due to their straightforward fabrication procedure, three-dimensional porous structures, and exceptional electromechanical capabilities. Recent studies have focused on LIG composites, however, it is still difficult to achieve great sensitivity and excellent linearity in a wide linear working range. Herein, a strain sensor with high sensitivity and good linearity is prepared in this work, which was realized by carbonizing the polyimide film coated with HfSe2 to obtain three-dimensional porous graphene nanosheets decorated with HfSe2 (HfSe2/LIG). After being transferred to the flexible substrate of Ecoflex, it exhibits high stretchability, hydrophobicity and robustness, and obtains excellent electromechanical properties. The HfSe2/LIG strain sensor demonstrated high sensitivity (gauge factor, GF ≈ 46), a low detection limit (0.02%), good linearity (R2 = 0.99) in a large working range (up to 30%), and a quick response time (0.20 s). Additionally, it exhibits good stability and consistent behavior across a large number of strain/release test cycles (>3000 cycles). With these benefits, the sensor can be used to monitor various limb movements (including finger, wrist and neck movements) and minute artery activity, and can generate reliable signals. Therefore, the HfSe2/LIG-based sensor has enormous potential for use in wearable intelligent electronics and movement monitoring.","HfSe/LIG composite; Piezoresistive strain sensor; Wearable electronics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-13","","","Bio-Electronics","","",""
"uuid:0ad54f12-b996-4e9c-b2aa-e5645bd73348","http://resolver.tudelft.nl/uuid:0ad54f12-b996-4e9c-b2aa-e5645bd73348","Distribution of porosity surrounding a microfiber in cement paste","He, S. (TU Delft Materials and Environment); Chen, Y. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Yang, En-Hua (Nanyang Technological University); Schlangen, E. (TU Delft Materials and Environment)","","2023","This study investigates the microstructural changes of cement paste due to the inclusion of polymeric microfiber at different water-to-cement (w/c) ratios. A procedure to quantify the porosity of epoxy impregnated interfacial transition zone (ITZ) is also presented. Results show that the microstructures of the ITZ beneath and above a microfiber, with respect to the gravity direction, are largely different. Though the ITZ at both sides of the fiber are more porous than the bulk matrix, the porosity of the lower ITZ (i.e., the ITZ beneath a fiber) is significantly higher than the upper side (i.e., the ITZ above a fiber). This difference can be attributed to the combined effects of fiber on the initial packing of surrounding cement grains and on the settlement of the fresh mixture. The porosity gradients of the upper ITZs are found to be nearly identical for all the tested w/c ratios, while the porosity gradients of the lower ITZs become steeper when the w/c is higher. The lower side is also found to be the preferred location for the precipitation of calcium hydroxide crystals. Results of energy-dispersive X-ray spectroscopy (EDS) and nano-indentation analyses confirm that the chemical and mechanical properties of the ITZ are also asymmetric.","Characterization (B); Fiber reinforcement (E); Interfacial transition zone (B); Microstructure (B); Nanoindentation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:dc2918a4-fbf8-4723-bacb-e3a984ffcbd7","http://resolver.tudelft.nl/uuid:dc2918a4-fbf8-4723-bacb-e3a984ffcbd7","面 向 城 市 场 景 异 源 多 时 相 点 云 的 自 动 配 准","Yang, Z. (TU Delft Urban Data Science; Tongji University); Ye, Qin (Tongji University); Wang, Xufei (Tongji University); Peters, R.Y. (TU Delft Urban Data Science)","","2023","Objective Recent advancements in laser scanners and photogrammetry technology have significantly reduced the cost of acquiring 3D point clouds. Consequently, various types of point clouds have gradually become popular data sources for urban applications. The accurate registration of cross-source and multi-temporal point clouds must be ensured before developing applications based on 3D point clouds. However, this is a challenging task owing to (1) the large amount of data to be considered, (2) the wide discrepancy in characteristics between cross-source point clouds, and (3) the significant changes in a scene represented by multi-temporal point clouds. These data characteristics can harm the extraction and matching of registration primitives, resulting in the poor performance of marker-free registration techniques. In this paper, we propose an automated, efficient, and marker-free method for registering cross-source and multi-temporal point clouds in urban areas. Methods The proposed registration method comprises three stages: keypoint generation, correspondence matching, and transformation estimation. (1) Keypoint generation. We generate object-level virtual keypoints as registration primitives rather than directly extracting local features from point clouds, which are redundant and sensitive to outliers and missing data. Specifically, the ground points are first filtered out via the cloth simulation filtering algorithm. The remaining points are decomposed into planar segments by fitting planes in a region-growing manner. Finally, virtual keypoints are determined as the endpoints of intersecting line segments of two adjacent planes. (2) Correspondence matching. First, local triangles are constructed using the generated virtual keypoints as vertices to encode the relative spatial relationships among keypoints within a point cloud. Second, the triangle sets of both point clouds are mapped to a feature space where the triangles become 3D feature points. For each feature point in the source point cloud, we determine its closest point in the target point cloud, forming triangle pairs between the two point clouds. Finally, we propose an improved global matching approach with linear time complexity to extract correspondences encoded in the triangle pairs. (3) Transformation estimation. As cross-source and multi-temporal point clouds are typically well-leveled, registration can be achieved by aligning the two point clouds horizontally and translating them vertically. We use the horizontal coordinates of the correspondences to estimate the 2D horizontal transformation and their vertical coordinates to calculate the vertical translation. Results and Discussions We evaluated the effectiveness of the proposed method using large-scale real-world urban point clouds. The experimental data consist of six cross-source and multi-temporal point clouds, including three airborne light detection and ranging (LiDAR) point clouds and three photogrammetric point clouds, which cover an urban area of 1. 8 km2 in Rotterdam, the Netherlands. Each point cloud comprises a large number of points (approximately 20‒60 million points per point cloud; refer to Table 1 for details). Additionally, as the point clouds were collected over a long period of time, many of the objects in the scene have changed considerably. These two characteristics make them suitable for performing comprehensive evaluations of automatic marker-free registration methods. To evaluate the registration results qualitatively, we visualized a randomly selected region (Fig. 7) and three manually selected buildings with varying architectural styles (Fig. 8). Despite the different characteristics of cross-source point clouds and the significant changes in scenes, the proposed method could accurately align all five registration pairs formed by the six experimental point clouds. To evaluate the registration results quantitatively, we calculated both matrix-based errors (i. e., rotation and translation errors) as well as pointwise errors. The evaluation is summarized in Table 4. Our automatic registration results have an average pointwise error of 6.4 cm, whereas the average matrix-based errors are 0.2′for rotation and 7.4 cm for translation. Furthermore, despite the massive size of the experimental point clouds, the proposed approach required only 105.7 s to achieve pairwise registration on average. Both qualitative and quantitative results demonstrate the effectiveness of the proposed method for registering cross-source and multi-temporal urban point clouds. Conclusions A fully automated marker-free registration approach is presented for cross-source and multi-temporal point clouds in urban environments. Object-level virtual keypoints are generated from urban point clouds as registration primitives, thereby overcoming the challenge of identifying valid corresponding features. By encoding rigid body spatial relations among the generated virtual keypoints, we establish correspondences between the source and target point clouds, resulting in efficient matching for large-scale urban scenes. Experiments on real-world data demonstrate that the proposed method can automatically, accurately, and efficiently register cross-source and multi-temporal point clouds in urban areas, indicating its practical utility. In the future, we would like to collect more data to test the robustness of the proposed method. Moreover, we intend to study the potential of the proposed matching algorithm in the fusion of general multi-source data, e. g. , aligning 3D building point clouds with 2D building footprints.","cross-source and multitemporal point clouds; kinematics of rigid bodies; light detection and ranging; photogrammetric point clouds; point cloud registration; remote sensing","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-14","","","Urban Data Science","","",""
"uuid:d760c0c6-6487-47e6-9dfa-72bb8f990bc2","http://resolver.tudelft.nl/uuid:d760c0c6-6487-47e6-9dfa-72bb8f990bc2","Time-varying characteristics of saturated hydraulic conductivity in grassed swales based on the ensemble Kalman filter algorithm: A case study of two long-running swales in Netherlands","Yang, F. (TU Delft Hydraulic Structures and Flood Risk; Southeast University; IHE Delft Institute for Water Education; Monash University); Fu, Dafang (Southeast University; Monash University); Zevenbergen, C. (TU Delft Urban Design; IHE Delft Institute for Water Education); Boogaard, Floris C. (Deltares; Hanze Hogeschool Groningen); Singh, Rajendra Prasad (Southeast University; Monash University)","","2023","Saturated hydraulic conductivity (Ks) of the filler layer in grassed swales are varying in the changing environment. In most of the hydrological models, Ks is assumed as constant or decrease with a clogging factor. However, the Ks measured on site cannot be the input of the hydrological model directly. Therefore, in this study, an Ensemble Kalman Filter (EnKF) based approach was carried out to estimate the Ks of the whole systems in two monitored grassed swales at Enschede and Utrecht, the Netherlands. The relationship between Ks and possible influencing factors (antecedent dry period, temperature, rainfall, rainfall duration, total rainfall and seasonal factors) were studied and a Multivariate nonlinear function was established to optimize the hydrological model. The results revealed that the EnKF method was satisfying in the Ks estimation, which showed a notable decrease after long-term operation, but revealed a recovery in summer and winter. After the addition of Multivariate nonlinear function of the Ks into hydrological model, 63.8% of the predicted results were optimized among the validation events, and compared with constant Ks. A sensitivity analysis revealed that the effect of each influencing factors on the Ks varies depending on the type of grassed swale. However, these findings require further investigation and data support.","Ensemble Kalman filter; Grassed swales; Multivariate nonlinear regression; Nature based solution; Saturated hydraulic conductivity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-12","","","Hydraulic Structures and Flood Risk","","",""
"uuid:65bcb73a-e4f6-4e35-9ab5-975461fd2466","http://resolver.tudelft.nl/uuid:65bcb73a-e4f6-4e35-9ab5-975461fd2466","Reinforcement Learning by Guided Safe Exploration","Yang, Q. (TU Delft Algorithmics); Simão, T. D. (TU Delft Algorithmics); Jansen, Nils (Radboud Universiteit Nijmegen); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Spaan, M.T.J. (TU Delft Algorithmics)","Gal, Kobi (editor); Gal, Kobi (editor); Nowe, Ann (editor); Nalepa, Grzegorz J. (editor); Fairstein, Roy (editor); Radulescu, Roxana (editor)","2023","Safety is critical to broadening the application of reinforcement learning (RL). Often, we train RL agents in a controlled environment, such as a laboratory, before deploying them in the real world. However, the real-world target task might be unknown prior to deployment. Reward-free RL trains an agent without the reward to adapt quickly once the reward is revealed. We consider the constrained reward-free setting, where an agent (the guide) learns to explore safely without the reward signal. This agent is trained in a controlled environment, which allows unsafe interactions and still provides the safety signal. After the target task is revealed, safety violations are not allowed anymore. Thus, the guide is leveraged to compose a safe behaviour policy. Drawing from transfer learning, we also regularize a target policy (the student) towards the guide while the student is unreliable and gradually eliminate the influence of the guide as training progresses. The empirical analysis shows that this method can achieve safe transfer learning and helps the student solve the target task faster.","","en","conference paper","","","","","","","","","","","Algorithmics","","",""
"uuid:f5e89339-8124-453b-9144-b891d7745780","http://resolver.tudelft.nl/uuid:f5e89339-8124-453b-9144-b891d7745780","Learning image representations for content-based image retrieval of radiotherapy treatment plans","Huang, Charles (Stanford University); Vasudevan, Varun (Stanford University); Pastor Serrano, O. (TU Delft RST/Medical Physics & Technology; Stanford University); Islam, Md Tauhidul (Stanford University); Nomura, Yusuke (Stanford University); Dubrowski, Piotr (Stanford University); Wang, Jen Yeu (Stanford University); Schulz, Joseph B. (Stanford University); Yang, Yong (Stanford University)","","2023","Objective. In this work, we propose a content-based image retrieval (CBIR) method for retrieving dose distributions of previously planned patients based on anatomical similarity. Retrieved dose distributions from this method can be incorporated into automated treatment planning workflows in order to streamline the iterative planning process. As CBIR has not yet been applied to treatment planning, our work seeks to understand which current machine learning models are most viable in this context. Approach. Our proposed CBIR method trains a representation model that produces latent space embeddings of a patient’s anatomical information. The latent space embeddings of new patients are then compared against those of previous patients in a database for image retrieval of dose distributions. All source code for this project is available on github. Main results. The retrieval performance of various CBIR methods is evaluated on a dataset consisting of both publicly available image sets and clinical image sets from our institution. This study compares various encoding methods, ranging from simple autoencoders to more recent Siamese networks like SimSiam, and the best performance was observed for the multitask Siamese network. Significance. Our current results demonstrate that excellent image retrieval performance can be obtained through slight changes to previously developed Siamese networks. We hope to integrate CBIR into automated planning workflow in future works.","content based image retrieval; deep learning; representation learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-03","","","RST/Medical Physics & Technology","","",""
"uuid:2a445dad-39b5-4fa1-b0b6-e2541e68aa70","http://resolver.tudelft.nl/uuid:2a445dad-39b5-4fa1-b0b6-e2541e68aa70","Faulty or Ready? Handling Failures in Deep-Learning Computer Vision Models until Deployment: A Study of Practices, Challenges, and Needs","Balayn, A.M.A. (TU Delft Web Information Systems); Rikalo, N. (TU Delft Human-Centred Artificial Intelligence); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Handling failures in computer vision systems that rely on deep learning models remains a challenge. While an increasing number of methods for bug identification and correction are proposed, little is known about how practitioners actually search for failures in these models. We perform an empirical study to understand the goals and needs of practitioners, the workflows and artifacts they use, and the challenges and limitations in their process. We interview 18 practitioners by probing them with a carefully crafted failure handling scenario. We observe that there is a great diversity of failure handling workflows in which cooperations are often necessary, that practitioners overlook certain types of failures and bugs, and that they generally do not rely on potentially relevant approaches and tools originally stemming from research. These insights allow to draw a list of research opportunities, such as creating a library of best practices and more representative formalisations of practitioners' goals, developing interfaces to exploit failure handling artifacts, as well as providing specialized training.","debugging; explainability; machine learning testing; practices","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:b1a64095-f6ce-4854-98f3-7a648b95716f","http://resolver.tudelft.nl/uuid:b1a64095-f6ce-4854-98f3-7a648b95716f","Optimization of adaptive metal foam arrangement in a heat storage tank","Guo, Junfei (Xi’an Jiaotong University); Li, Ze (Xi’an Jiaotong University); Wei, Pan (Xi’an Jiaotong University; China Northwest Architecture Design and Research Institute); Li, Ling (Xi’an Jiaotong University); Yang, Xiaohu (Xi’an Jiaotong University); He, Ya Ling (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy)","","2023","The integration of phase change materials (PCMs) and metal foam has been widely concerned recently. To decrease non-uniformity of uniform metal foam-PCMs, adaptive metal foam arrangement strategy with increasing porosity from inside to outside has attracted widespread attention. This work conducted a symmetric simulation model of vertical thermal energy storage (TES) tube validated by experiments, for optimization of adaptive metal foam arrangement in basic design (0.94–0.94–0.94). It was followed by assessing the performance of gradient metal foam structures that included 27 cases with radial foam gradients of larger porosity on the outside and smaller porosity on the inside. Results demonstrated that a smaller difference between the inside and outside subregions resulted in better thermal performance when the same porosity of the intermediate subregion was used. More intense natural convection with stronger liquid paraffin vortex could be obtained by an adaptive arrangement. With the same average porosity, the faster phase change evolution, which was influenced by the maximum promotion of stronger natural convection, was achieved by using a larger intermediate porosity and a larger porosity difference between the inside and outside regions. The optimal strategy (0.87–0.94–0.97) could significantly shorten the melting duration as maximal as 17.15% compared with the original uniform (0.94–0.94–0.94), which contributed to efficient vertical metal foam TES systems, also as light and cost-effective as possible while also avoiding sacrificing thermal capacity.","Metal foam; Morphological features; Phase change heat transfer; Vertical thermal energy storage tube","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-24","","Process and Energy","","","",""
"uuid:75e42c32-4a70-4cc3-9497-7bceabd95855","http://resolver.tudelft.nl/uuid:75e42c32-4a70-4cc3-9497-7bceabd95855","Towards weathering and corrosion resistant, self-warning and self-healing epoxy coatings with tannic acid loaded nanocontainers","Wang, Jinke (University of Science and Technology Beijing); Tan, Weimin (Changzhou Paint and Coatings Industry Research Institute Limited Liability Company); Yang, Hao (University of Science and Technology Beijing); Rao, Xingxing (Changzhou Paint and Coatings Industry Research Institute Limited Liability Company); Luo, Xinliang (Changzhou Paint and Coatings Industry Research Institute Limited Liability Company); Ma, Lingwei (University of Science and Technology Beijing); Ren, Chenhao (University of Science and Technology Beijing); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing)","","2023","In this work, an active protective epoxy coating with weathering resistant, corrosion-warning, and self-healing properties was developed by incorporating tannic acid (TA) loaded mesoporous silica (MSN-TA) nanocontainers. The introduction of MSN-TA nanocontainers could alleviate the coating degradation via scavenging the radicals generated during UV irradiation. Compared with the blank coating, the coating containing 5 wt.% MSN-TA nanocontainers exhibited much less degradation in surface morphology, wettability and glossiness, and maintained a good barrier property after 384 h of accelerated weathering. Once the coating was damaged, the released TA could react with the Fe3+ ions to form a chelate that endowed the coating scratch with a visible black coloration, i.e. triggering a self-warning capability to indicate the initial onset of corrosion. In addition, the generated chelate could inhibit extensive corrosion propagation, offering a significant self-healing effect demonstrated by the stabilized impedance modulus values during 28 days of immersion in NaCl solution.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:c1600edf-9d58-4302-9864-5702e568a44e","http://resolver.tudelft.nl/uuid:c1600edf-9d58-4302-9864-5702e568a44e","Chloride penetration resistance of engineered cementitious composite (ECC) subjected to sustained flexural loading","Wang, Chuan (Shandong Hi-Speed Group); Sun, Renjuan (Shandong University); Hu, Xinlei (Chongqing Luneng Development Group Co, Ltd.); Guan, Yanhua (Shandong University); Yang, Yingzi (Harbin Institute of Technology); Lu, Wei (Shandong University); Tian, Jun (Shandong Hi-Speed Group); Zhang, Hongzhi (Shandong University); Ge, Zhi (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","This paper presents a research on the chloride penetration behavior of engineered cementitious composites (ECC) under sustained flexural loads. Three load levels, i.e. 30 %, 60 % and 75 % of the ultimate flexural load were used. Chloride diffusion depth and concentration profile were measured 30, 60 and 150 days after the specimen was exposed to NaCl solution and compared with pre-loaded specimens. Influence of the sustained local bending stress and microcracks were investigated. It shows that under sustained loads, the relationship between the surface chloride content and maximum normal tensile stress can be described using an exponential equation. A binary model was developed to explain the correlation among the chloride ion diffusion coefficient, maximum normal tensile stress and exposure time. Changes of capillary pore structure and phase compositions were measured using mercury intrusion porosimeter and X-ray diffraction, respectively. Unlike mortar, the fiber bridging of ECC helps with limiting crack width and thus the diffusion process, and the measured results were used to explain the observed penetration behavior of ECC. It is believed that the current study provides theoretical foundation for the durable design of the ECC/concrete composite structure.","Chloride penetration behavior; Engineered cementitious composites; Maximum normal tensile stress; Microcracks; Sustained flexural load","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-26","","","Materials and Environment","","",""
"uuid:3f440ee6-7e1e-421a-9536-64d6ffef4ab4","http://resolver.tudelft.nl/uuid:3f440ee6-7e1e-421a-9536-64d6ffef4ab4","HybridEval: A Human-AI Collaborative Approach for Evaluating Design Ideas at Scale","Mesbah, Sepideh (Booking, Amsterdam); Arous, Ines (University of Fribourg); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Evaluating design ideas is necessary to predict their success and assess their impact early on in the process. Existing methods rely either on metrics computed by systems that are effective but subject to errors and bias, or experts' ratings, which are accurate but expensive and long to collect. Crowdsourcing offers a compelling way to evaluate a large number of design ideas in a short amount of time while being cost-effective. Workers' evaluation is, however, less reliable and might substantially differ from experts' evaluation. In this work, we investigate workers' rating behavior and compare it with experts. First, we instrument a crowdsourcing study where we asked workers to evaluate design ideas from three innovation challenges. We show that workers share similar insights with experts but tend to rate more generously and weigh certain criteria more importantly. Next, we develop a hybrid human-AI approach that combines a machine learning model with crowdsourcing to evaluate ideas. Our approach models workers' reliability and bias while leveraging ideas' textual content to train a machine learning model. It is able to incorporate experts' ratings whenever available, to supervise the model training and infer worker performance. Results show that our framework outperforms baseline methods and requires significantly less training data from experts, thus providing a viable solution for evaluating ideas at scale.","crowdsourcing; human-AI collaboration; Idea evaluation; scalability","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:345a4c40-612c-451e-8203-f8e104fd79fb","http://resolver.tudelft.nl/uuid:345a4c40-612c-451e-8203-f8e104fd79fb","A deep learning framework based on improved self-supervised learning for ground-penetrating radar tunnel lining inspection","Huang, Jian (China University of Geosciences, Wuhan); Yang, Xi (China University of Geosciences, Wuhan); Zhou, Feng (China University of Geosciences, Wuhan; Southern University of Science and Technology); Li, Xiaofeng (China University of Geosciences, Wuhan); Zhou, Bin (China Railway Southwest Research Institute Co. Ltd.); Lu, Song (China Railway Southwest Research Institute Co. Ltd.); Ivashov, Sergey (Bauman Moscow State Technical University); Giannakis, Iraklis (University of Aberdeen); Kong, Fannian (Norwegian Geotechnical Institute); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2023","It is not practical to obtain a large number of labeled data to train a supervised learning network in tunnel lining nondestructive testing with ground-penetrating radar (GPR). To decrease the dependence of supervised learning on the number of labeled data, an improved self-supervised learning algorithm—self-attention dense contrastive learning (SA-DenseCL)—is proposed and incorporated with a mask region-convolution neural network (Mask R-CNN), which is trained by unlabeled and labeled GPR data. The proposed SA-DenseCL adds a self-attention-based relevant projection head to the DenseCL architecture of self-supervised learning, capturing the spatially continuing information between adjacent GPR traces. In the workflow, some unlabeled GPR images are used to pre-train the SA-DenseCL network for feature extraction and obtaining the backbone weights, which is superior to the conventional pre-training methods of supervised learning pre-trained by ImageNet images. The weights of the pre-trained backbone are then used to initialize the Mask R-CNN through transfer learning. Subsequently, a limited number of labeled GPR images are used to fine-tune the Mask R-CNN for automatically identifying the locations of the reinforcement bars and voids and estimating the secondary lining thickness. The experimental results show that the average precision reaches 96.70%, 81.04%, and 94.67% in identifying reinforcement bar locations, detecting void defects, and estimating secondary lining thickness, respectively, which outperform the conventional methods that use ImageNet-based supervised learning or GPR image-based DenseCL for initializing the Mask R-CNN backbone weights. It is observed that the improved self-supervised learning-based framework can improve the detection and estimation accuracy in GPR tunnel lining inspection.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-19","","","Applied Geophysics and Petrophysics","","",""
"uuid:c2eab784-af95-4bca-bac7-ccd275c62159","http://resolver.tudelft.nl/uuid:c2eab784-af95-4bca-bac7-ccd275c62159","Refined nonlinear fractional derivative model of vehicle-track coupling dynamics","Yang, Fan (Tongji University; Shanghai Jiao Tong University); Zhang, P. (TU Delft Railway Engineering); Wang, Yuan (Southern University of Science and Technology); Wei, Kai (Southwest Jiaotong University; Ministry of Education); Dong, Liwei (Tongji University); Wang, Ping (Southwest Jiaotong University; Ministry of Education)","","2023","The coupled vehicle-track system (CVTS) dynamics have been extensively investigated for decades. However, the calculation accuracy of prevailing vehicle-track coupling models needs to be improved in the high frequency range due to the inappropriate model simplification and neglect of material nonlinearity. In this study, we propose a refined numerical model of the CVTS that considers the nonlinear properties of the railpads and primary suspension using the fraction derivative Zener model. Furthermore, we more realistically simulate the wheelset, rail and railpad configuration with the elastic axle, solid finite element and surface-support models, respectively, and improve the computation efficiency by employing the mode superposition method. The results demonstrate that the refined CVTS model is more accurate than the classical model in simulating vehicle-track coupling dynamics above 2 kHz. In particular, there are significant differences in the dynamic response of the elastic wheelset model compared to the rigid model over a broad frequency range, with an 11% difference in the bogie acceleration response at the first dominant frequency. When the railpads are modeled using the surface-support model, the rail acceleration differences exceed 41% near 1 kHz and 44% near 2650 Hz, compared to the point-support model. Additionally, the rail response at various locations across the rail cross section can be calculated using the finite element method in this refined model. Overall. the proposed CVTS model provides high accuracy and efficiency for random vibration analysis, especially in the high frequency domain.","Elastic wheelset; Finite element method; High frequency; Nonlinear fractional derivative model; Vehicle-track coupled dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-17","","","Railway Engineering","","",""
"uuid:d066db36-8155-4986-a95d-6c24c720972c","http://resolver.tudelft.nl/uuid:d066db36-8155-4986-a95d-6c24c720972c","Silicon heterojunction solar cells with up to 26.81% efficiency achieved by electrically optimized nanocrystalline-silicon hole contact layers","Lin, H. (TU Delft Cyber Security; Sun Yat-sen University; LONGi Green Energy Technology); Yang, Miao (LONGi Green Energy Technology); Ru, Xiaoning (LONGi Green Energy Technology); Wang, Genshun (Sun Yat-sen University; LONGi Green Energy Technology); Yin, Shi (LONGi Green Energy Technology); Peng, Fuguo (LONGi Green Energy Technology); Han, C. (TU Delft Photovoltaic Materials and Devices; Sun Yat-sen University); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Silicon heterojunction (SHJ) solar cells have reached high power conversion efficiency owing to their effective passivating contact structures. Improvements in the optoelectronic properties of these contacts can enable higher device efficiency, thus further consolidating the commercial potential of SHJ technology. Here we increase the efficiency of back junction SHJ solar cells with improved back contacts consisting of p-type doped nanocrystalline silicon and a transparent conductive oxide with a low sheet resistance. The electrical properties of the hole-selective contact are analysed and compared with a p-type doped amorphous silicon contact. We demonstrate improvement in the charge carrier transport and a low contact resistivity (<5 mΩ cm2). Eventually, we report a series of certified power conversion efficiencies of up to 26.81% and fill factors up to 86.59% on industry-grade silicon wafers (274 cm2, M6 size).","","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:278f9896-2321-4661-b685-67d504e8ce15","http://resolver.tudelft.nl/uuid:278f9896-2321-4661-b685-67d504e8ce15","Carbonation and related behaviors of hardened cement pastes under different hydration degrees","Xu, Yaowen (Chongqing University); Liang, X. (TU Delft Materials and Environment); Wan, Chaojun (Chongqing University); Yang, Hongyu (Chongqing University); Feng, Xiaming (Chongqing University)","","2023","This paper develops a kind of molded disc samples to investigate the carbonation and related behaviors of hardened cement pastes under different previous hydration degrees. Weight and length changes of cement pastes over time are monitored during a multistep process including carbonation, drying, rewetting, and redrying. The combination of X-ray diffraction (XRD) and thermogravimetric analysis (TGA) is used to identify and quantify the mineral compositions of carbonated cement pastes. An exponential function between CO2 uptake capacity and hydration time of cement pastes is established, which shows that the CO2 uptake capacity of cement pastes decreases dramatically at the very beginning days of hydration and then remaining relatively stable as hydration time is prolonged. Two reasons for this finding are revealed: i) the equilibrium between the carbonation and the post-carbonation reaction of carbonation product, i.e., silica-alumina gel; ii) refining of pore structures by hydration products which hinders carbonation. A clearer zonation of carbonation areas is proposed, and the spatial distribution equations of CO2 absorption are initially established. By monitoring carbonation and drying behavior of cement pastes with different hydration ages, it is revealed that carbonation reduces drying shrinkage of cement pastes especially for early-age samples, whereas drying increases carbonation shrinkage. By investigating the water changes during the multistep process, it is found that water is little released during the carbonation of C–S–H gels. New insight into mechanism of carbonation shrinkage is provided by a newly proposed model.","Carbonation; Cement paste; Drying shrinkage; Mineral composition; Water content","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Materials and Environment","","",""
"uuid:907a6b1a-062c-429b-abb7-c8a83dc5562d","http://resolver.tudelft.nl/uuid:907a6b1a-062c-429b-abb7-c8a83dc5562d","The Covariation of Color and Orange Fluorescence Instabilities in Yellow Sapphires","Yang, Yunqi (China University of Geosciences, Wuhan; Hubei Gems and Jewelry Engineering Technology Research Center); Wang, C. (TU Delft Applied Geology; China University of Geosciences, Wuhan; Hubei Gems and Jewelry Engineering Technology Research Center); Wang, Chengsi (China University of Geosciences, Wuhan; Hubei Gems and Jewelry Engineering Technology Research Center); Shen, Xibing (China University of Geosciences, Wuhan; Beibu Gulf University); Yin, Ke (China University of Geosciences, Wuhan); Chen, Tao (China University of Geosciences, Wuhan; Hubei Gems and Jewelry Engineering Technology Research Center); Shen, Andy Hsitien (China University of Geosciences, Wuhan; Hubei Gems and Jewelry Engineering Technology Research Center); Algeo, Thomas J. (China University of Geosciences, Wuhan; University of Cincinnati); Hong, Hanlie (China University of Geosciences, Wuhan)","","2023","In recent years, some sapphires were found to fade in sunlight and to increase their color after UV irradiation. This unstable color phenomenon is attributed to the photochromism of corundum. The photochromic effect seriously affects the grading and evaluation of sapphires, although its mechanism is still uncertain. Here, we performed a set of photochromic experiments on sapphire specimens using a 254 nm shortwave UV light source and a D65 light source (which simulates sunlight) to generate different color states exhibiting characteristic absorption, emission, and excitation spectra. We observed that, for different color states, variation in the intensity of the absorption band at ~460 nm was consistent with that of orange fluorescence at 500–800 nm. This observation indicates a relationship between color instability and orange fluorescence. Peaks in excitation spectra at 320, 420, 490, 560, and 637 nm provide insight into the source(s) of excited orange fluorescence, which are related to different types of F-centers and Mg-trapped holes. We propose an explanation for the photochromic phenomenon: the color of photochromic yellow sapphire is the result of a variety of defects that release orange fluorescence simultaneously. Further, we hypothesize that the mechanism of photochromism in yellow sapphires is linked to electron transfer between F-centers and Mg-trapped holes.","corundum; defect; excitation spectrum; F-center; photochromic; trapped hole","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:e6fbf2aa-ead0-4789-8d34-1bdacbed1c00","http://resolver.tudelft.nl/uuid:e6fbf2aa-ead0-4789-8d34-1bdacbed1c00","ICML 2023 Topological Deep Learning Challenge: Design and Results","Papillon, Mathilde (Challenge Organizer); Hajij, Mustafa (Challenge Organizer; Challenge Reviewer); Myers, Audun (Challenge Reviewer); Frantzen, Florian (Challenge Reviewer); Zamzmi, Ghada (Challenge Reviewer); Jenne, Helen (Challenge Reviewer); Mathe, Johan (Challenge Reviewer); Hoppe, Josef (Challenge Reviewer); Yang, Maosheng (TU Delft Multimedia Computing)","","2023","This paper presents the computational challenge on topological deep learning that was hosted within the ICML 2023 Workshop on Topology and Geometry in Machine Learning. The competition asked participants to provide open-source implementations of topological neural networks from the literature by contributing to the python packages TopoNetX (data processing) and TopoModelX (deep learning). The challenge attracted twenty-eight qualifying submissions in its two month duration. This paper describes the design of the challenge and summarizes its main findings.","","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:8b1cb6a8-561b-4625-b02a-d0568e8b9d25","http://resolver.tudelft.nl/uuid:8b1cb6a8-561b-4625-b02a-d0568e8b9d25","Poly-SiOx Passivating Contacts with Plasma-Assisted N2O Oxidation of Silicon (PANO-SiOx)","Yao, Z. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices; Sun Yat-sen University); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Özkol, E. (TU Delft Photovoltaic Materials and Devices); Yan, J. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Cao, L. (TU Delft Photovoltaic Materials and Devices); van Swaaij, R.A.C.M.M. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Passivating contacts are crucial for realizing high-performance crystalline silicon solar cells. Herein, contact formation by plasma-enhanced chemical vapor deposition (PECVD) followed by an annealing step is focused on. Poly-SiOx passivating contacts by combining plasma-assisted N2O-based oxidation of silicon (PANO-SiOx) with a thin film of phosphorus (n+) or boron (p+)-doped hydrogenated amorphous silicon oxide (a-SiOx:H) are manufactured. Postannealing is conducted for transitioning a-SiOx:H into poly-SiOx. The aim is to achieve a contact with low absorption and high-quality passivation. It is demonstrated that by tuning the plasma oxidation process time and power, the PANO-SiOx thickness and its passivation quality can be controlled. A higher SiO2 content is observed in PANO-SiOx than in the nitric acid oxidation of silicon (NAOS-SiOx) counterpart. PANO-SiOx acts as a stronger diffusion barrier for both boron and phosphorus atoms compared to NAOS-SiOx, affecting the dopant distribution during annealing. Implied open-circuit voltages up to 751 and 710 mV for n+ and p+ flat symmetric samples, respectively, are demonstrated. With respect to standard thermally grown SiO2 tunneling oxide combined with (in/ex)situ-doped low-pressure chemical vapor deposition poly-Si, this study presents a simple alternative for manufacturing passivating contact fully based on PECVD processes.","oxygen-alloyed poly-Si; passivating contacts; photovoltaics; plasma-assisted NO oxidation of silicon (PANO-SiO); silicon surface passivation","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:6f79eb43-eebe-4134-9696-022d01fff7f0","http://resolver.tudelft.nl/uuid:6f79eb43-eebe-4134-9696-022d01fff7f0","Laser-Induced Graphene Formation on Chitosan Derivatives toward Ecofriendly Electronics","Huang, Qian Ming (Harbin Institute of Technology; Southern University of Science and Technology); Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Luo, Anxin (Southern University of Science and Technology); Xu, Siyuan (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2023","Laser-induced graphene (LIG) has aroused a wide range of research interests ranging from micro-nano energy devices to the Internet of Things (IoT). Nevertheless, the non-degradability of most-used synthetic polymer carbon sources poses a serious threat to the environment. In this work, ecofriendly chitosan-based derivatives, including carboxymethyl chitosan (CMCS), chitosan oligosaccharide, and chitosan hydrochloride, are successfully converted into LIGs for the first time via a convenient one-step CO2 laser engraving at ambient air. The obtained LIGs are characterized by a three-dimensional hierarchical porous structure and exhibit good sheet conductivity. The consecutive carbonization and graphitization mechanism of target precursors induced by laser heat accumulation is also deeply discussed. Besides, based on a mechanically reliable LIG/CMCS composite film and tribo-negative acrylic/polyimide anti-layers, two contact-separation mode triboelectric nanogenerators are built and their power densities range from 1.44 to 2.48 mW cm-2. These devices with long cycle life can be used for low-frequency mechanical energy harvesting and commercial capacitance charging, which could be potentially applied in the wireless sensor network nodes. Such a family of chitosan derivatives paves a new route for LIG synthesis and provides new ideas for ecofriendly LIG electronics.","carbon precursor; chitosan derivatives; ecofriendly and biodegradability; laser-induced graphene; triboelectric nanogenerator","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:feb5e4f9-770a-447f-bd41-571e992ad79a","http://resolver.tudelft.nl/uuid:feb5e4f9-770a-447f-bd41-571e992ad79a","Rapid extraction of pavement aggregate gradation based on point clouds using deep learning networks","Chen, Siyu (Southeast University); Chen, Can (Southeast University); Ma, Tao (Southeast University); Han, Chengjia (Southeast University; Nanyang Technological University); Luo, Haoyuan (Southeast University); Wang, Siqi (Southeast University); Gao, Y. (TU Delft Pavement Engineering); Yang, Yaowen (Nanyang Technological University)","","2023","Usage of asphalt mixture with poor gradation will most likely lead to pavement deficiency. There is a growing need for rapid and non-destructive methods to extract pavement aggregate gradation. In this study, a deep learning-based method that utilizes point clouds data for gradation extraction was proposed. Firstly, a data enhancement algorithm along with three data format conversion methods (aligned point cloud, voxel, and depth image) were proposed to preprocess the original collected point clouds. Subsequently, different neural network models were designed for each data format to extract gradation. Finally, a multi-feature fusion network was developed, which using extraction network as the backbone and additional auxiliary information. In the case study, the MAE loss of multi-feature fusion networks with PointNet, Vox-ResNet34 and GoogLeNet-v4 as the backbone respectively achieved 0.202, 0.142 and 0.046 on the test set, which means an estimation accuracy of more than 95% for the pavement aggregate gradation.","Aggregate gradation; Artificial neural networks; Asphalt pavement; Multi-feature fusion; Point clouds","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-20","","","Pavement Engineering","","",""
"uuid:0e4a05c0-d296-459a-8ecb-9c0bd7943553","http://resolver.tudelft.nl/uuid:0e4a05c0-d296-459a-8ecb-9c0bd7943553","Influence of unilateral low adhesion on transient wheel-rail rolling contact and wheel damages","Zhao, X. (State Key Laboratory of Traction Power; Southwest Jiaotong University); Huang, Shuangchao (Southwest Jiaotong University); Yin, Shan (Southwest Jiaotong University); Yang, Jizhong (China Railway Eryuan Engineering Group Co.); Yang, Z. (TU Delft Railway Engineering); Tao, Gongquan (Southwest Jiaotong University); Wen, Zefeng (Southwest Jiaotong University)","","2023","A time-domain finite element model is developed to study the transient rolling contact of a driving wheelset over a curved track with Low Adhesion Zones (LAZs) shorter than 1.0 m. LAZs on one rail, i.e., unilateral LAZs occurring more likely, is treated for a speed up to 500 km/h. Structural vibrations of wheelset are analyzed to explain the transient contact forces, creepages and the resulting irregular wear. LAZs on high rails are found more detrimental than those on low rails. The results explain the occurrence of flats and rolling contact fatigue in bad weather, although significant wheel idling is absent.","Explicit FE method; High-speed; Irregular wear; Low adhesion; Vibrations; Wheel-rail rolling contact","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-13","","","Railway Engineering","","",""
"uuid:0b88894f-a2e2-4ad1-86ea-c98dd87dd42a","http://resolver.tudelft.nl/uuid:0b88894f-a2e2-4ad1-86ea-c98dd87dd42a","Empirical analysis and modeling of the allometric scaling of urban freight systems","Lin, Xu Jie (Beijing Jiaotong University); Liu, Er Jian (Beijing Jiaotong University; Instituto de Física Interdisciplinar y Sistemas Complejos IFISC (CSIC-UIB)); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Jia, Xiang Yu (Beijing Jiaotong University); Yan, Xiao Yong (Beijing Jiaotong University)","","2023","Heavy trucks which undertake the majority of freight volume play an important role in urban freight systems. By analyzing heavy truck trip data, we find a superlinear scaling relationship for heavy truck trips and a sublinear scaling relationship for heavy truck numbers relative to urban population size. Although these allometric scaling relationships that widely appear in nature and social systems have been explained by many models, a simple model that can cover a wide range of scaling exponents in these systems is still lacking. Here, we develop a partially mixing city operation model by quantifying the mixability of the urban population to explain why the superlinear and sublinear scaling exponents are in the range of 1 and . This simple model not only helps us understand the mechanism of allometric scaling of urban freight systems, but also provides a new framework for other superlinear and sublinear scaling relationships in cities.","","en","journal article","","","","","","","","2024-01-03","","","Transport and Planning","","",""
"uuid:64a479e2-6fa0-4627-b5c5-499f17e3def8","http://resolver.tudelft.nl/uuid:64a479e2-6fa0-4627-b5c5-499f17e3def8","Impacts of urban morphology on sensible heat flux and net radiation exchange","Yang, Jinxin (Guangzhou University); Wu, Zhifeng (Guangzhou University); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Wong, Man Sing (The Hong Kong Polytechnic University); Xie, Yanhua (University of Wisconsin-Madison); Zhu, Rui (Agency for Science, Technology and Research); Abbas, Sawaid (The Hong Kong Polytechnic University; University of the Punjab); Xu, Yong (Guangzhou University)","","2023","Urban morphology affects the sensible heat flux and net radiation exchange which can alter urban heat mitigation plans. This study first parameterized the geometric effects on the net radiation, and then calculated the net radiation and sensible heat flux in the urban landscape of Hong Kong. Considering that the sensible heat flux is the main heat sink in compact urban areas, this study proposes a Normalized Urban Sensible Heat Mitigation Index (NUSHMI) based on the ratio of the net radiation and sensible heat flux. Overall, there is major difference in the dependence of net radiation and sensible heat flux on geometric parameters. Net radiation Rn, reaches an optimal value, either maximum or minimum depending on the parameters of SVF and a standard deviation of building height σh, at intermediate parameter values, which suggests a guideline relevant to urban design targeting the mitigation of urban climate. Contrariwise, sensible heat flux decreases or increases, again depending on SVF and σh, is being considered, with increasing values of the same parameters. For example, Rn, reaches a minimum value for a Sky View Factor (SVF) between 0.5 and 0.6, while it reaches a maximum value for a standard deviation of building height σh between 20 and 30 m. These two results suggest that radiative forcing, i.e. Rn, can be minimized by urban space with SVF around 0.55 and σh around 25 m. The relationships between sensible heat flux and SVF or σh do not show multiple minima or maxima (as with Rn), with the exception of building density, which could also be applied as a guideline in urban design. The results based on the proposed NUSHMI indicated the NUSHMI reaches the highest values when building density is about 0.7 and building height is about 80 m and when the building height standard deviation within an area is about 10 m to 20 m. These findings revealed how the urban morphology affects the surface heat flux exchange between urban canopy and atmosphere boundary layer, and can help to design an efficient urban landscape towards urban heat mitigation for highly compacted cities, e.g. controlling the building density, height, and the height deviation. This combination of urban geometric parameters identifies an urban configuration maximizing the dissipation of absorbed radiant energy as sensible heat. It should be noted, however, that heat load upon buildings would be reduced at the price of maximizing heat dissipation within the built-up space.","Building density; Net radiation; Urban geometry; Urban heat exchange; Urban heat mitigation; Urban sensible heat flux","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-28","","","Optical and Laser Remote Sensing","","",""
"uuid:6ef78897-e046-4139-a145-8c9e1f056247","http://resolver.tudelft.nl/uuid:6ef78897-e046-4139-a145-8c9e1f056247","A non-unit line protection method for MMC-HVDC grids based on the curvatures of backward traveling waves","Xie, F. (TU Delft Intelligent Electrical Power Grids; Xi’an Jiaotong University); Hao, Zhiguo (Xi’an Jiaotong University); Ye, Dongmeng (Xi’an Jiaotong University); Yang, Songhao (Xi’an Jiaotong University); Li, Chuanxi (XJ Electric); Dai, Guoan (XJ Electric); Zhang, Baohui (Xi’an Jiaotong University); Wang, Ting (School of Electrical and Electronic Engineering)","","2023","The existing protection techniques for high-voltage direct-current (HVDC) grids suffer from several shortcomings such as high sampling frequency, poor robustness, and reliance on simulation for threshold setting. To solve these problems, this paper proposes a non-unit protection method for modular multilevel converter (MMC)-based HVDC grids using the curvatures of backward traveling waves. To this end, the propagation characteristics of traveling waves and the boundary characteristics of DC lines are first studied, then the analytical expressions of backward traveling waves are derived. Moreover, the curvatures of backward traveling waves are analyzed. On this basis, a non-unit protection method is proposed, including zone selection, disturbance identification, and pole selection. At last, with a protection platform and a real-time digital simulator (RTDS) platform of the MMC-HVDC grid, the accuracy and the robustness of the proposed protection method are verified. The results show that the protection method can correctly identify faults with different distances and resistance in 1 ms and has strong robustness against transition resistance, sampling frequency, boundary value, noise, system topology, and line parameters.","Curvatures; HVDC grids; Modular multilevel converters; Non-unit protection; Traveling waves","en","journal article","","","","","","","","2024-01-08","","","Intelligent Electrical Power Grids","","",""
"uuid:38229a32-8f74-47da-916d-83e27e576db1","http://resolver.tudelft.nl/uuid:38229a32-8f74-47da-916d-83e27e576db1","Use of thermal imaging to measure the quality of hand hygiene","Wang, C.W. (TU Delft Human-Centred Artificial Intelligence); Jiang, W. (Anhui Normal University); Yang, K. (University of Melbourne); Sarsenbayeva, Z. (University of Sydney); Tag, B. (Monash University); Dingler, T. (University of Melbourne); Goncalves, J. (University of Melbourne); Kostakos, V. (University of Melbourne)","","2023","Objectives: Hand hygiene has long been promoted as the most effective way to prevent the transmission of infection. However, due to low compliance and low quality of hand hygiene reported in previous studies, constant monitoring of hand hygiene compliance and quality among healthcare workers is crucial. This study investigated the feasibility of using a thermal camera with an RGB camera to detect hand coverage of alcohol-based formulation, thereby monitoring the quality of hand rubbing. Methods: In total, 32 participants were recruited to participate in this study. Participants were required to perform four types of hand rubbing to achieve different coverage of the alcohol-based formulation. After each task, participants' hands were photographed under a thermal camera and an RGB camera, while an ultraviolet (UV) test was used to provide the ground truth of hand coverage of alcohol-based formulation. U-Net was used to segment areas exposed to alcohol-based formulation from thermal images, and system performance was evaluated by comparing differences in coverage between thermal images and UV images in terms of accuracy and Dice coefficient. Results: This system found promising results in terms of accuracy (93.5%) and Dice coefficient (87.1%) when observations took place 10 s after hand rubbing. At 60 s after hand rubbing, accuracy and Dice coefficient were 92.4% and 85.7%. Conclusions: Thermal imaging has potential for accurate, constant and systematic monitoring of the quality of hand hygiene.","Hand hygiene; Thermal camera; Ultraviolet light; World Health Organization","en","journal article","","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:c584f05e-539c-4769-9b0a-8bc640296505","http://resolver.tudelft.nl/uuid:c584f05e-539c-4769-9b0a-8bc640296505","Slight Multielement Doping-Induced Structural Order-Disorder Transition for High-Performance Layered Na-Ion Oxide Cathodes","Guo, Hao (China Institute of Atomic Energy); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Gao, Jianxiang (China Institute of Atomic Energy); Yang, Wenyun (Peking University); Hu, Xufeng (China Institute of Atomic Energy); Ma, Xiaobai (China Institute of Atomic Energy); Jiao, Xuesheng (China Institute of Atomic Energy); Yang, Jinbo (Peking University); Sun, Kai (China Institute of Atomic Energy); Chen, Dongfeng (China Institute of Atomic Energy)","","2023","To realize concurrently the high-energy density and excellent cycling stability, maximum utilization of redox couple, minimization of detrimental phase transition, and structural degradation of O3-type layered oxide cathodes are critical for developing Na-ion batteries. Ni2+/Ni4+ redox couple showing multielectron reaction and higher redox potential is favorable to increase the energy density. However, the Jahn-Teller distortion of Ni3+ generated upon (dis)charging results in a strong anisotropy in the local crystal structure that causes irreversible interlayer bending and chemo-mechanical cracks of the cathode particles, compromising the electrochemical properties eventually. In this work, we show a slight multielement doping strategy that enlarges the amount of active redox components while minimizing the inactive contents. The results show that the uniform distribution of multiple components can help increase the disorder degree of atom arrangement and alleviate the structural changes and detrimental anisotropy cracks. As a proof of concept, a multielement-doped O3-type Na0.9Ni0.25Cu0.05Mg0.05Zn0.05Fe0.05Al0.05Mn0.40Ti0.05Sn0.05O2 oxide is rationally prepared that presents better chemo-mechanical stability and delayed O3-P3 phase transition behavior. Compared to the high Ni-content Na0.9Ni0.35Fe0.2Mn0.45O2 cathode, this as-prepared multielement material delivers a reversible capacity of about 120 mAh/g in the voltage range of 2-4.0 V, superior cycling stability with 90% of capacity retention after 500 cycles, and excellent rate capability (more than 70% of initial capacity at 5.0 C). This work indicates that the multielement doping method is highly suitable for the development of advanced Na-ion layered oxide cathodes.","anisotropy lattice strain; multielement doping; NaNiCuMgZnFeAlMnTiSnO; O3-type; order−disorder transition","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-13","","","RST/Storage of Electrochemical Energy","","",""
"uuid:a0f5d9ea-9c79-44e6-a4cd-10973964435d","http://resolver.tudelft.nl/uuid:a0f5d9ea-9c79-44e6-a4cd-10973964435d","A multisource transportation network model explaining allometric scaling","Jia, Xiang Yu (Beijing Jiaotong University); Liu, Er Jian (Beijing Jiaotong University; Instituto de Física Interdisciplinar y Sistemas Complejos IFISC (CSIC-UIB)); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University); Yan, Xiao Yong (Beijing Jiaotong University)","","2023","The universal scaling relationship between an attribute and the size of a system is widespread in nature and society and is known as allometric growth. Previous studies have explained that the allometric growth exponent of single-source systems is uniquely determined by the dimension. However, the phenomenon that the exponent shows diversity in some systems, such as rivers, freight transportation and gasoline stations, lacks a reasonable explanation. In this paper, we hold the view that allometric growth may originate from efficient delivery from sources to transfer sites in a system and propose a multisource transportation network model that can explain diversified allometric growth exponents. We apply this model to some multisource systems, and the results show that our model successfully reproduces the diversity of the allometric growth exponent.","inference in socio-economic system; scaling in socio-economic systems; socio-economic networks","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-16","","","Transport and Planning","","",""
"uuid:8018883f-afe8-41dd-8caf-a4198e830f2b","http://resolver.tudelft.nl/uuid:8018883f-afe8-41dd-8caf-a4198e830f2b","Investigation of concrete crack kinematics through probability density field of the location of acoustic emission events","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2023","Monitoring or identifying structural cracks is crucial for assessing the health of existing concrete structures. Key information about structural cracking encompasses the location of the crack and its kinematics, which include movements perpendicular and parallel to the crack face. Acoustic emission (AE) is a sensitive technique for detecting the location of internal concrete cracking. However, the state-of-the-art AE monitoring methods offer limited information on crack kinematics, restricting the use of AE in crack assessment. To bridge this gap, this paper uses a recently proposed AE data analysis method that quantifies the spatial distribution of AE events along a crack probabilistically. This method uses a parameter referred to as the probability density of AE events (pdAE). By combining pdAE and crack kinematics measured by digital image correlation in a series of real-scale concrete beam tests, this paper investigates the relationship between AE events and crack kinematics. The analysed cracks are generated by a combination of bending moment and shear forces, as commonly observed in real structural concrete members. We find that the amount of AE events is not only related to crack width (the crack movement perpendicular to the crack face), as most literature suggests, but also to the complete crack kinematics throughout the loading history of the member. We then provide a physical explanation for the observed relationships between concrete crack kinematics and the quantity of AE events.","Concrete structures; Crack kinematics; Digital image correlation; Probability density of acoustic emission events; Source localization","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:9b92694f-fca1-4dc6-ba7d-3fc91d31b68e","http://resolver.tudelft.nl/uuid:9b92694f-fca1-4dc6-ba7d-3fc91d31b68e","Toward a Universal Unit for Quantification of Antibiotic Resistance Genes in Environmental Samples","Yin, Xiaole (The University of Hong Kong); Chen, Xi (The University of Hong Kong); Jiang, Xiao Tao (University of New South Wales Faculty of Medicine); Yang, Ying (Sun Yat-sen University); Li, Bing (Tsinghua University); Shum, Marcus Ho Hin (University of Hong Kong); Schmitt, H. (TU Delft BT/Environmental Biotechnology; Rijksinstituut voor Volksgezondheid en Milieu (RIVM)); Cha, Chang Jun (Chung-Ang University); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2023","Surveillance of antibiotic resistance genes (ARGs) has been increasingly conducted in environmental sectors to complement the surveys in human and animal sectors under the ""One-Health""framework. However, there are substantial challenges in comparing and synthesizing the results of multiple studies that employ different test methods and approaches in bioinformatic analysis. In this article, we consider the commonly used quantification units (ARG copy per cell, ARG copy per genome, ARG density, ARG copy per 16S rRNA gene, RPKM, coverage, PPM, etc.) for profiling ARGs and suggest a universal unit (ARG copy per cell) for reporting such biological measurements of samples and improving the comparability of different surveillance efforts.","ARG copy per cell; long-read sequencing; metagenomics; one-health; standardization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-13","","","BT/Environmental Biotechnology","","",""
"uuid:585edba5-8a2d-4f03-982e-092780c84a42","http://resolver.tudelft.nl/uuid:585edba5-8a2d-4f03-982e-092780c84a42","Subtask-masked curriculum learning for reinforcement learning with application to UAV maneuver decision-making","Hou, Yueqi (Air Force Engineering University China); Liang, Xiaolong (Air Force Engineering University China); Lv, Maolong (Air Force Engineering University China); Yang, Q. (TU Delft Algorithmics); Li, Y. (TU Delft Algorithmics)","","2023","Unmanned Aerial Vehicle (UAV) maneuver strategy learning remains a challenge when using Reinforcement Learning (RL) in this sparse reward task. In this paper, we propose Subtask-Masked curriculum learning for RL (SUBMAS-RL), an efficient RL paradigm that implements curriculum learning and knowledge transfer for UAV maneuver scenarios involving multiple missiles. First, this study introduces a novel concept known as subtask mask to create source tasks from a target task by masking partial subtasks. Then, a subtask-masked curriculum generation method is proposed to generate a sequenced curriculum by alternately conducting task generation and task sequencing. To establish efficient knowledge transfer and avoid negative transfer, this paper employs two transfer techniques, policy distillation and policy reuse, along with an explicit transfer condition that masks irrelevant knowledge. Experimental results demonstrate that our method achieves a 94.8% success rate in the UAV maneuver scenario, where the direct use of reinforcement learning always fails. The proposed RL framework SUBMAS-RL is expected to learn an effective policy in complex tasks with sparse rewards.","Curriculum learning; Knowledge transfer; Maneuver decision-making; Reinforcement learning; Unmanned Aerial Vehicle","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Algorithmics","","",""
"uuid:e8b19053-bdaf-45dd-9d4c-a45c56c87db1","http://resolver.tudelft.nl/uuid:e8b19053-bdaf-45dd-9d4c-a45c56c87db1","Value-Based Hybrid Intelligence","Sayin, Burcu (Università di Trento); Yang, J. (TU Delft Web Information Systems); Passerini, Andrea (Università di Trento); Casati, Fabio (ServiceNow)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","In this paper, we argue that the way we have been training and evaluating ML models has largely forgotten the fact that they are applied in an organization or societal context as they provide value to people. We show that with this perspective we fundamentally change how we evaluate and select machine learning models.","hybrid intelligence; machine learning; selective classification","en","conference paper","IOS Press","","","","","","","","","","Web Information Systems","","",""
"uuid:63f090d0-2ebb-4f35-8bea-3e4870001198","http://resolver.tudelft.nl/uuid:63f090d0-2ebb-4f35-8bea-3e4870001198","Value- Aware Active Learning","Sayin, Burcu (Università di Trento); Yang, J. (TU Delft Web Information Systems); Passerini, Andrea (Università di Trento); Casati, Fabio (Santa Clara)","Lukowicz, Paul (editor); Mayer, Sven (editor); Koch, Janin (editor); Shawe-Taylor, John (editor); Tiddi, Ilaria (editor)","2023","In many practical applications, machine learning models are embedded into a pipeline involving a human actor that decides whether to trust the machine prediction or take a default route (e.g., classify the example herself). Selective classifiers have the option to abstain from making a prediction on an example they do not feel confident about. Recently, the notion of the value of a machine learning model has been introduced as a way to jointly consider the benefit of a correct prediction, the cost of an error, and that of abstaining. In this paper, we study how active learning of selective classifiers is affected by the focus on value. We show that the performance of the state-of-the-art active learning strategies drops significantly when we evaluate them based on value rather than accuracy. Finally, we propose a novel value-aware active learning strategy that outperforms the state-of-the-art ones when the cost of incorrect predictions substantially outweighs that of abstaining.","active learning; cost-sensitive learning; selective classifier; value-based learning","en","conference paper","IOS Press","","","","","","","","","","Web Information Systems","","",""
"uuid:b4fa45a1-9c9e-4938-8504-3c6b19ce28fa","http://resolver.tudelft.nl/uuid:b4fa45a1-9c9e-4938-8504-3c6b19ce28fa","基于注意力机制的城市轨道交通网络级多步短时客流时空综合预测模型","Zhang, Jinlei (Beijing Jiaotong University); Chen, Yijie (Beijing Jiaotong University); Krishnakumari, P.K. (TU Delft Transport and Planning); Jin, Guangyin (National University of Defense Technology); Wang, Chengcheng; Yang, Lixing (Beijing Jiaotong University)","","2023","Accurate and reliable short- term passenger flow prediction can support operations and decision-making of the URT system from multiple perspectives. In this paper, we propose a URT multi- step short- term passenger flow prediction model at the network level based on a Transformer-based LSTM network, Depth-wise Attention Block, and CNN network, named as Spatial- Temporal Integrated Prediction Model (STIPM). The STIPM comprises three branches. The first branch takes time- series inflow data as input, and a Transformer-based LSTM network is selected to extract the temporal correlations. The second one takes timestep- based OD data as input, and many spatial and temporal features are captured using Depth- wise Attention Blocks. Meanwhile, timestep- based OD data can better include inter- station relations and global information. The third branch takes Point of Interest data (POI) as input and CNN network is utilized for spatiotemporal features extraction, which can also become the bridge between spatial and temporal features. Moreover, the“Multi-inputmulti- output Strategy”for multi- step prediction is used to obtain a longer prediction period and more detailed information under a relatively high forecasting accuracy. The STIPM is applied to two large- scale real- world datasets from the URT system, and the obtained prediction results are compared with ten baselines and four variants from itself, in which STIPM model achieves highest prediction accuracy indicated by RMSE, MAE, and WMAPE evaluations, which demonstrates the superiority and robustness of the STIPM.","deep learning; features fusion; multi-step forecasting; short-term passenger forecasting; spatiotemporal features mining; traffic big-data; urban Rail Transit","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Transport and Planning","","",""
"uuid:09448a3c-478e-448a-a8e9-f6c39a239cc2","http://resolver.tudelft.nl/uuid:09448a3c-478e-448a-a8e9-f6c39a239cc2","A macro prediction model for butt-welded (U)HSS connections with softened HAZ","Yan, R. (TU Delft Steel & Composite Structures; Chang'an University); Xie, Hui (Chang'an University); Yang, Fei (Chang'an University); Veljkovic, M. (TU Delft Steel & Composite Structures); Zhao, Xiao lin (The Hong Kong Polytechnic University)","","2023","This paper reports an investigation on the tensile resistance of fully-penetrated butt-welded connections of (ultra-)high-strength steels considering the strength softening of the heat-affected zone (HAZ) and the strengthening due to the transverse constraint. Firstly, a parametric study is carried out to analyse the tensile behaviour of fully-penetrated butt-welded S700 connections using validated finite element (FE) models. Based on the FE results, the effect of the investigated parameters on the ultimate resistance of the connections is quantified. Consequently, a Macro prediction model is proposed, neglecting the detail of HAZ inhomogeneous microstructures but considering five design parameters, which are the width and thickness of the specimen, the width of HAZ, the softening ratio, and the matching ratio. Finally, the proposed Macro model is validated against the available experimental data of fully-penetrated butt-welded (ultra-)high-strength steel connections in literature. The results show that the Macro model is proven successful in accurately quantifying the effect of HAZ, concerning the strength softening and the transverse constraint strengthening, on the tensile resistance of fully-penetrated butt-welded (ultra-)high-strength steel connections. It is also found that 157 and 96 out of 185 experiments are predicted within a 5% deviation range using the Macro model and prEN 1993-1-8:2021, respectively, proving that the Macro model is more consistent with the experimental data compared to the prediction of prEN 1993-1-8:2021.","Fully-penetrated butt weld; Heat-affected zone; High-strength steel; Tensile resistance; Transverse constraint; Ultra-high-strength steel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-01","","","Steel & Composite Structures","","",""
"uuid:57bfca85-9ee5-470f-b848-4c306335ed70","http://resolver.tudelft.nl/uuid:57bfca85-9ee5-470f-b848-4c306335ed70","Experimental study of dynamic response of passive flapping hydrofoil in regular wave","Wang, Junxian (Cranfield University); Santhosh, Sabin (Cranfield University); Colomés, Oriol (TU Delft Offshore Engineering); Capaldo, Matteo (TotalEnergies); Yang, Liang (Cranfield University)","","2023","The hydrofoil harnesses wave energy and converts it into thrust. In this paper, we present the results of the first experimental study investigating the dynamic behavior of a fully passive foil with spring-loaded pitch and heave in regular waves. Our study shows that the real-time load signal is multi-harmonic with strong superposition, directly proving the robust energy harvesting performance due to the restoring springs. By interpreting the hydrofoil's pose and path from an image sequence captured underwater, we conclude the dynamic evolution of the fully passive hydrofoil interacting with regular waves. The hydrofoil's dynamics exhibit asymmetric surge, pitch, and heave in a motion cycle. Furthermore, we employ a pixel capturing algorithm with self-correction utility to quantify the hydrofoil's forward displacement from the image sequence of the moving carriage. These findings provide valuable insight into the performance and potential of hydrofoils for marine propulsion.","Energy harvesting; Wave power; Spring stiffness; Sensors; Wave mechanics; Fluid dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-26","","","Offshore Engineering","","",""
"uuid:f5264de0-105d-4940-9416-604252f62af6","http://resolver.tudelft.nl/uuid:f5264de0-105d-4940-9416-604252f62af6","A dynamic condition assessment model of aging subsea pipelines subject to corrosion-fatigue degradation","Han, Ziyue (Xi'an University of Architecture and Technology); Li, Xinhong (Xi'an University of Architecture and Technology); Zhang, Renren (Xi'an University of Architecture and Technology); Yang, M. (TU Delft Safety and Security Science); Seghier, Mohamed El Amine Ben (OsloMet – storbyuniversitetet)","","2023","Extension of operating lifetime of aging subsea pipelines is of great interest to oil and gas sectors. Corrosion and fatigue are the main causations of the condition degradation of subsea pipelines. A dynamic probabilistic condition assessment model based on continuous dynamic Bayesian network (DBN) is developed to support the life extension decision-making of aging subsea pipeline subjected to the corrosion-fatigue degradation. The methodology is built based on equivalent initial flaw size (EIFS) concept and a time-dependent prediction model implemented using DBN. The complex corrosion-fatigue degradation process is simplified by EIFS concept, and the crack propagation due to corrosion-fatigue is modelled using fracture mechanics model and DBN. A limit state function (LSF) is used to express the failure condition of subsea pipeline due to crack propagation. The dynamic reliability of subsea pipeline is estimated using Monte Carlo (MC) method with the probability distributions of the predicted crack sizes at different time slices. The estimated reliability is compared with the acceptable threshold to decide whether any measures are required to extend the life of subsea pipeline. The methodology is tested by a case study, and it is observed that it can be a useful tool to support life extension decision-making of aging subsea pipelines.","Ageing subsea pipelines; Condition assessment; Corrosion-fatigue; EIFS; Life extension","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-29","","","Safety and Security Science","","",""
"uuid:8240ab56-430b-4365-991c-d41075c9694c","http://resolver.tudelft.nl/uuid:8240ab56-430b-4365-991c-d41075c9694c","An integrated resilience assessment methodology for emergency response systems based on multi-stage STAMP and dynamic Bayesian networks","An, Xu (Beijing Institute of Technology); Yin, Zhiming (CNOOC Research Institute Co., Beijing); Tong, Qi (Johns Hopkins University); Fang, Yiping (Université Paris-Saclay, Paris); Yang, M. (TU Delft Safety and Security Science); Yang, Qiaoqiao (Beijing Institute of Technology); Meng, Huixing (Beijing Institute of Technology)","","2023","The interactions of external disruptions and technical-human-organizational factors in emergency operations are usually observed. Resilience assessment of emergency systems can improve emergency response capability and system functional recovery. The increasing complexity and coupling of factors in emergency response systems need to be investigated from a system resilience perspective. In this paper, we propose to integrate a multi-stage System-Theoretic Accident Model and Processes (STAMP) with a dynamic Bayesian network (DBN) for the resilience assessment of emergency response systems. In the proposed methodology, emergency response systems are viewed as multi-step emergency operations for STAMP to analyze the hierarchical control and feedback structures. The output of multi-stage STAMP in controllers, actuators, sensors, and controlled processes is applied to develop a DBN for resilience assessment. For known external shocks (e.g., natural disasters), the effects of external shocks on the system are decomposed into subsystems or components. System degradation and recovery models are established. Regarding unknown external disruption (e.g., unforeseen failure modes), degeneration and recovery are temporally integrated into the analysis of system functionality. System performance is evaluated through the combination of socio-technical factors and external disasters. Eventually, the resilience of emergency response systems is obtained from the performance curves. The results demonstrate that the proposed model can evaluate system resilience after the system suffers from external disasters.","Dynamic Bayesian network; Emergency operations; Multi-stage STAMP; Resilience assessment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","","Safety and Security Science","","",""
"uuid:8ec5c395-a0f4-42a2-805d-c99d66ae3721","http://resolver.tudelft.nl/uuid:8ec5c395-a0f4-42a2-805d-c99d66ae3721","Combined CNN and RNN Neural Networks for GPR Detection of Railway Subgrade Diseases","Liu, Huan (China Academy of Railway Sciences; China University of Geosciences); Wang, Shilei (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Yu, Ziye (China Earthquake Administration); Yang, Jin (China University of Geosciences); Zhang, Yong (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering)","","2023","Vehicle-mounted ground-penetrating radar (GPR) has been used to non-destructively inspect and evaluate railway subgrade conditions. However, existing GPR data processing and interpretation methods mostly rely on time-consuming manual interpretation, and limited studies have applied machine learning methods. GPR data are complex, high-dimensional, and redundant, in particular with non-negligible noises, for which traditional machine learning methods are not effective when applied to GPR data processing and interpretation. To solve this problem, deep learning is more suitable to process large amounts of training data, as well as to perform better data interpretation. In this study, we proposed a novel deep learning method to process GPR data, the CRNN network, which combines convolutional neural networks (CNN) and recurrent neural networks (RNN). The CNN processes raw GPR waveform data from signal channels, and the RNN processes features from multiple channels. The results show that the CRNN network achieves a higher precision at 83.4%, with a recall of 77.3%. Compared to the traditional machine learning method, the CRNN is 5.2 times faster and has a smaller size of 2.6 MB (traditional machine learning method: 104.0 MB). Our research output has demonstrated that the developed deep learning method improves the efficiency and accuracy of railway subgrade condition evaluation.","CNN; GPR; ground-penetrating radar; RNN; subgrade anomalies","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:efae1187-df0b-4dc0-8eb0-b72f36048a9d","http://resolver.tudelft.nl/uuid:efae1187-df0b-4dc0-8eb0-b72f36048a9d","Effect of epoxy resin addition on properties and corrosion behavior of sintered joints in power modules serviced offshore","Wang, Xinyue (Fudan University); Yang, Zhoudong (Fudan University); Wang, Boya (Fudan University); Chen, Wei (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University); Liu, P. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2023","Power modules applied in offshore applications are facing risks of corrosion failures on die-attach materials due to high humidity and H2S exposure. To investigate such corrosion behavior for sintered die-attach materials, we conducted a study with four groups of samples fabricated using copper and silver metal particles under different solvent systems. Such samples were firstly subjected to high-humidity-H2S conditions for 168 h to simulate the harsh offshore environment. After undergoing corrosion, the primary compounds formed were CuO/Cu2O and Ag2S through SEM, XRD, and XPS analysis. Notably, the incorporation of epoxy resin into sintered copper joints resulted in a remarkable reduction in corrosion and a substantial improvement in electrical conductivity after the reaction. In contrast, while the addition of epoxy did not evidently reduce corrosion in silver joints, it did lead to a significant increase in shear strength. Furthermore, to gain further insights into the effect of epoxy resin on corrosion behavior, electrochemical analysis, and molecular dynamics simulations were conducted. Finally, the mechanical reliability of the corroded copper and silver joints was evaluated through thermal shock tests. In summary, sintered copper joints exhibited better anti-corrosion behaviors than sintered silver under high humidity and H2S exposure, especially with the addition of epoxy resin. However, the corrosion products of sintered copper suffered from a sharp decrease in shear strength after thermal shock tests than sintered silver, which is probably due to the coefficient of thermal expansion mismatch.","Die-attach; Electrochemical analysis; Gas corrosion; Molecular dynamics simulation; Power module packaging; Sintered joint","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:dba1bf53-dc4f-490b-8abc-ecc524e821e1","http://resolver.tudelft.nl/uuid:dba1bf53-dc4f-490b-8abc-ecc524e821e1","Biosynthesis of cyclic ketones by a H2O2 self-sufficient cascade reaction","Wu, Bin (South China University of Technology); Wang, Xiangyun (South China University of Technology); Yang, Bo (South China University of Technology); Ma, Yunjian (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology; Guangdong Youmei Institute of Intelligent Bio-manufacturing Co. Ltd.)","","2023","In the present work we propose a bienzymatic cascade for the oxyfunctionalisation of cycloalkanes to cyclic alcohols/cyclic ketones. By combining a H2O2-dependent peroxygenase with a O2-consuming and H2O2-producing alcohol oxidase an overall aerobic oxidation system was established. A convincing proof-of-concept is presented and some current limitations are outlined.","Alcohol oxidase; Cyclohexanone; HO self-cycling; Unspecific peroxygenase","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:e0c7f043-631e-4add-af40-34877c89396b","http://resolver.tudelft.nl/uuid:e0c7f043-631e-4add-af40-34877c89396b","Rapid Fabrication of High-Performance Flexible Pressure Sensors Using Laser Pyrolysis Direct Writing","Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Zong, Qihang (Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); French, P.J. (TU Delft Bio-Electronics); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2023","The fabrication of flexible pressure sensors with low cost, high scalability, and easy fabrication is an essential driving force in developing flexible electronics, especially for high-performance sensors that require precise surface microstructures. However, optimizing complex fabrication processes and expensive microfabrication methods remains a significant challenge. In this study, we introduce a laser pyrolysis direct writing technology that enables rapid and efficient fabrication of high-performance flexible pressure sensors with a micro-truncated pyramid array. The pressure sensor demonstrates exceptional sensitivities, with the values of 3132.0, 322.5, and 27.8 kPa-1 in the pressure ranges of 0-0.5, 0.5-3.5, and 3.5-10 kPa, respectively. Furthermore, the sensor exhibits rapid response times (loading: 22 ms, unloading: 18 ms) and exceptional reliability, enduring over 3000 pressure loading and unloading cycles. Moreover, the pressure sensor can be easily integrated into a sensor array for spatial pressure distribution detection. The laser pyrolysis direct writing technology introduced in this study presents a highly efficient and promising approach to designing and fabricating high-performance flexible pressure sensors utilizing micro-structured polymer substrates.","continuous laser pyrolysis; flexible pressure sensor; laser direct writing; micro-truncated pyramid; PDMS; UV laser","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:3dd9647a-22d7-4589-87a5-fd7c9cbfce4f","http://resolver.tudelft.nl/uuid:3dd9647a-22d7-4589-87a5-fd7c9cbfce4f","Simulation, Prediction, and Verification of the Corrosion Behavior of Cu-Ag Composite Sintered Paste for Power Semiconductor Die-attach Applications","Wang, Xinyue (Fudan University); Yang, Zhoudong (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Zhang, Jing (Heraeus Materials Technology Shanghai Ltd.); Liu, Pan (Fudan University)","","2023","With the popularization of wide band-gap power modules in offshore wind power systems and water surface photovoltaic power stations, packaging materials face challenges of corrosion by salt, blended with high humidity. Copper-silver (Cu-Ag) composite sintered paste was proposed by researchers as a novel die-attach material for a lower cost and anti-electro migration ability. However, the potential difference between copper and silver forms galvanic corrosion in a high-humidity environment, resulting in accelerated failure combined with salt mist. To further promote the application of composite sintered materials, a copper-silver double-sphere galvanic corrosion model based on finite element simulation was proposed in this paper. The relationship between corrosion rate and time of different Cu-Ag particle size combinations under different sintering degrees was predicted by initial exchange current density. Through the electrochemical characterization of the sintered samples, the optimal combination of materials was further discussed. The accuracy of the model was also verified. The conclusions obtained from both the experiments and simulation work provide guidance for future anti-corrosion analysis, as well as the reliability improvement of novel composite sintered materials.","die-attach material; FEM simulation; galvanic corrosion; power electronic packaging","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Electronic Components, Technology and Materials","","",""
"uuid:22633ffb-e7b0-4ce2-af31-a48f7d171c7f","http://resolver.tudelft.nl/uuid:22633ffb-e7b0-4ce2-af31-a48f7d171c7f","Effectiveness Assessment of Adaptation Measures to Build Wildfire Resilience of Road Networks","Arango, Erica (University of Minho); Nogal Macho, M. (TU Delft Integral Design & Management); Yang, M. (TU Delft Safety and Security Science); Sousa, Hélder S. (University of Minho); Stewart, Mark G. (University of Technology Sydney); Matos, José C. (University of Minho)","","2023","class=""MsoNormal"">Wildfires have become a source of concern for society due to the increase in frequency, intensity, and unpredictability. This has caused serious impacts all over the world, even in areas where this type of problem did not occur before. Studies on the adaptation of critical infrastructure have been conducted to reduce the impacts of this type of hazard influenced by climate change. However, there are currently no tools to evaluate adaptation measures and their influence on the resilience of transport infrastructure to wildfires. Therefore, this paper proposes the application of a simplified methodology to assess the priority level in interventions on bridge networks and the effectiveness of different adaptation measures. The methodology is applied to a case study in Portugal. In that sense, the results show that adaptation measures such as changing vegetation management policy and implementing wildfire spread barriers effectively reduce the exposure of bridges. Therefore, this tool can be very useful for stakeholders and practitioners supporting wildfire management in terms of adaptation measures.","","en","conference paper","","","","","","","","","","","Integral Design & Management","","",""
"uuid:d3290b1b-c55d-4039-b83f-e83d88c8cf41","http://resolver.tudelft.nl/uuid:d3290b1b-c55d-4039-b83f-e83d88c8cf41","“☑ Fairness Toolkits, A Checkbox Culture?” On the Factors that Fragment Developer Practices in Handling Algorithmic Harms","Balayn, A.M.A. (TU Delft Web Information Systems); Yurrita Semperena, M. (TU Delft Human Information Communication Design); Yang, J. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2023","Fairness toolkits are developed to support machine learning (ML) practitioners in using algorithmic fairness metrics and mitigation methods. Past studies have investigated practical challenges for toolkit usage, which are crucial to understanding how to support practitioners. However, the extent to which fairness toolkits impact practitioners’ practices and enable reflexivity around algorithmic harms remains unclear (i.e., distributive unfairness beyond algorithmic fairness, and harms that are not related to the outputs of ML systems). Little is currently understood about the root factors that fragment practices when using fairness toolkits and how practitioners reflect on algorithmic harms. Yet, a deeper understanding of these facets is essential to enable the design of support tools for practitioners. To investigate the impact of toolkits on practices and identify factors that shape these practices, we carried out a qualitative study with 30 ML practitioners with varying backgrounds. Through a mixed within and between-subjects design, we tasked the practitioners with developing an ML model, and analyzed their reported practices to surface potential factors that lead to differences in practices. Interestingly, we found that fairness toolkits act as double-edge swords — with potentially positive and negative impacts on practices. Our findings showcase a plethora of human and organizational factors that play a key role in the way toolkits are envisioned and employed. These results bear implications for the design of future toolkits and educational training for practitioners and call for the creation of new policies to handle the organizational constraints faced by practitioners.","practices; organisational factors; human factors; fairness toolkits; algorithmic harms; algorithmic fairness","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:ec6f34ad-2c77-4132-ad23-ef59cfcba1a0","http://resolver.tudelft.nl/uuid:ec6f34ad-2c77-4132-ad23-ef59cfcba1a0","Comfort Wearables for In-Flight Sitting Posture Recognition","Yao, X. (TU Delft Emerging Materials); Yang, Y. (TU Delft Mechatronic Design; Shanghai University); Vledder, G. (TU Delft Emerging Materials); Xu, J. (TU Delft Emerging Materials); Song, Y. (TU Delft Emerging Materials); Vink, P. (TU Delft Emerging Materials)","","2023","Wearables are used to recognize human activities in various applications. However, there is limited evidence on the comfort feelings in using wearables, which is crucial for the adoption and long-term engagement of users in those applications. In this paper, we propose the concept of comfort wearables in the context of in-flight posture recognition. A comfort wearable and a tight-fit version, using identical hardware and software architecture, were prototyped and tested by 35 participants in a Boeing 737 cabin. During the usage of each wearable, participants were asked to perform seven frequently observed in-flight sitting postures and report their overall comfort/discomfort afterwards. A multilayer perceptron neural network was used to classify those activities. Experiment results indicated that participants appreciated the comfort wearable, rating it with significantly higher comfort scores and lower discomfort scores. Cross-validation results also revealed that using the comfort wearable achieved even better accuracy (74.8%) than using the tight-fit wearable (65.8%) in posture recognition. Outcomes of the study demonstrate that ergonomic design and technical accuracy are not competing factors in the wearable design and highlight the opportunities for designing and using comfort wearables in broader contexts.","Losse-fit; tight-fit; ergonomics; accelerometer; IMU; wearability","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:e515be0d-bdb2-4868-8c19-ad4499e084da","http://resolver.tudelft.nl/uuid:e515be0d-bdb2-4868-8c19-ad4499e084da","Transfer learning for process design with reinforcement learning","Gao, Q. (TU Delft ChemE/Product and Process Engineering); Yang, Haoyu (Student TU Delft); Shanbhag, S.M. (TU Delft ChemE/Delft Ingenious Design); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering)","Kokossis, Antonis (editor); Georgiadis, Michael C. (editor); Pistikopoulos, Efstratios N. (editor)","2023","Process design is a creative task that is currently performed manually by engineers. Artificial intelligence provides new potential to facilitate process design. Specifically, reinforcement learning (RL) has shown some success in automating process design by integrating data-driven models that learn to build process flowsheets with process simulation in an iterative design process. However, one major challenge in the learning process is that the RL agent demands numerous process simulations in rigorous process simulators, thereby requiring long simulation times and expensive computational power. Therefore, typically short-cut simulation methods are employed to accelerate the learning process. Short-cut methods can, however, lead to inaccurate results. We thus propose to utilize transfer learning for process design with RL in combination with rigorous simulation methods. Transfer learning is an established approach from machine learning that stores knowledge gained while solving one problem and reuses this information on a different target domain. We integrate transfer learning in our RL framework for process design and apply it to an illustrative case study comprising equilibrium reactions, azeotropic separation, and recycles, our method can design economically feasible flowsheets with stable interaction with DWSIM. Our results show that transfer learning enables RL to economically design feasible flowsheets with DWSIM, resulting in a flowsheet with an 8% higher revenue. And the learning time can be reduced by a factor of 2.","process design; Reinforcement learning; transfer learning","en","book chapter","Elsevier","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-30","","","ChemE/Product and Process Engineering","","",""
"uuid:5b9709a2-4a02-4793-b6a3-64fe6c762daf","http://resolver.tudelft.nl/uuid:5b9709a2-4a02-4793-b6a3-64fe6c762daf","A bio-inspired and switchable H+/OH− ion-channel for room temperature exhaled CO2 chemiresistive sensing","Chen, Honghao (South China Normal University); Lu, Ruofei (South China Normal University); Gao, Yixun (South China Normal University); Yue, Xiaorui (South China Normal University); Yang, Haihong (The First Affiliated Hospital of Guangzhou Medical University); Li, Hao (South China Normal University); Lee, Yi Kuen (The Hong Kong University of Science and Technology); French, P.J. (TU Delft Bio-Electronics); Wang, Yao (South China Normal University); Zhou, Guofu (South China Normal University)","","2023","Inspired by the CO2-induced reversible activation mechanism of the slow anion channel 1 (SLAC1) in plant stomatal guard cells during plant photosynthesis, we designed and prepared a CO2- switchable H+/OH− ion channel (CSPH ion channel). A high-performance chemiresistive room temperature CO2 sensor has been prepared based on this CSPH ion channel. The obtained CO2 room temperature sensor γ-CD-MOF@RhB exhibits high sensitivity (Rg/R0 = 1.50, 100 ppm), excellent selectivity, good stability (less than 5% reduction in 30 days response value), and 99.96% consistency with commercial infrared CO2 meter. The practical limit of detection (pLOD) of the γ-CD-MOF@RhB sensor reaches 10 ppm at room temperature toward CO2, which is the lowest for reported MOF-derived chemiresistive room temperature CO2 sensors so far. Ion conduction mechanism studies have shown that the CSPH ion channel behaves as a CO2-switchable H+/OH− ion channel with a switching point of approximately 60 000 ppm CO2. As an application attempt, the fabricated low pLOD CO2 sensor has been used for human exhaled CO2 detection to compare CO2 concentration in the breath of individuals before and after exercise and COVID-19. It was also logically indicated that the average concentration of human exhaled CO2 after COVID-19 recovery is different for undiseased subjects.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Bio-Electronics","","",""
"uuid:41c910d3-8be5-4933-abda-66ef27ba5018","http://resolver.tudelft.nl/uuid:41c910d3-8be5-4933-abda-66ef27ba5018","Online Iterative Adaptive Dynamic Programming Approach for Solving the Zero-Sum Game for Nonlinear Continuous-Time Systems with Partially Unknown Dynamics","Fu, Bin (Northwestern Polytechnical University); Sun, B. (TU Delft Control & Simulation); Guo, Hang (Northwestern Polytechnical University); Yang, Tao (Northwestern Polytechnical University); Fu, Wenxing (Northwestern Polytechnical University)","Fu, Wenxing (editor); Gu, Mancang (editor); Niu, Yifeng (editor)","2023","The current study presents an online iterative adaptive dynamic programming approach to resolve the zero-sum game (ZSG) for nonlinear continuous-time (CT) systems containing a partially unknown dynamic. The Hamilton-Jacobian-Issacs (HJI) equation is solved along the state trajectory according to the value function approximation and the policy improvement online. Relaxed dynamic programming is utilized to ensure the algorithm’s convergence. Model and costate networks were established to conduct the method. Computational simulations are performed to present the efficiency of the algorithm.","Approximation dynamic programming; Integral reinforcement learning; Online learning; Value iteration; Zero-sum game","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-10","","","Control & Simulation","","",""
"uuid:fd99f613-7c83-49c5-af5c-c1a782c82ef6","http://resolver.tudelft.nl/uuid:fd99f613-7c83-49c5-af5c-c1a782c82ef6","A New Method to Quantitatively Characterize the Porosity of Fiber/Matrix Interfacial Transition Zone (ITZ) via Longitudinal Cross-Sections","He, S. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Yang, En-hua (Nanyang Technological University); Schlangen, E. (TU Delft Materials and Environment)","","2023","The properties of the interfacial transition zone (ITZ) between microfiber and cement-based matrix are of primary significance for the overall behavior of strain hardening cementitious composites (SHCCs). However, due to the relatively small diameter of polymeric microfibers (e.g., PVA fiber), it is technically difficult to obtain quantitative and representative information of the properties of the ITZ. In the current study, a new method that is able to quantitatively characterize the microstructural features of the ITZ surrounding a well-aligned microfiber was reported. With the method, the porosity gradients within the ITZs between PVA fiber and cement paste matrices with different water to cement (w/c) ratios were determined. The results show that the matrix surrounding a microfiber were more porous than the bulk matrix. The thickness of this porous region can extend up to 100 microns away from the fiber surface even at a relatively low water to cement ratio (w/c = 0.3). It is thus believed that the method could facilitate the investigation and modification of fiber/matrix bond properties and also contribute to the development of SHCC with superior properties.","Fiber; Image analysis; ITZ; Porosity","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","","Materials and Environment","","",""
"uuid:d7c718ef-8ba6-4a35-93b2-40843fdef64f","http://resolver.tudelft.nl/uuid:d7c718ef-8ba6-4a35-93b2-40843fdef64f","Learning About the Adversary","Nadeem, A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security); Yang, Shanchieh Jay (Rochester Institute of Technology)","Kott, Alexander (editor)","2023","The evolving nature of the tactics, techniques, and procedures used by cyber adversaries have made signature and template based methods of modeling adversary behavior almost infeasible. We are moving into an era of data-driven autonomous cyber defense agents that learn contextually meaningful adversary behaviors from observables. In this chapter, we explore what can be learnt about cyber adversaries from observable data, such as intrusion alerts, network traffic, and threat intelligence feeds. We describe the challenges of building autonomous cyber defense agents, such as learning from noisy observables with no ground truth, and the brittle nature of deep learning based agents that can be easily evaded by adversaries. We illustrate three state-of-the-art autonomous cyber defense agents that model adversary behavior from traffic induced observables without a priori expert knowledge or ground truth labels. We close with recommendations and directions for future work.","Adversary behavior; machine learning; behavior modeling; intrusion alerts; statistical models","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-04","","","Cyber Security","","",""
"uuid:dd87b63a-ccc4-4704-a318-52ed512dbb96","http://resolver.tudelft.nl/uuid:dd87b63a-ccc4-4704-a318-52ed512dbb96","Dynamic Characterization of a Real-Scale Prestressed Concrete Beam Tested Until Failure","Sierra, P.L. (International Centre for Numerical Methods in Engineering (CIMNE)); Poliotti, M. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Martinez García, Xavier (Universitat Politecnica de Catalunya); Chacón, Rolando (Universitat Politecnica de Catalunya)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","In this work, dynamic characterization of a simply supported beam is carried out during different steps in a failure load test. The main goal of this work is to evaluate the evolution of the structural dynamic parameters of the beam with different status of damage. Real-scale prestressed concrete beams are tested to investigate its shear behaviour as a part of a large research program at TU Delft. Four dynamic tests are performed at different damage status of the beam: firstly in the initial or undamaged condition; secondly after the first flexural cracks; then, after shear cracking; and finally in the full damaged condition. The dynamic excitation is performed with an impact load at fixed location on the top of the beam and the vibration data is recorded by three different systems. The first one is a cost-effective and open source monitoring equipment, consisting of seven low-cost accelerometers. The second system is based on five trusted high performance accelerometers. The last one is a commercial alternative consisting of four high accuracy piezoelectric accelerometers. Acceleration data is analysed afterwards using Operational Modal Analysis techniques to obtain modal frequencies, modal shapes and damping of the structure in the different states. The obtained dynamic behaviour of the structure and its results are discussed and compared. It is concluded that a change in the frequency of the first flexural mode is only observed when the damage in the beam is very significant, while no changes are observed with the occurrence of flexural and shear cracks.","Dynamic Characterization; Prestressed Concrete; Operational Modal Analysis; Cost-Effective Devices; Monitoring; Shear Failure","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:7819e2e3-a3b5-4c73-a290-096d060252d9","http://resolver.tudelft.nl/uuid:7819e2e3-a3b5-4c73-a290-096d060252d9","Measurement of Restraint Moment Effect on Lab Specimens with Precast Girders Made Continuous","Ibrahim, M.S. (TU Delft Concrete Structures); Poliotti, M. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","Typically precast girders are designed and utilized as simple supported members. Alternatively, the precast girders can be made continuous at the intermediate support using cast-in-place concrete topping. Once the girders are made continuous, time-dependent restraint moments will occur. The magnitude of the restraint moment is mainly affected by the creep and shrinkage behaviour of the concrete and the age of the girders at continuity. The developing restraint moment may affect the stress conditions near the support region and, in extreme cases, result in the loss of the integrity of the structural member. Currently, full-scale experimental campaign is underway on the shear behaviour precast continuous girders at Delft University of Technology. Inverted T girders are individually cast and later made continuous after a certain period. To investigate the influence of restrained action and quantify the prestress losses, fiber optic sensors (FOS) are embedded in the girders. By utilizing the FOS, the evolution of the concrete strain is monitored. This paper presents the measurement of the time-dependent strains. Furthermore, the concrete strains are analysed to evaluate the prestress loss and time-dependent restraint moment effect.","Creep; Differential shrinkage; Fiber optic sensors; Precast girders; Restraint moments","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:ec7402be-c101-4c9b-b446-b62acd66ea5d","http://resolver.tudelft.nl/uuid:ec7402be-c101-4c9b-b446-b62acd66ea5d","Structural Behaviour of Slender Geopolymer Concrete BeamsWithout Stirrups","Lu, J. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); van der Ham, H.W.M. (TU Delft Concrete Structures; Royal Boskalis Westminster); Fu, Danny (Royal Boskalis Westminster)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","Geopolymer concrete is a new alternative material to conventional concrete with less carbon dioxide emissions. Researchers have reported much research on the material properties of geopolymer concrete. However, research on the behaviour of this newmaterial at the structural level is still limited, especially at a full-scale structural level. Three geopolymer concrete beams with a total height of 700mm were tested till the shear failure. The first two specimens were subjected to the monotonically increasing load until the shear failure. The third specimen was first loaded under sustained load at the level of 80 kN for three weeks to investigate the influence of shrinkage and creep on the cracking behaviour. Then the specimen was then unloaded and reloaded again to failure. Digital Image Correlation (DIC) measurement was used to measure the surface deformation of the whole span of the beam. The crack spacing, crack width and crack development were investigated using the DIC measurement. The experimental results showed that the shear capacity of tested geopolymer concrete beams is lower than the calculated result based on the Eurocode.","Full-scale test; Geopolymer concrete; Shear behaviour in beams without stirrups; Digital image correlation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-03","","","Concrete Structures","","",""
"uuid:5f1b0d12-fc13-4ac0-a0d6-212330c570b1","http://resolver.tudelft.nl/uuid:5f1b0d12-fc13-4ac0-a0d6-212330c570b1","Experimental and Numerical Assessment of Historical Steel-Concrete Composite Bridge Decks Without Mechanical Connectors","Poliotti, M. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); De Boer, Ane (Municipality of Amsterdam; Ane de Boer Technisch Advies)","Ilki, Alper (editor); Çavunt, Derya (editor); Çavunt, Yavuz Selim (editor)","2023","In old Dutch inner cities like Amsterdam a large number of steel-concrete bridge decks built between 1880 and 1960 remain in service nowadays and currently need assessment of their bearing capacity. A significant number of these decks were designed without any mechanical connectors like shear studs in the interface between concrete and steel. Moreover, the concrete decks were designed with only shrinkage reinforcement in both directions on the top layer of concrete. No additional transverse reinforcement was placed that can ensure proper (re)distribution of loads after cracking. In order to study the bearing capacity of this deck typology, two specimens of an existing bridge were taken to the Stevin Lab of TU Delft and tested until failure. In this work, the experimental results of both tests are presented. Then, finite element models including nonlinear behaviour of the materials and the interface are presented and compared with the experimental observations. Experimental results show that the bearing capacity is achieved after yielding of the steel beams. Nevertheless, the ductility and transverse load distribution of the elements is affected by the interface behaviour and the poor detailing. The finite element simulation strategy used shows good agreement with the experiment and can be used for future assessments.
To address this problem, it is necessary to shift the focus from Optimization Problem Solving to Optimization Problem Formulation. This research particularly focuses on knowledge‑supported, dynamic and interactive Optimization Problem Re-Formulation in order to construct a new Multi‑Objective and Multi-Disciplinary Optimization (MOMDO) method suitable for use in ill‑defined conceptual architectural design. The proposed method consists of two subtype methods: Non‑dynamic, Interactive Re-formulation method (Subtype-I) and Dynamic, Interactive Re‑formulation method (Subtype-II), which can be used to explore design space in a convergent and divergent manner respectively. To support the re-formulation, various kinds of information and knowledge need to be extracted by utilizing different computational techniques, such as advanced sampling algorithms, Self-Organizing Map, Hierarchical Clustering, Smoothing Spline Analysis of Variance, Two-Level Variable Structure and modular programming. Moreover, a software workflow that can provide these computational techniques is developed; it integrates McNeel’s Grasshopper, ESTECO's modeFRONTIER and simulation software tools Daysim, EnergyPlus and Karamba3D. With the support of this software workflow, the proposed method is demonstrated via two case studies concerning the conceptual design of indoor sports halls.","","en","doctoral thesis","","978-94-6366-643-5","","","","","","","","","Design Informatics","","",""
"uuid:c40b1935-c5f1-4887-9185-d514ef408d6f","http://resolver.tudelft.nl/uuid:c40b1935-c5f1-4887-9185-d514ef408d6f","Activation, Reactivity and Dynamics of Manganese Pincer Complexes in Hydrogenation Catalysis","Yang, W. (TU Delft ChemE/Inorganic Systems Engineering)","Pidko, E.A. (promotor); Filonenko, G.A. (copromotor); Delft University of Technology (degree granting institution)","2022","The growing demands for sustainable chemical technologies have prompted a wave of searching new catalysts based on earth-abundant metals. In the field of (de)hydrogenation catalysis, however, the huge performance gap is commonly seen between the 3d-metal-based catalysts and their noble metal counterparts, which largely hampers their practical applications. In particular, while the Mn-catalyzed (de)hydrogenation has witnessed significant progress since the pioneering work by Beller and co-workers in 2016, most of the reported systems still require relatively high catalyst loadings. Apart from developing new synthetic methodologies based on the hydrogen transfer reactivity of Mn, searching highly active catalysts for (de)hydrogenation reactions therefore remains one of the central topics in Mn chemistry. The current approach to catalyst development is mainly based on the screening of the ligand backbones that proved to be effective for noble metal-based catalysts. However, the screening assessments with the reaction yields as the sole performance metrics do not probe the intrinsic reactivities of the catalysts and can easily result in the overlook of the potential ones due to suboptimal condition choice. In this thesis, we demonstrate in this thesis that the catalyst performance is defined by a complex reaction network comprised of multiple stages of catalyst operation, that is catalyst activation, deactivation, and catalytic turnover. The reactivity of the catalyst itself and the reaction environment of each process determine synergistically the catalytic performance. As a result, the catalytic transformation should be viewed from the system perspective with the performance being a dynamic and highly condition-dependent characteristic.","Homogeneous catalysis; hydrogenation; manganese catalysts; organometallics; coordination chemistry; oprando-spectroscopies","en","doctoral thesis","","978-94-6366-615-2","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:19555603-433b-4207-8ba6-f6a83dd68d8d","http://resolver.tudelft.nl/uuid:19555603-433b-4207-8ba6-f6a83dd68d8d","Understanding the Influence of Side Reactions during Electrochemical CO2 Reduction","Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage)","Smith, W.A. (promotor); Burdyny, T.E. (copromotor); Delft University of Technology (degree granting institution)","2022","The concept and necessity of an ""energy transition"" has become well-known as the general public acknowledges the severe consequences of climate change. As a side effect of industrial revolution, which has brought advances to modern society by burning fossil fuels, pollutants and greenhouse gases are also released to the atmosphere. As a result, the liveability of earth has dropped substantially, and there exists an urgent need to address decarbonization and energy transition. As a society, we must achieve net-zero by mid of this century to prevent major disasters from happening. One approach which can solve renewable electricity storage together with CO2 elimination issues simultaneously, has attracted considerable attention in recent years – electrochemical CO2 reduction (ECO2R). It functions to reduce the CO2 captured from point sources or air directly, to chemicals or fuels, using the electricity from renewable energy. The chemicals and fuels can be stored and transported more feasibly than electricity. Once being consumed, CO2 will be released to the atmosphere and later again captured. Thus the carbon cycle can be closed.
ment, and system configuration. These realizations have steadily built upon the foundational work performed for a broad array of transition metals performed at 5 mA cm−2, which historically guided the research field. To encompass the changing advancements and mindset within the research field, an updated baseline at elevated current densities could then be of value. Here we seek to
re-characterize the activity, selectivity, and stability of the five most utilized transition metal catalysts for CO2 RR (Ag, Au, Pd, Sn, and Cu) at elevated reaction rates through electrochemical operation, physical characterization, and varied operating parameters to provide a renewed resource and point of comparison. As a basis, we have employed a common cell architecture, highly controlled catalyst layer morphologies and thicknesses, and fixed current densities. Through a dataset of 88 separate experiments, we provide comparisons between CO-producing catalysts (Ag, Au, and Pd), highlighting CO-limiting current densities on Au and Pd at 72 and 50 mA cm−2, respectively. We further show the instability of Sn in highly alkaline environments, and the convergence of product selectivity at elevated current densities for a Cu catalyst in neutral andalkaline media. Lastly, we reflect upon the use and limits of reaction rates as a baseline metric by comparing catalytic selectivity at 10
versus 200 mA cm−2. We hope the collective work provides a resource for researchers setting up CO 2RR experiments for the first time.","CO2 reduction; gas diffusion electrode; catalyst comparison; silver; gold; palladium; tin; copper","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:63de4fa6-8570-4c0a-ae2a-a3becabaac23","http://resolver.tudelft.nl/uuid:63de4fa6-8570-4c0a-ae2a-a3becabaac23","Radar-based Human Activities Classification with Complex-valued Neural Networks","Yang, Ximei (Student TU Delft); Guendel, Ronny (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2022","Human activities classification in assisted living is one of the emerging applications of radar. The conventional analysis considers micro-Doppler signatures as the chosen input for feature extraction or deep learning classification algorithms, or, less frequently, other radar data formats such as the range-time, the range-Doppler, or the Cadence Velocity Diagram. However, these data are typically used as real-valued images, whereas they are actually complex-valued data structures. In this paper, neural networks processing radar data as complex data structures are investigated, with a focus on spectrograms, range-time, and range-Doppler plots as the data formats of choice. Different network architectures are explored both in terms of complex numbers' representations and the depth/complexity of the architecture itself. Experimental data with 9 activities and 15 volunteers collected using an UWB radar are used to test the networks' performances. It is shown that for certain data formats and network architectures, there is an advantage in using complex-valued networks compared to their real-valued counterparts.","Micro-Doppler Classification; Deep learning; Human Activity Recognition; Complex-valued Networks","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-03","","","Microwave Sensing, Signals & Systems","","",""
"uuid:f51c6222-6f23-4076-99a1-72ae267ad502","http://resolver.tudelft.nl/uuid:f51c6222-6f23-4076-99a1-72ae267ad502","Convolutional Filtering in Simplicial Complexes","Isufi, E. (TU Delft Multimedia Computing); Yang, M. (TU Delft Multimedia Computing)","","2022","This paper proposes convolutional filtering for data whose structure can be modeled by a simplicial complex (SC). SCs are mathematical tools that not only capture pairwise relationships as graphs but account also for higher-order network structures. These filters are built by following the shift-and-sum principle of the convolution operation and rely on the Hodge-Laplacians to shift the signal within the simplex. But since in SCs we have also inter-simplex coupling, we use the incidence matrices to transfer the signal in adjacent simplices and build a filter bank to jointly filter signals from different levels. We prove some interesting properties for the proposed filter bank, including permutation and orientation equivariance, a computational complexity that is linear in the SC dimension, and a spectral interpretation using the simplicial Fourier transform. We illustrate the proposed approach with numerical experiments.","Hodge Laplacian; simplicial filter; topological signal processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Multimedia Computing","","",""
"uuid:53f1da58-abee-4834-9822-cce691900b18","http://resolver.tudelft.nl/uuid:53f1da58-abee-4834-9822-cce691900b18","Simplicial Convolutional Neural Networks","Yang, M. (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2022","Graphs can model networked data by representing them as nodes and their pairwise relationships as edges. Recently, signal processing and neural networks have been extended to process and learn from data on graphs, with achievements in tasks like graph signal reconstruction, graph or node classifications, and link prediction. However, these methods are only suitable for data defined on the nodes of a graph. In this paper, we propose a simplicial convolutional neural network (SCNN) architecture to learn from data defined on simplices, e.g., nodes, edges, triangles, etc. We study the SCNN permutation and orientation equivariance, complexity, and spectral analysis. Finally, we test the SCNN performance for imputing citations on a coauthorship complex.","Simplicial complex; Hodge Laplacian; simplicial filter; simplicial neural network","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-27","","","Multimedia Computing","","",""
"uuid:d61de04a-709b-486e-9b0e-140a640a0b2d","http://resolver.tudelft.nl/uuid:d61de04a-709b-486e-9b0e-140a640a0b2d","CFD modelling of the off-gas system of HIsarna iron making process. Part 1: model development using detailed reaction mechanism for post-combustion of CO–H2 mixture and carbon particles","Hosseini, A. (TU Delft Team Yongxiang Yang); Dhiman, Vinod (Tata Steel Europe Limited); Meijer, Koen (Tata Steel Europe Limited); Zeilstra, Christiaan (Tata Steel Europe Limited); Hage, Johannes (Tata Steel Europe Limited); Peeters, Tim (Tata Steel Europe Limited); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2022","The HIsarna process is a new and breakthrough smelting reduction process for hot metal (liquid iron) production from iron ores and coal directly fed into the reactor. The flue gas from the main reactor enters the off-gas system containing small amounts of H2, CO and carbon particles which need to be removed before further treatment by post combustion oxygen injection. A three-dimensional Computational Fluid Dynamics (CFD) simulation of the HIsarna off-gas system is performed and validated using a detailed reaction mechanism and kinetic data for post-combustion of a CO–H2 mixture and carbon particles. Using the validated model, a series of simulations were performed to investigate the effect of water quenching and post combustion oxygen injection. It was found that water quenching can significantly reduce the off-gas temperature. It is also possible to reduce oxygen injection during operations where inlet CO content of the off-gas system is low.","Carbon combustion; CO post combustion; CO-H mixture combustion; Combustion modelling; Computational Fluid Dynamics (CFD); Eddy dissipation concept (EDC); HIsarna iron making; Reflux chamber","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:c27dd076-2e92-4d84-ae95-7e9720d8d5c8","http://resolver.tudelft.nl/uuid:c27dd076-2e92-4d84-ae95-7e9720d8d5c8","An Analysis of Music Perception Skills on Crowdsourcing Platforms","Samiotis, I.P. (TU Delft Web Information Systems); Qiu, S. (TU Delft Web Information Systems; Hunan Institute of Advanced Technology); Lofi, C. (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Bozzon, A. (TU Delft Web Information Systems; TU Delft Human-Centred Artificial Intelligence)","","2022","Music content annotation campaigns are common on paid crowdsourcing platforms. Crowd workers are expected to annotate complex music artifacts, a task often demanding specialized skills and expertise, thus selecting the right participants is crucial for campaign success. However, there is a general lack of deeper understanding of the distribution of musical skills, and especially auditory perception skills, in the worker population. To address this knowledge gap, we conducted a user study (N = 200) on Prolific and Amazon Mechanical Turk. We asked crowd workers to indicate their musical sophistication through a questionnaire and assessed their music perception skills through an audio-based skill test. The goal of this work is to better understand the extent to which crowd workers possess higher perceptions skills, beyond their own musical education level and self reported abilities. Our study shows that untrained crowd workers can possess high perception skills on the music elements of melody, tuning, accent, and tempo; skills that can be useful in a plethora of annotation tasks in the music domain.","human computation; music annotation; perceptual skills; music sophistication; knowledge crowdsourcing","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:af89be21-a3a4-4701-be44-40f2c4677f63","http://resolver.tudelft.nl/uuid:af89be21-a3a4-4701-be44-40f2c4677f63","Alert-driven Attack Graph Generation using S-PDFA","Nadeem, A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security); Moskal, Stephen (Rochester Institute of Technology); Yang, Shanchieh Jay (Rochester Institute of Technology)","","2022","Ideal cyber threat intelligence (CTI) includes insights into attacker strategies that are specific to a network under observation. Such CTI currently requires extensive expert input for obtaining, assessing, and correlating system vulnerabilities into a graphical representation, often referred to as an attack graph (AG). Instead of deriving AGs based on system vulnerabilities, this work advocates the direct use of intrusion alerts. We propose SAGE, an explainable sequence learning pipeline that automatically constructs AGs from intrusion alerts without a priori expert knowledge. SAGE exploits the temporal and probabilistic dependence between alerts in a suffix-based probabilistic deterministic finite automaton (S-PDFA) — a model that brings infrequent severe alerts into the spotlight and summarizes paths leading to them. Attack graphs are extracted from the model on a per-victim, per-objective basis. SAGE is thoroughly evaluated on three open-source intrusion alert datasets collected through security testing competitions in order to analyze distributed multi-stage attacks. SAGE compresses over 330k alerts into 93 AGs that show how specific attacks transpired. The AGs are succinct, interpretable, and provide directly relevant insights into strategic differences and fingerprintable paths. They even show that attackers tend to follow shorter paths after they have discovered a longer one in 84.5% of the cases.","Alert-driven attack graphs; Explainable machine learning; Suffix automaton model; Attacker strategy; Intrusion alerts","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-01","","","Cyber Security","","",""
"uuid:de72d30a-042d-4bac-ad1b-9038e63bc583","http://resolver.tudelft.nl/uuid:de72d30a-042d-4bac-ad1b-9038e63bc583","Integrated anaerobic and algal bioreactors: A promising conceptual alternative approach for conventional sewage treatment","Yang, Jixiang (Chinese Academy of Sciences); van Lier, J.B. (TU Delft Sanitary Engineering); Li, Jian (Panzhihua University); Guo, Jinsong (Chongqing University); Fang, Fang (Chongqing University)","","2022","Conventional sewage treatment applying activated sludge processes is energy-intensive and requires great financial input, hampering widespread implementation. The introduction of anaerobic membrane bioreactors (AnMBR) followed by an algal reactor growing species of commercial interest, may present an alternative, contributing to the envisaged resource recovery at sewage treatment plants. AnMBRs can be applied for organic matter removal with energy self-sufficiency, provided that effective membrane fouling management is applied. Haematococcus pluvialis, an algal species with commercial value, can be selected for ammonium and phosphate removal. Theoretical analysis showed that good pollutant removal, positive financial output, as well as a significant reduction in the amount of hazardous activated sludge can be achieved by applying the proposed process, showing interesting advantages over current sewage treatment processes. Microbial contamination to H. pluvialis is a challenge, and technologies for preventing the contamination during continuous sewage treatment need to be applied.","Carbon neutral; Energy neutral; Microalgae; Nutrient recycling; Wastewater","en","review","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:8c0d305d-cb5b-4d4a-b9c9-794fa1abdbde","http://resolver.tudelft.nl/uuid:8c0d305d-cb5b-4d4a-b9c9-794fa1abdbde","Oxygen-alloyed poly-Si passivating contacts for high-thermal budget c-Si heterojunction solar cells","Yang, G. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Singh, M. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2022","Crystalline silicon solar cells with passivating contacts based on doped poly-Si exhibit high optical parasitic losses. Aiming at minimizing these losses, we developed the oxygen-alloyed poly-Si (poly-SiOx) as suitable material for passivating contacts. From passivation point of view, poly-SiOx layers show excellent passivation quality and carrier selectivity for both n-type (iVOC,flat = 740 mV, contact resistance ρc = 0.7 mΩ/cm2, iVOC,textured = 723 mV) and p-type (iVOC,flat = 709 mV, ρc = 0.5 mΩ/cm2). Optically, due to the incorporation of oxygen, the absorption coefficient of poly-SiOx becomes much lower than that of doped poly-Si at long wavelength. Both n-type and p-type poly-SiOx layers are concurrently deployed in front/back-contacted (FBC) solar cells with a front indium tin oxide (ITO) layer to facilitate the lateral transport of carriers and minimize cell's reflection. A high cell FF of 83.5% obtained in double-side flat FBC solar cell indicates an efficient carrier collection by these passivating contacts. An active-area cell efficiency of 21.0% featuring JSC,EQE = 39.7 mA/cm2 is obtained in front-side textured poly-SiOx FBC cell, with the potential of further improvement in both VOC and FF. The optical advantage of poly-SiOx over poly-Si as passivating contact is also observed with a 19.7% interdigitated back-contacted (IBC) solar cell endowed with poly-SiOx emitter and back surface field. Compared to the reference 23.0% IBC solar cell with poly-Si passivating contacts, the one based on poly-SiOx passivating contacts shows higher IQE at wavelengths above 1100 nm. This indicates that for both FBC and IBC cells, poly-SiOx passivating contacts hold potential in enhancing the cell JSC by maximizing the cell spectral response.","","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:2b777015-9bfa-4ee7-9ea0-3a1fb61ce009","http://resolver.tudelft.nl/uuid:2b777015-9bfa-4ee7-9ea0-3a1fb61ce009","Multi-level decision-making strategy for preparation of proof load and failure tests","Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Yang, Y. (TU Delft Concrete Structures); van der Veen, C. (TU Delft Concrete Structures)","","2022","Load testing and in some cases failure (or collapse) testing of bridges is a method to learn more about the behaviour of full-scale bridges in site conditions. Since such experiments, especially failure tests, are expensive, an extensive preparation of these tests is important. This paper addresses the question of when a bridge is a good candidate for a load test or a failure test. To answer this question, a multi-level assessment methodology is developed. The proposed method includes a decision tree that helps users decide which method should be used to reach the desired level of accuracy. These procedures are followed to carry out an assessment based on the load and resistance models and factors from the code, as well as to estimate the maximum required load in a collapse test based on average values and a single tandem. The procedures are illustrated with the case of the Nieuwklap Bridge in the province Groningen, the Netherlands. The multi-level analysis showed that testing the Nieuwklap bridge would most likely not result in a shear failure, and thus the test would not meet the goals of a collapse test in shear, which would provide valuable research insights. On a more abstract level, the result of this research is the development of a multi-level decision-making procedure that can be used to evaluate if a field test should be planned and can meet the identified goals.","Assessment; Bending moment; Collapse testing; Concrete bridges; Existing bridges; Failure testing; Finite element modeling; Load testing; Shear","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:edbc0249-d0d2-4ff0-add1-7c58778977a4","http://resolver.tudelft.nl/uuid:edbc0249-d0d2-4ff0-add1-7c58778977a4","Generalized Single-Vehicle-Based Graph Reinforcement Learning for Decision-Making in Autonomous Driving","Yang, Fan (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Gao, Xin (Beijing Institute of Technology)","","2022","In the autonomous driving process, the decision-making system is mainly used to provide macro-control instructions based on the information captured by the sensing system. Learning-based algorithms have apparent advantages in information processing and understanding for an increasingly complex driving environment. To incorporate the interactive information between agents in the environment into the decision-making process, this paper proposes a generalized single-vehicle-based graph neural network reinforcement learning algorithm (SGRL algorithm). The SGRL algorithm introduces graph convolution into the traditional deep neural network (DQN) algorithm, adopts the training method for a single agent, designs a more explicit incentive reward function, and significantly improves the dimension of the action space. The SGRL algorithm is compared with the traditional DQN algorithm (NGRL) and the multi-agent training algorithm (MGRL) in the highway ramp scenario. Results show that the SGRL algorithm has outstanding advantages in network convergence, decision-making effect, and training efficiency.","autonomous driving; decision-making; deep reinforcement learning; graph convolution","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:e5d1029d-9151-4be5-93e0-68557186d90a","http://resolver.tudelft.nl/uuid:e5d1029d-9151-4be5-93e0-68557186d90a","Embedded AI Enabled Air-Writing for a Post-COVID World: Extended Abstract","Goedemondt, K.S. (Student TU Delft); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","Louveaux, Jérôme (editor); Quitin, François (editor)","2022","Touchscreens and buttons had became a medium for virus transmission during the COVID-19 pandemic. We have seen in our daily life that people use tissues and keys to press buttons inside elevators, on public screens, etc. In the post- COVID world, touch-free interaction with public touchscreens and buttons may become more popular. Motivated by the rise of visible light communication and sensing, we design a real-time embedded system to enable touch-free fingertip writing of the digits 0–9 with only ambient light and simple photodiodes. We propose an embedded deep learning model to learn the spatial and temporal patterns in the dynamic shadow for air-writing digits recognition. The model is devised with a lightweight convolutional architecture such that it can run on a resource-limited device. We evaluate our model using the LightDigit dataset [1] and report the results in terms of accuracy and inference time.","tensors; tensor-train; Kalman filter; SVM; seizure; epilepsy; detection","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:67d10782-2f46-4f08-8b8c-44f4fe248a0c","http://resolver.tudelft.nl/uuid:67d10782-2f46-4f08-8b8c-44f4fe248a0c","Shear performance of replaced bolt shear connectors in prefabricated composite beams","Yang, Tao (Guangxi University); Xie, Rongxian (Guangxi University); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2022","Bolt shear connectors have the advantage of efficient installation and demolition when used in prefabricated composite beams. When bolt shear connectors are damaged in the service period and replaced by new ones, the shear performance of replaced bolts is to be affected by the existing structural damage. This paper investigates the shear performance of eleven re-assembled push-out specimens of bolt connectors. The experimental results show that the replaced bolts possess a similar shear resistance to the bolts in the original tests. In contrast, the relative slips at the interfaces between the steel beams and the prefabricated concrete (PC) slabs show a bigger scattering. A calculation method of shear resistance for the replaced bolts considering the influence of the existing damage was proposed based on the experiments, and comparisons show that the calculation values agree well with the experimental results.","replaced bolt shear connectors; prefabricated composite beams; re-assembly; shear stiffness","en","conference paper","International Association for Bridge and Structural Engineering (IABSE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-27","","","Steel & Composite Structures","","",""
"uuid:6302ab05-4e3f-4952-87e9-2804fdbe9f01","http://resolver.tudelft.nl/uuid:6302ab05-4e3f-4952-87e9-2804fdbe9f01","Multi-Agent Decision-Making Modes in Uncertain Interactive Traffic Scenarios via Graph Convolution-Based Deep Reinforcement Learning","Gao, Xin (Beijing Institute of Technology); Li, Xueyuan (Beijing Institute of Technology); Liu, Qi (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Yang, Fan (Beijing Institute of Technology); Luan, Tian (Beijing Institute of Technology)","","2022","As one of the main elements of reinforcement learning, the design of the reward function is often not given enough attention when reinforcement learning is used in concrete applications, which leads to unsatisfactory performances. In this study, a reward function matrix is proposed for training various decision-making modes with emphasis on decision-making styles and further emphasis on incentives and punishments. Additionally, we model a traffic scene via graph model to better represent the interaction between vehicles, and adopt the graph convolutional network (GCN) to extract the features of the graph structure to help the connected autonomous vehicles perform decision-making directly. Furthermore, we combine GCN with deep Q-learning and multi-step double deep Q-learning to train four decision-making modes, which are named the graph convolutional deep Q-network (GQN) and the multi-step double graph convolutional deep Q-network (MDGQN). In the simulation, the superiority of the reward function matrix is proved by comparing it with the baseline, and evaluation metrics are proposed to verify the performance differences among decision-making modes. Results show that the trained decision-making modes can satisfy various driving requirements, including task completion rate, safety requirements, comfort level, and completion efficiency, by adjusting the weight values in the reward function matrix. Finally, the decision-making modes trained by MDGQN had better performance in an uncertain highway exit scene than those trained by GQN.","connected autonomous vehicles; GQN; MDGQN; multi-mode decision-making; reward function matrix; uncertain highway exit scene","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:b3e9d6d1-a146-4783-a4ef-8fe08532f138","http://resolver.tudelft.nl/uuid:b3e9d6d1-a146-4783-a4ef-8fe08532f138","Three dimensional internal and near-wall flow features of a structured porous coated cylinder","Arcondoulis, Elias J. G. (Southern University of Science and Technology); Liu, Yu (Southern University of Science and Technology); Yang, Yannian (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy)","","2022","The application of a porous coating to a cylinder can significantly reduce the vortex shedding tone when placed in a uniform flow. The mechanism of how this porous media attenuates vortex shedding has been studied more in recent years yet it is still not fully understood. Typical porous materials within a certain range of porosity and airflow resistivity, such as metal foam and porous polyurethane, have been studied extensively; however, the fundamental flow mechanisms responsible for vortex shedding attenuation are very difficult to determine. For example, it is nearly impossible to visualize the internal flow field of porous media with a randomized open-cell internal structure. A Structured Porous Coated Cylinder (SPCC) was designed in recent years to alleviate this internal flow field problem, as the SPCC has clear line of sight along the span and radial direction. SPCC variations have been previously studied and shown to reduce the vortex shedding tone of a bare cylinder in a very similar manner as a randomized porous coated cylinder. In this paper, we present a Tomographic Particle Image Velocimetry study of an SPCC tested in a water tunnel, revealing the previously unseen internal and near-wall flow fields of an SPCC. The flow is visualized in the porous layers, revealing complex interaction between the freestream flow field and the porous structure. Using cross-correlation methods within the flow field, we reveal the entrainment of the flow within the porous layers. Furthermore, implementation of Proper Orthogonal Decomposition shows that vortex shedding occurs within the porous layers.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:591df9b8-5908-41e7-bc26-48e73edfae09","http://resolver.tudelft.nl/uuid:591df9b8-5908-41e7-bc26-48e73edfae09","Switching Between Hydrogenation and Olefin Transposition Catalysis via Silencing NH Cooperativity in Mn(I) pincer complexes","Yang, W. (TU Delft ChemE/Inorganic Systems Engineering); Chernyshov, Ivan Yu (ITMO University); Weber, M (Freie Universität Berlin); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering; TU Delft ChemE/Algemeen); Filonenko, G.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2022","While Mn-catalyzed (de)hydrogenation of carbonyl derivatives has been well established, the reactivity of Mn hydrides with olefins remains very rare. Herein, we report a Mn(I) pincer complex that effectively promotes site-controlled transposition of olefins. This reactivity is shown to emerge once the N–H functionality within the Mn/NH bifunctional complex is suppressed by alkylation. While detrimental for carbonyl (de)hydrogenation, such masking of the cooperative N–H functionality allows for the highly efficient conversion of a wide range of allylarenes to higher-value 1-propenybenzenes in near-quantitative yield with excellent stereoselectivities. The reactivity toward a single positional isomerization was also retained for long-chain alkenes, resulting in the highly regioselective formation of 2-alkenes, which are less thermodynamically stable compared to other possible isomerization products. The detailed mechanistic analysis of the reaction between the activated Mn catalyst and olefins points to catalysis operating via a metal–alkyl mechanism─one of the three conventional transposition mechanisms previously unknown in Mn complexes","","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:31a8a4d3-652f-464d-bda5-d9b5de2e9be2","http://resolver.tudelft.nl/uuid:31a8a4d3-652f-464d-bda5-d9b5de2e9be2","Platform Development of BIM-Based Fire Safety Management System Considering the Construction Site","Yang, Yapin (Hunan University); Sun, Ying (Concordia University); Chen, Mingsi (Hunan University); Zhou, Yuekuan (The Hong Kong Polytechnic University; The Hong Kong University of Science and Technology); Wang, Ran (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University)","","2022","Fire at a construction site usually results in serious accidents. Therefore, fire management at the construction site is critical to decreasing possible accidents. However, conventional fire safety management can be problematic in many aspects, such as visualization, multi-stage alarm systems, and dynamic escape route optimization. To solve these issues, this paper develops a platform for a BIM-based fire safety management system that considers the construction site. The developed platform contains four subsystems: a remote monitoring subsystem, a fire visualization subsystem, a multi-stage fire alarm subsystem, and an escape route optimization subsystem. It detects the fire hazard in the early stage of the fire by the remote monitoring subsystem and transmits this information to the fire visualization subsystem for displaying. Furthermore, the multi-stage fire alarm subsystem sends warnings or alarms based on the fire’s severity. Moreover, the escape route optimization subsystem dynamically optimizes the evacuation routes by considering the actual number of people at the construction site and the potential crowding as people pass through the escapeway. Results show that this system can provide informative and on-time fire protection measures to different participants at the construction site. This study can also serve as a solution to improve fire safety management at the construction site.","construction site; fire safety management; BIM technology; escape route optimization; visualization","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:f20e0931-1a72-4ead-8f19-298ef157822f","http://resolver.tudelft.nl/uuid:f20e0931-1a72-4ead-8f19-298ef157822f","Data-driven Methods to Predict the Burst Strength of Corroded Line Pipelines Subjected to Internal Pressure","Cai, Jie (University of Southern Denmark); Jiang, X. (TU Delft Transport Engineering and Logistics); Yang, Yazhou (National University of Defense Technology); Lodewijks, Gabriel (The University of Newcastle, Australia); Wang, Minchang (Hangzhou Silan Microelectronics Co. Ltd.)","","2022","A corrosion defect is recognized as one of the most severe phenomena for high-pressure pipelines, especially those served for a long time. Finite-element method and empirical formulas are thereby used for the strength prediction of such pipes with corrosion. However, it is time-consuming for finite-element method and there is a limited application range by using empirical formulas. In order to improve the prediction of strength, this paper investigates the burst pressure of line pipelines with a single corrosion defect subjected to internal pressure based on data-driven methods. Three supervised ML (machine learning) algorithms, including the ANN (artificial neural network), the SVM (support vector machine) and the LR (linear regression), are deployed to train models based on experimental data. Data analysis is first conducted to determine proper pipe features for training. Hyperparameter tuning to control the learning process is then performed to fit the best strength models for corroded pipelines. Among all the proposed data-driven models, the ANN model with three neural layers has the highest training accuracy, but also presents the largest variance. The SVM model provides both high training accuracy and high validation accuracy. The LR model has the best performance in terms of generalization ability. These models can be served as surrogate models by transfer learning with new coming data in future research, facilitating a sustainable and intelligent decision-making of corroded pipelines.","Burst strength; Corrosion; Data-driven method; Internal pressure; Machine learning; Pipelines","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-29","","","Transport Engineering and Logistics","","",""
"uuid:bcf8a1f7-265f-4d1b-8c44-d0da36e35e48","http://resolver.tudelft.nl/uuid:bcf8a1f7-265f-4d1b-8c44-d0da36e35e48","Human-in-the-Loop Rule Discovery for Micropost Event Detection","Bhardwaj, Akansha (University of Fribourg); Yang, J. (TU Delft Web Information Systems); Cudré-Mauroux, Philippe (University of Fribourg)","","2022","Platforms such as Twitter are increasingly being used for real-world event detection. Recent work often leverages event-related keywords for training machine learning based event detection models. These approaches make strong assumptions on the distribution of the relevant microposts containing the keyword – referred to as the expectation – and use it as a posterior regularization parameter during model training. Such approaches are, however, limited by the informativeness of the keywords and by the accuracy of the expectation estimation for keywords. In this work, we introduce a human-in-the-loop approach to jointly discover informative rules for model training while estimating their expectation. Our approach iteratively leverages the crowd to estimate both rule-specific expectation and the disagreement between the crowd and the model in order to discover new rules that are most beneficial for model training. To identify such rules, we introduce a hybrid human-machine workflow that engages human workers in rule discovery through an interactive hypothesis creation and testing interface and leverages automatic methods for suggesting useful rules for human verification. We empirically demonstrate the merits of our approach, on multiple real-world datasets and show that our approach improves the state of the art by a margin of 25.63% in terms of AUC.","Event Detection; Human-in-the-loop AI; Rules in Machine Learning; Interactive Machine Learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-26","","","Web Information Systems","","",""
"uuid:5306dbf8-9f07-45cd-9399-bff71097ea59","http://resolver.tudelft.nl/uuid:5306dbf8-9f07-45cd-9399-bff71097ea59","Designing reliable, data-driven maintenance for aircraft systems with applications to the aircraft landing gear brakes","Lee, J. (TU Delft Air Transport & Operations); Mitici, M.A. (TU Delft Air Transport & Operations); Geng, S. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","Leva, Maria Chiara (editor); Patelli, Edoardo (editor); Podofillini, Luca (editor); Wilson, Simon (editor)","2022","When designing the maintenance of multi-component aircraft systems, we consider parameters such as safety margins (used when component replacements are scheduled), and reliability thresholds (used to define data-driven Remaining-Useful-Life prognostics of components). We propose Gaussian process learning and novel adaptive sampling techniques to efficiently optimize these design parameters. We illustrate our approach for aircraft landing gear bakes. Data-driven, Remaining-Useful-Life prognostics for brakes are obtained using a Bayesian linear regression. Pareto optimal safety margins for scheduling brake replacements are identified, together with Pareto optimal reliability thresholds for prognostics.","","en","conference paper","ESREL","","","","","","","","","","Air Transport & Operations","","",""
"uuid:7c51909f-9962-42b2-a693-00a59fcc3fb6","http://resolver.tudelft.nl/uuid:7c51909f-9962-42b2-a693-00a59fcc3fb6","Review of scaling effects on physical properties and practicalities of cantilever sensors","Yang, C. (TU Delft BUS/Quantum Delft); van der Drift, E.W.J.M. (TU Delft Management Support); French, P.J. (TU Delft Bio-Electronics)","","2022","Reducing sensor dimension is a good way to increase system sensitivity and response. However the advantages gained must be weighed against other effects which also became significant during the scaling process. In this paper, the scaling effect of cantilever sensors from micrometre to nanometre regimes is reviewed. Changes in the physical properties such as Q-factor, Young's modulus, noise and nonlinear deflections, as well as effects on practical sensor applications such as sensor response and sensor readouts, are presented. Since cantilever is an elemental transducer and device building block, its scaling effects can be further extrapolated to other sensing systems and applications.","cantilever; effects; physical; practicalities; properties; scaling","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BUS/Quantum Delft","","",""
"uuid:90448b18-bbfa-45f3-8b55-ee1fdd80a3b8","http://resolver.tudelft.nl/uuid:90448b18-bbfa-45f3-8b55-ee1fdd80a3b8","A Crystal-Less Clock Generation Technique for Battery-Free Wireless Systems","Chang, Ziyi (Zhejiang University); Zhang, Yunshan (Zhejiang University); Yang, Changgui (Zhejiang University); Luo, Yuxuan (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Chen, Yong (University of Macau); Zhao, Bo (Zhejiang University)","","2022","The size of wireless systems is required to be reduced in many applications, such as ultra-low-power sensor nodes and wearable/implantable devices, where battery and crystal are the two main bottlenecks in system miniaturization. In recent years, battery-free radios based on wireless power transfer (WPT) have shown great potential in miniature wireless systems, while a reliable on-chip clock without a crystal remains a design challenge. Conventional methods utilized the RF WPT tone as the reference for clock generation, but the high RF frequency leads to high power consumption. In comparison, using a lower WPT frequency results in an antenna with a larger size. In this work, the 2nd-order inter-modulation (IM2) component of the two RF WPT tones is extracted to lock an on-chip oscillator, providing a low-jitter PVT-robust clock. In this way, the wireless systems can benefit from: 1) The clock recovery circuits operate at a low IM2 frequency, reducing the power consumption. 2) The WPT can be set to a high RF frequency to minimize the antenna. Fabricated in 65 nm CMOS process, the proposed crystal-less clock generator takes a small area of 0.023 mm2 in a wireless system chip. Measured results show -92 dBc/Hz@10 kHz phase noise and 6.8 μ W power.","Antennas; Battery-free; clock generator; Clocks; Crystals; Generators; injection locking; inter-modulation; Radio frequency; System-on-chip; Wireless communication; wireless power transfer (WPT)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:408ac6ca-f0dc-4d79-abbf-618cdea1c78f","http://resolver.tudelft.nl/uuid:408ac6ca-f0dc-4d79-abbf-618cdea1c78f","Bonding Process of Copper Foam-Silver Composite and Performance Characterization of the Joint","Lv, Guoping (Guilin University of Electronic Technology); Yan, Haidong (Zhejiang University); Yan, Haidong (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Wu, Xinke (Zhejiang University); Sheng, Kuang (Zhejiang University); Liu, Chaohui (National New Energy Vehicle Technology Innovation); Zhang, Yakun (National New Energy Vehicle Technology Innovation); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","As a key heat-dissipating and electrical interconnecting component in high-temperature power modules, die-attach and substrate-attach layers play an important role in effectively reducing the thermal resistance and improving the long-term reliability. Traditional substrate-attach materials limit the high-temperature applications of packaging modules due to their high thermal resistance and high-temperature reliability. To solve the above deficiency, a copper foam-silver composite was proposed in this paper, which was prepared by mixing copper foam solid skeleton with micron silver paste. According to the results of thermogravimetric analysis (TGA) of silver paste, the preheating process was determined and sintered at 270°C and 10MPa. The influence of different preparation technology on the quality of sintered joint was investigated. The morphology characteristics and distribution of sintered silver in the copper foam were observed by scanning electron microscope (SEM). The results show that the sintered silver of group C samples can be uniformly filled into the solid skeleton of copper foam, and the densification degree is high, without cracks, delamination, and holes. The shear strength can reach 55MPa.","large-area bonding; copper foam-Ag composite film; preparation process","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:308eba40-2283-4f1a-a0ee-492a647be6df","http://resolver.tudelft.nl/uuid:308eba40-2283-4f1a-a0ee-492a647be6df","A CAST-Based Analysis of the Metro Accident That Was Triggered by the Zhengzhou Heavy Rainstorm Disaster","Zhao, Jiale (Fuzhou University); Yang, Fuqiang (Fuzhou University); Guo, Yong (Fuzhou University); Ren, X. (TU Delft Safety and Security Science)","","2022","Emergency management research is used to deal with the increasing number of extreme weather threats in urban areas. This paper uses causal analysis based on systems theory (CAST) to review the subway water ingress accident and the government's emergency management actions in Zhengzhou, Henan Province, during the heavy rainstorm disaster on 20 July 2021. The aims of this article are to establish safety control structures at both the enterprise level and the government level, and to systematically analyze the problems in emergency management in Zhengzhou City. Our analysis found that the construction of disaster prevention facilities restricted emergency management. Therefore, we suggest that enterprises and governments not only pay attention to emergency management, but also to the construction of disaster prevention facilities. This article also points out that the system of chief executive responsibility that is implemented in China is becoming a double-edged sword in emergency management. Our study makes recommendations for enhancing the capacities of emergency management, points out the shortcomings of the existing emergency management structure, and provides knowledge gained for future emergency management research.","CAST; China; emergency management; extreme weather; urban waterlogging","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:41ad58da-b6d8-42bc-bc85-9ba1d86253d9","http://resolver.tudelft.nl/uuid:41ad58da-b6d8-42bc-bc85-9ba1d86253d9","Inactivation of Escherichia coli and Staphylococcus aureus by using a UVC-LED module with a multi-wavelength setting","Lu, Zhiwei (Guilin University of Electronic Technology); Li, Xiaoling (Guilin University of Electronic Technology); Wei, Jinxiu (Guilin University of Electronic Technology); Cai, Miao (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Shenzhen Institute of Wide-bandgap Semiconductors)","","2022","UVC-LED is known as a deep ultraviolet LED. The application development and disinfection efficiency of UVC-LED modules are important problems encountered when UVC-LED products are rushed into commercialization. In this article, a specific disinfection experiment with a UVC-LED module was combined to analyze the disinfection efficiency. UVC-LEDs with wavelengths of 260 and 280 nm were used and supplemented with UVA-LEDs with wavelengths of 360 and 390 nm. The module was packaged to investigate the inactivation of Escherichia coli and Staphylococcus aureus. Two new findings were obtained through the analysis and comparison of the experiments. First, the short wavelength from UVA might have an enhanced destructive effect on microorganisms when the radiation intensity of UVA-LED was sufficient with coupling UVA and UVC. Second, 260 nm UVC-LED lamp beads might have a shorter response time to inactivate microorganisms than 280 nm UVC-LED lamp beads. Bactericidal experiments near the surface and different radiation distances showed that the inactivation rate reached 99.9% after 1 min of exposure when the UVC-LED module was set at 260 or 280 nm wavelength lamp beads for disinfection. The disinfection efficiency of 280 nm UVC-LED lamp beads was higher than that of 260 nm UVC-LED lamp beads because of the increased UV intensity. The radiation distance was within 7.5 cm range, the exposure time was 60 s, the inactivation rate was over 99.9%, and the disinfection effect was remarkable. For current UVC-LED applications, such as near-surface UVC-LED, disinfection and air purification products have a high value.","UVC-LED; disinfection experiment; inactivation","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:91a238a7-6a88-4805-9a79-c2e6f32105fb","http://resolver.tudelft.nl/uuid:91a238a7-6a88-4805-9a79-c2e6f32105fb","A Modern Perspective on Safe Automated Driving for Different Traffic Dynamics using Constrained Reinforcement Learning","Kamran, Danial (Karlsruhe Institut für Technologie); Simão, T. D. (Radboud Universiteit Nijmegen); Yang, Q. (TU Delft Algorithmics); Ponnambalam, C.T. (TU Delft Algorithmics); Fischer, Johannes (Karlsruhe Institut für Technologie); Spaan, M.T.J. (TU Delft Algorithmics); Lauer, Martin (Karlsruhe Institut für Technologie)","","2022","The use of reinforcement learning (RL) in real-world domains often requires extensive effort to ensure safe behavior. While this compromises the autonomy of the system, it might still be too risky to allow a learning agent to freely explore its environment. These strict impositions come at the cost of flexibility and applying them often relies on complex parameters and hard-coded knowledge modelled by the reward function. Autonomous driving is one such domain that could greatly benefit from more efficient and verifiable methods for safe automation. We propose to approach the automated driving problem using constrained RL, a method that automates the trade off between risk and utility, thereby significantly reducing the burden on the designer. We first show that an engineered reward function for ensuring safety and utility in one specific environment might not result in the optimal behavior when traffic dynamics changes in the exact environment. Next we show how algorithms based on constrained RL which are more robust to the environmental disturbances can address this challenge. These algorithms use a simple and easy to interpret reward and cost function, and are able to maintain both, efficiency and safety without requiring reward parameter tuning. We demonstrate our approach in the automated merging scenario with different traffic configurations such as low or high chance of cooperative drivers and different cooperative driving strategies.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Algorithmics","","",""
"uuid:0b888213-0959-4f7f-9cdd-87bbcfa4159a","http://resolver.tudelft.nl/uuid:0b888213-0959-4f7f-9cdd-87bbcfa4159a","Challenges on the shear behavior of existing continuous precast girder bridges","Ibrahim, M.S. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Roosen, M.A. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures)","","2022","There are a large number of precast girder bridges in the Netherlands that are made continuous utilizing cast in situ layers and cross beams. When controlled by the Eurocode minimum shear reinforcement requirement, the majority of these bridges that were constructed before the 1970s have insufficient amount of transverse reinforcement, which could make them shear critical. Furthermore, when the continuity is created at intermediate support, the prestressing strands in the precast beams are usually located in the compression zone. This may limit the positive effect of the prestress to the shear capacity of members without transverse reinforcement. The aforementioned concern is not considered by the standard shear design approach of Eurocode. Because of its empirical nature, the shear capacity of these bridges cannot be rationally assessed by the code. Currently, an experimental campaign on full-scale 15m long specimens is underway at Delft University of Technology to investigate the existing challenges. In this paper, the effect of the above-mentioned concerns is illustrated using the predictions of Eurocode and the Dutch Guidelines for the Assessment of Existing Bridges (RBK). Moreover, the difficulties and insights gained from the design and execution of the experiments are discussed.","","en","conference paper","fib. The International Federation for Structural Concrete","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-07","","","Concrete Structures","","",""
"uuid:49600693-899c-426a-9ce3-46da8b80f08b","http://resolver.tudelft.nl/uuid:49600693-899c-426a-9ce3-46da8b80f08b","Nessy: A Neuro-Symbolic System for Label Noise Reduction","Smirnova, Alisa (University of Fribourg); Yang, J. (TU Delft Web Information Systems); Yang, Dingqi (University of Macau); Cudre-Mauroux, Philippe (University of Fribourg)","","2022","Noisy labels represent one of the key issues in supervised machine learning. Existing work for label noise reduction mainly takes a probabilistic approach that infers true labels from data distributions in low-level feature spaces. Such an approach is not only limited by its capability to learn high-quality data representations, but also by the low predictive power of data distributions in inferring true classes. To address those problems, we introduce Nessy, a neuro-symbolic system that integrates deep probabilistic modeling and symbolic knowledge for label noise reduction. Our deep probabilistic model infers the true classes of data instances with noisy labels by exploiting data distributions in an underlying latent feature representation space. For data instances where inference is not reliable enough, Nessy extracts symbolic rules and ranks them according to several utility metrics. Top-ranking rules are injected into the deep probabilistic model via expectation regularization, i.e., via a posterior regularization term constraining the class distribution in the objective function. In a real deployment over multiple relation extraction tasks, we demonstrate that Nessy is able to significantly improve the state of the art, by 7% accuracy and 10.7% AUC on average.","Data mining; Data models; Deep learning; Deep probabilistic model; distant supervision; Feature extraction; neuro-symbolic systems; Noise measurement; noise reduction; Probabilistic logic; relation extraction; Training data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","Web Information Systems","","",""
"uuid:66f64e52-bb52-4cf4-afb0-2040eb7e7d61","http://resolver.tudelft.nl/uuid:66f64e52-bb52-4cf4-afb0-2040eb7e7d61","Geometric characteristic of flexural-shear cracks of members without shear reinforcement","Lu, J. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures)","","2022","For reinforced concrete members without shear reinforcement, the shear failure is characterized by the formation of a critical flexural shear crack. Recently experimental observations making use of Digital Image Correlation (DIC) by many researchers suggested the significance of geometric characteristics and kinematic conditions of critical shear cracks in shear failure. However, limited efforts were reported in literature on the quantification of the geometric characteristics of critical shear cracks. This is mainly due to the lack of understanding of the mechanism of how the flexural shear cracks form. In this paper, the available models in literature for the shear crack trajectory and the underlying theoretical assumptions are reviewed first. Those models include the shear crack model proposed by the authors. Next, the shear crack trajectory models are validated using a collection of shear crack patterns based on the DIC data obtained from the shear failure database from Delft University of Technology. The majority of the crack patterns are from full-scale shear tests of deep beams with an effective depth larger than 1.0 m. The comparison helps us to have a basic understanding of how accurate the available flexural shear crack trajectory models can achieve.","","en","conference paper","fib. The International Federation for Structural Concrete","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-07","","","Concrete Structures","","",""
"uuid:5de4c6b3-5f3b-43c5-9cc2-1a0f5fe89561","http://resolver.tudelft.nl/uuid:5de4c6b3-5f3b-43c5-9cc2-1a0f5fe89561","Thermodynamic analysis of zinc ferrite (ZnFe2O4) formation inside the HIsarna off-gas system","Hosseini, A. (TU Delft Team Yongxiang Yang); Moosavi-Khoonsari, Elmira (Tata Steel); Meijer, Koen (Tata Steel); Hage, Johannes (Tata Steel); Peeters, Tim (Tata Steel); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2022","HIsarna reactor is characterized by a high raw materials versatility and is therefore attractive for processing secondary iron sources. Among the materials that can be recycled through HIsarna, zinc-bearing material has drawn a special attention. Based on the plant data, once dust-containing Zinc was injected into the main reactor, a final collected dust with a zinc content of 16% was achieved which opened up possibilities of higher enrichment for direct reuse in Zn smelting as a secondary source and an alternative for Zn ore (the primary source). However Zn vapor can react with iron oxide to form zinc ferrite (ZnFe2O4), which is an undesired product. Hence, the main focus of this study is to minimize the formation of ZnFe2O using thermodynamic (FactSage) and computational fluid dynamic tools. After detecting regions with high potential of ZnFe2O4 formation, proper geometrical and operational modifications of the off-gas system is proposed to minimize the formation of zinc ferrite.","CO–H mixture combustion; dog leg; equilibrium graph; frog leg; HIsarna; Thermodynamic analysis; zinc ferrite formation","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:3b56e736-efbd-4dd1-8788-533d33217389","http://resolver.tudelft.nl/uuid:3b56e736-efbd-4dd1-8788-533d33217389","Adaptive Decision Making at the Intersection for Autonomous Vehicles Based on Skill Discovery","He, Xianqi (Beijing Institute of Technology); Yang, Lin (Beijing Institute of Technology); Lu, Chao (Beijing Institute of Technology); Gong, Jianwei (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology)","","2022","In urban environments, the complex and uncertain intersection scenarios are challenging for autonomous driving. To ensure safety, it is crucial to develop an adaptive decision making system that can handle the interaction with other vehicles. Manually designed model-based methods are reliable in common scenarios. But in uncertain environments, they are not reliable, so learning-based methods are proposed, especially reinforcement learning (RL) methods. However, current RL methods need retraining when the scenarios change. In other words, current RL methods cannot reuse accumulated knowledge. They forget learned knowledge when new scenarios are given. To solve this problem, we propose a hierarchical framework that can autonomously accumulate and reuse knowledge. The proposed method combines the idea of motion primitives (MPs) with hierarchical reinforcement learning (HRL). It decomposes complex problems into multiple basic subtasks to reduce the difficulty. The proposed method and other baseline methods are tested in a challenging intersection scenario based on the CARLA simulator. The intersection scenario contains three different subtasks that can reflect the complexity and uncertainty of real traffic flow. After offline learning and testing, the proposed method is proved to have the best performance among all methods.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:f4979f22-0319-4394-9a08-b18c341822d0","http://resolver.tudelft.nl/uuid:f4979f22-0319-4394-9a08-b18c341822d0","Carriage interior noise-based inspection for rail corrugation on high-speed railway track","Wei, Zilong (China Academy of Railway Sciences); Sun, Xianfu (China Academy of Railway Sciences); Yang, Fei (China Academy of Railway Sciences); Ke, Zaitian (China Academy of Railway Sciences); Lu, Tao (Southwest Jiaotong University); Zhang, P. (TU Delft Railway Engineering); Shen, C. (TU Delft Railway Engineering)","","2022","The presence of rail corrugation enlarges the wheel-rail impact and exacerbates the failure of track components, and the situation becomes even worse under high train speed, which promotes the urgent need for an efficient and easily accessible inspection method. Conventional diagnosis approaches such as axle box acceleration (ABA) and image recognition measurements, however, require complex instrumentations on the running gear, restricting their applications on a wide range of operational trains. In this study, we investigate the capability of carriage interior noise in diagnosing rail corrugation on the high-speed railway (HSR). For this purpose, train-borne vibration & noise measurements were integrated with in-situ rail surface irregularity tests, to extract the characteristic carriage interior responses induced by rail corrugation. The measurements were conducted on two HSR tracks with different corrugation geometries, and the time–frequency distributions of interior noise were identified under different train speeds and with different track radii. Afterward, an interior noise-based inspection algorithm was proposed by proper correlation of the gained data, and was then demonstrated on a third HSR track with an unknown rail surface condition. The comparison between the proposed inspection algorithm and the widely-recognized ABA measurements indicates that the interior noise succeeded in identifying the position, typical wavelength and severity of rail corrugation under varying train speeds. The work advances a cost-effective and easily accessible way for the condition monitoring of railway tracks.","Carriage interior noise; Rail corrugation; High-speed railway; Acoustic inspection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-12-29","","","Railway Engineering","","",""
"uuid:8551a556-d2ac-4f12-ab81-9509a001c386","http://resolver.tudelft.nl/uuid:8551a556-d2ac-4f12-ab81-9509a001c386","Influence-Based Consequence Assessment of Subsea Pipeline Failure under Stochastic Degradation","Adumene, Sidum (Memorial University of Newfoundland); Islam, Rabiul (University of Tasmania); Dick, Ibitoru Festus (Rivers State University); Zarei, Esmaeil (Memorial University of Newfoundland); Inegiyemiema, Morrison (Rivers State University); Yang, M. (TU Delft Safety and Security Science; University of Tasmania; Universiti Teknologi Malaysia)","","2022","The complexity of corrosion mechanisms in harsh offshore environments poses safety and integrity challenges to oil and gas operations. Exploring the unstable interactions and complex mechanisms required an advanced probabilistic model. The current study presents the development of a probabilistic approach for a consequence-based assessment of subsea pipelines exposed to complex corrosion mechanisms. The Bayesian Probabilistic Network (BPN) is applied to structurally learn the propagation and interactions among under-deposit corrosion and microbial corrosion for the failure state prediction of the asset. A two-step consequences analysis is inferred from the failure state to establish the failure impact on the environment, lives, and economic losses. The essence is to understand how the interactions between the under-deposit and microbial corrosion mechanisms’ nodes influence the likely number of spills on the environment. The associated cost of failure consequences is predicted using the expected utility decision theory. The proposed approach is tested on a corroding subsea pipeline (API X60) to predict the degree of impact of the failed state on the asset’s likely consequences. At the worst degradation state, the failure consequence expected utility gives (Formula presented.) The influence-based model provides a prognostic tool for proactive integrity management planning for subsea systems exposed to stochastic degradation in harsh offshore environments.","subsea pipeline; under-deposit corrosion; influential risk factors; Bayesian probabilistic network; microbial corrosion; expected utility decision theory","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:cb011261-3bde-4fbe-bfbd-4f3b14ab2c86","http://resolver.tudelft.nl/uuid:cb011261-3bde-4fbe-bfbd-4f3b14ab2c86","Geometric Catalyst Utilization in Zero-Gap CO2Electrolyzers","Subramanian, S.S. (TU Delft ChemE/Materials for Energy Conversion and Storage); Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Li, Mengran (TU Delft ChemE/Materials for Energy Conversion and Storage); Sassenburg, M. (TU Delft ChemE/Materials for Energy Conversion and Storage); Abdinejad, M. (TU Delft ChemE/Materials for Energy Conversion and Storage); Irtem, I.E. (TU Delft ChemE/Materials for Energy Conversion and Storage); Middelkoop, J. (TU Delft ChemE/O&O groep); Burdyny, T.E. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2022","The electrochemical reduction of CO2 (CO2RR) on silver catalysts has been demonstrated under elevated current density, longer reaction times, and intermittent operation. Maintaining performance requires that CO2 can access the entire geometric catalyst area, thus maximizing catalyst utilization. Here we probe the time-dependent factors impacting geometric catalyst utilization for CO2RR in a zero-gap membrane electrode assembly. We use three flow fields (serpentine, parallel, and interdigitated) as tools to disambiguate cell behavior. Cathode pressure drop is found to play the most critical role in maintaining catalyst utilization at all time scales by encouraging in-plane CO2 transport throughout the gas-diffusion layer (GDL) and around salt and water blockages. The serpentine flow channel with the highest pressure drop is then the most failure-resistant, achieving a CO partial current density of 205 mA/cm2 at 2.76 V. These findings are confirmed through selectivity measurements over time, double-layer capacitance measurements to estimate GDL flooding, and transport modeling of the spatial CO2 concentration.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:e7fdb43f-e571-4ac6-b751-adea967db129","http://resolver.tudelft.nl/uuid:e7fdb43f-e571-4ac6-b751-adea967db129","Surface Modification of Steel Slag Aggregate for Engineering Application in Asphalt Mixture","Niu, D. (Chang'an University); Zhang, Zhao (Chang'an University); Meng, Jiandang (Puyang Branch Office of Henan Transport Development Group Co., Ltd.); Yang, Zhengxian (Fuzhou University); Jing, R. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Sheng, Yanping (Chang'an University)","","2022","The proper disposal of steel slag has always been a great challenge for the metallurgical industry in China and around the world. In this work, the steel slag aggregate (SSA) was surface pretreated (PSSA) and applied into asphalt mixture. The adhesive behavior between the bitumen and five different types of aggregates (i.e., limestone, diorite, diabase, SSA, PSSA) were evaluated based on the contact angle and binder bond strength tests. The pavement performance of three asphalt mixtures which contain normal aggregate, SSA and PSSA respectively, was analyzed by Marshall stability test, wheel-tracking rutting test, low-temperature bending creep test and water sensitivity test. The results showed that surface modification can improve the surface properties of SSA, reduce its contact angle with bitumen, and eventually lead to the improvement of adhesion between them. In addition to the satisfied low-temperature properties, PSSA was found to significantly improve the anti-rutting property and reduce the water sensitivity of asphalt mixture. This work is expected to promote an alternative application for recycling of SSA in pavement engineering.","pretreated steel slag aggregate; asphalt mixture; performance characterization; adhesion; surface energy","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:912200fa-230e-447f-92e8-8ff01bad07c4","http://resolver.tudelft.nl/uuid:912200fa-230e-447f-92e8-8ff01bad07c4","CamPressID: Optimizing Camera Configuration and Finger Pressure for Biometric Authentication","Wang, Weizheng (TU Delft Embedded Systems); Vette, Marek (Student TU Delft); Wang, Q. (TU Delft Embedded Systems); Yang, J. (TU Delft Web Information Systems); Zuniga, Marco (TU Delft Embedded Systems)","Ceballos, Cristina (editor)","2022","To protect sensitive information on smartphones, state-of-the-art (SoA) studies exploit the built-in camera to capture PPG signals from fingertips as a hard-to-forge biometric. However, those studies do not provide a comprehensive analysis to optimize the camera parameters and finger pressure, leading to distorted and unstable PPG signals that degrade the authentication performance. To overcome these limitations, we propose the CamPressID framework. First, we analyze various camera parameters and optimize their configuration to obtain PPG signals with a high signal-to-noise ratio. Second, we investigate different finger pressures to identify the best pressure for every subject, in order to avoid signal distortion. To evaluate the performance of CamPressID, we collect a diverse dataset with 58 subjects. Our evaluation results show that CamPressID can improve the average balanced accuracy (BAC) by 10%. Moreover, the BAC reaches 90%, which is similar to the accuracy reported in the SoA using a dedicated PPG sensor for authentication.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:76884511-1a24-467b-8ff7-9a8d0e2c78c8","http://resolver.tudelft.nl/uuid:76884511-1a24-467b-8ff7-9a8d0e2c78c8","A 2m-Range 711uW Body Channel Communication Transceiver Featuring Dynamically-Sampling Bias-Free Interface Front End","Gu, Guanjie (Zhejiang University); Yang, Changgui (Zhejiang University); Li, Zhuhao (Zhejiang University); Feng, Xiangdong (Zhejiang University); Chang, Ziyi (Zhejiang University); Wang, Ting-Hsun (Zhejiang University); Zhang, Yunshan (Zhejiang University); Luo, Yuxuan (Zhejiang University); Zhang, Hong (Zhejiang University); Wang, Ping (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Chen, Yong (University of Macau); Zhao, Bo (Zhejiang University)","","2022","Body Channel Communication (BCC) offers a low-loss signal transmission medium for ultra-low-power wearable devices on human body [1]. However, the effective communication range on human body is limited to less than 1m in the state-of-the-art BCC transceivers [2], where the signal loss at the interface of body surface and BCC receiver remains to be one of the main bottlenecks. The limited communication range has blocked the popularization in many WBAN applications, such as signal transmission from to an intelligent insole to smart watch [3]. Relative to the high impedance of human body [4], the lower input impedance of BCC receiver induces significant signal loss. To reduce the interface loss, conventional interface front end (IFE) of BCC receivers was designed to be with a high input impedance [5], but the DC voltage bias decreased both the input impedance and signal gain of IFE.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Instrumentation","","",""
"uuid:39245a52-8b38-4fd4-9fe0-d5499c3b15cf","http://resolver.tudelft.nl/uuid:39245a52-8b38-4fd4-9fe0-d5499c3b15cf","Soft Tissue Thickness Estimation for Head, Face, and Neck from CT Data for Product Design Purposes","Yang, W. (Hunan University); He, Renke (Hunan University); Chen, Tingshu (Hunan University); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design); Huysmans, T. (TU Delft Applied Ergonomics and Design)","","2022","Analysing the contact area between head-related products and the corresponding craniofacial profile is necessary to make such products more comfortable. The study of soft tissue biomechanical experiments provides a reliable perspective for understanding such contact areas and improving the comfort. In order to obtain more accurate and visualized craniofacial biological information that can be used to guide product design, CT data of the head, face, and neck of 50 Chinese aged 18-35 years were obtained in this paper. For each subject, an individual thickness map is calculated by segmentation of the soft tissue layer and wall-thickness calculation via Mimics. The individual maps superimposed on the outer surface area of the head, face, and
neck are brought into correspondence using a non-rigid iterative closest point technique. From the correspondence an average head, face, and neck geometry and soft tissue thickness map was calculated. Statistics of the overall soft tissue thickness of the head, face, and neck is extracted, and an accurate soft tissue thickness map of the Chinese head, face, and neck is generated. This study not only lays the groundwork for future simulation experiments on head-related product design, but it also has significant implications for the fields of facial reconstruction in China.","Product design; Comfort; Soft tissue thickness; CT scan","en","journal article","","","","","","","","","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:39bb8a70-5c65-405a-bf6c-4cb57acda7af","http://resolver.tudelft.nl/uuid:39bb8a70-5c65-405a-bf6c-4cb57acda7af","Towards Single Camera Human 3D-Kinematics","Bittner, M. (TU Delft Biomechatronics & Human-Machine Control; Vicarious Perception Technologies); Yang, W. (Student TU Delft); Zhang, X. (TU Delft Pattern Recognition and Bioinformatics); Seth, A. (TU Delft Biomechatronics & Human-Machine Control); van Gemert, J.C. (TU Delft Pattern Recognition and Bioinformatics); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control)","","2022","Markerless estimation of 3D Kinematics has the great potential to clinically diagnose and monitor movement disorders without referrals to expensive motion capture labs; however, current approaches are limited by performing multiple de-coupled steps to estimate the kinematics of a person from videos. Most current techniques work in a multi-step approach by first detecting the pose of the body and then fitting a musculoskeletal model to the data for accurate kinematic estimation. Errors in training data of the pose detection algorithms, model scaling, as well the requirement of multiple cameras limit the use of these techniques in a clinical setting. Our goal is to pave the way toward fast, easily applicable and accurate 3D kinematic estimation . To this end, we propose a novel approach for direct 3D human kinematic estimation D3KE from videos using deep neural networks. Our experiments demonstrate that the proposed end-to-end training is robust and outperforms 2D and 3D markerless motion capture based kinematic estimation pipelines in terms of joint angles error by a large margin (35% from 5.44 to 3.54 degrees). We show that D3KE is superior to the multi-step approach and can run at video framerate speeds. This technology shows the potential for clinical analysis from mobile devices in the future.","3D-kinematics; 3D-kinematic estimation; OpenSim; pose estimation; musculoskeletal modelling; markerless motioncapture","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:4eeac9e3-34f6-4d24-9155-fca4abdd6ecf","http://resolver.tudelft.nl/uuid:4eeac9e3-34f6-4d24-9155-fca4abdd6ecf","From wastewater to resource","Petrik, Leslie Felicia (University of the Western Cape); Ngo, Huu Hao (University of Technology Sydney); Varjani, Sunita (Gujarat Pollution Control Board); Xevgenos, Dimitris (TU Delft Energie and Industrie; TU Delft BT/Environmental Biotechnology); Osseweijer, P. (TU Delft BT/Biotechnology and Society); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Smol, Marzena (Polish Academy of Sciences); Yang, Xuejing (East China University of Science and Technology); Mateo-Sagasta, Javier (International Water Management Institute (IWMI) Colombo)","","2022","Eighty percent of wastewater is left untreated or not reused, exacerbating the water quality challenge, especially in vulnerable communities. This Voices asks: how can we improve wastewater management and convert wastewater into a resource?","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-18","","","Energie and Industrie","","",""
"uuid:77462a13-79c1-46a4-9669-9193ff673d73","http://resolver.tudelft.nl/uuid:77462a13-79c1-46a4-9669-9193ff673d73","N24News: A New Dataset for Multimodal News Classification","Wang, Zhen (Student TU Delft); Shan, X. (TU Delft Water Resources); Zhang, Xiangxie (Student TU Delft); Yang, J. (TU Delft Web Information Systems)","Calzolari, Nicoletta (editor); Bechet, Frederic (editor); Blache, Philippe (editor); Choukri, Khalid (editor); Cieri, Christopher (editor); Declerck, Thierry (editor); Goggi, Sara (editor); Isahara, Hitoshi (editor); Maegaard, Bente (editor); Mariani, Joseph (editor); Mazo, Helene (editor); Odijk, Jan (editor); Piperidis, Stelios (editor)","2022","Current news datasets merely focus on text features on the news and rarely leverage the feature of images, excluding numerous essential features for news classification. In this paper, we propose a new dataset, N24News, which is generated from New York Times with 24 categories and contains both text and image information in each news. We use a multitask multimodal method and the experimental results show multimodal news classification performs better than text-only news classification. Depending on the length of the text, the classification accuracy can be increased by up to 8.11%. Our research reveals the relationship between the performance of a multimodal classifier and its sub-classifiers, and also the possible improvements when applying multimodal in news classification. N24News is shown to have great potential to prompt the multimodal news studies.","Multimodal Dataset; News Article; Text Classification","en","conference paper","European Language Resources Association (ELRA)","","","","","","","","","","Water Resources","","",""
"uuid:8e627480-c9a0-4e63-97d0-794e7193caae","http://resolver.tudelft.nl/uuid:8e627480-c9a0-4e63-97d0-794e7193caae","Beoordelen bestaande betonnen bruggen","Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures)","","2022","Om de vele bestaande betonnen bruggen – die de komende tijd het einde van hun beoogde levensduur bereiken – te beoordelen, is het belangrijk een aanpak met verschillende niveaus van beoordelen te gebruiken. Waar een handberekening niet volstaat, kunnen geavanceerde modellen, meetgegevens, belastingproeven, monitoren, inzichten van laboratoriumproeven, of een combinatie van deze technieken worden gebruikt. De keuze hiervoor hangt af van de openstaande vragen met betrekking tot het gedrag en/of de capaciteit van de brug, alsook van de kosten-batenanalyse van de beoordelingsmethode.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-01","","","Concrete Structures","","",""
"uuid:dd2761fa-5009-4dc3-92a0-a5b12f7fe2e8","http://resolver.tudelft.nl/uuid:dd2761fa-5009-4dc3-92a0-a5b12f7fe2e8","Hydrogenated Boron Phosphide THz-Metamaterial-Based Biosensor for Diagnosing COVID-19: A DFT Coupled FEM Study","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Ye, H. (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","Recent reports focus on the hydrogenation engineering of monolayer boron phosphide and simultaneously explore its promising applications in nanoelectronics. Coupling density functional theory and finite element method, we investigate the bowtie triangle ring microstructure composed of boron phosphide with hydrogenation based on structural and performance analysis. We determine the carrier mobility of hydrogenated boron phosphide, reveal the effect of structural and material parameters on resonance frequencies, and discuss the variation of the electric field at the two tips. The results suggest that the mobilities of electrons for hydrogenated BP monolayer in the armchair and zigzag directions are 0.51 and 94.4 cm2·V−1·s−1, whereas for holes, the values are 136.8 and 175.15 cm2·V−1·s−1. Meanwhile, the transmission spectra of the bowtie triangle ring microstructure can be controlled by adjusting the length of the bowtie triangle ring microstructure and carrier density of hydrogenated BP. With the increasing length, the transmission spectrum has a red-shift and the electric field at the tips of equilateral triangle rings is significantly weakened. Furthermore, the theoretical sensitivity of the BTR structure reaches 100 GHz/RIU, which is sufficient to determine healthy and COVID-19-infected individuals. Our findings may open up new avenues for promising applications in the rapid diagnosis of COVID-19.","hydrogenated boron phosphide monolayer; terahertz metamaterial; bowtie triangle ring microstructure; COVID-19 diagnosis","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:ffbc5bb5-0be8-4c4b-abe2-0d53adeac521","http://resolver.tudelft.nl/uuid:ffbc5bb5-0be8-4c4b-abe2-0d53adeac521","Bibliometric Analysis of Accidental Oil Spills in Ice-Infested Waters","Kabyl, Almat (Nazarbayev University); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Shah, Dhawal (Nazarbayev University); Ahmad, Arshad (Universiti Teknologi Malaysia)","","2022","Oil spills are environmental pollution events that occur due to natural disasters or human activities, resulting in a liquid petroleum hydrocarbon release in the environment, especially into the marine ecosystem. Once oil spills happen, they cause detrimental consequences to the environment, living organisms, and humans. Although there are increasing oil and gas activities in the Arctic region, which is abundant with undiscovered oil and gas resources, the harsh environmental conditions of the region, such as the ice coverage, cold temperatures, long periods of darkness, and its remoteness, pose significant challenges to managing the risk of accidental oil spills in ice-infested waters. In this paper, a bibliometric analysis has been applied to study the global work on oil spill research in ice-infested waters. The paper aims to present an overview of the available oil spill response methods in ice-infested waters, identify the current trends of the research on oil spills in ice-infested waters, and determine the challenges with the future research directions based on the bibliometric analysis. The analysis includes a total number of 77 articles that have been published in this research field which were available in the Scopus database, involving 193 authors from 17 countries dating from 1960 to September 2022. During the bibliometric analysis, the top five most productive authors and countries as well as the most cited publications on oil spills in ice-infested waters have been identified; the authors’ cooperation network and the cooperation network between the countries in oil spills research in ice-infested waters have been created; a co-citation analysis and a terms analysis have been performed to identify the popular terms and topics. For future directions, it is recommended for researchers (1) to study real oil spills as much as possible to obtain a good overview through replication under different situations; (2) to develop a new technique for the careful examination and management of the potential risks; (3) to study oil separation from the recovered oil–ice mixture.","oil spills; ice-infested waters; harsh environment; response; risk management","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:487800af-3094-41cd-b2fb-4359453c7445","http://resolver.tudelft.nl/uuid:487800af-3094-41cd-b2fb-4359453c7445","Monitoring structural responses during load testing of reinforced concrete bridges: a review","Zarate Garnica, G.I. (TU Delft Concrete Structures); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Yang, Y. (TU Delft Concrete Structures)","","2022","Nowadays, with the aging of the bridges and the advancements in technology, load testing has emerged as an effective method to assess existing concrete bridges with missing information, or where analytical methods do not provide an accurate assessment. Two types of load tests are identified: diagnostic load tests and proof load tests. Both rely on field measurements of parameters or structural responses of the bridge during the test. A diagnostic load test measures the response of the bridge so that analytical models can be calibrated and evaluated. In a proof load test, the bridge directly demonstrates that it can carry a certain load. Since large loads are applied, the bridge needs to be carefully monitored. In this case, monitoring the measurements provide a warning to avoid damage. This paper reviews the literature on reported load tests and the measurement techniques used during these tests. It also includes a review of traditional and recently developed sensing technologies. Finally, the measurement requirements for diagnostic and proof load tests are given as well as a flow chart to guide engineers in the selection process of appropriate monitoring and measurement techniques during load tests. This paper can serve engineers during the preparation of a load test.","concrete bridge; diagnostic load tests; Load testing; measuring techniques; proof load tests; sensor selection","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:f43e4e85-e587-41cb-a33f-ca682c8da502","http://resolver.tudelft.nl/uuid:f43e4e85-e587-41cb-a33f-ca682c8da502","Numerical Analysis of Prestressed Concrete Bridge Girders Failing in Shear","Mustafa, S. (TU Delft Concrete Structures); Lantsoght, E.O.L. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Sliedrecht, Henk (Ministry of Infrastructure and the Environment)","","2022","The safety of existing slab-between-girder bridges is subject to discussion in the Netherlands. Current design codes are conservative for shear-critical girders, and nonlinear finite element analysis is considered a more accurate assessment method. This paper investigates if the Dutch guidelines for nonlinear finite element analysis, which were largely based on laboratory experiments, can safely predict the behavior of large-scale shear-critical post-tensioned girders. The simulation results are compared with experimental observations on girders taken from a demolished bridge (the Helperzoom bridge) after serving for more than 50 years. Predicted and experimentally observed material properties are used as inputs for numerical models. For both, safe predictions of inclined cracking and ultimate capacities are obtained. Parameter studies for load positions and prestress levels are also performed to get a deeper insight into the structural behavior of such girders. This work shows that the guidelines can be used for assessment.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Concrete Structures","","",""
"uuid:e5ab18b8-b317-4d7f-b966-16d29d8ac360","http://resolver.tudelft.nl/uuid:e5ab18b8-b317-4d7f-b966-16d29d8ac360","Geographical spatial analysis and risk prediction based on machine learning for maritime traffic accidents: A case study of Fujian sea area","Yang, Yang (Jimei University; Xiamen Data Intelligence Academy of CAS); Shao, Zheping (Jimei University); Hu, Yu (Xiamen Data Intelligence Academy of CAS); Mei, Qiang (Shanghai Maritime University; Jimei University); Pan, Jiacai (Jimei University); Song, R. (TU Delft Safety and Security Science); Wang, Peng (Shanghai Maritime University; Institute of Computing Technology Chinese Academy of Sciences)","","2022","Safety analysis according to the spatial distribution characteristics of maritime traffic accidents is critical to maritime traffic safety management. An accident analysis framework based on the geographic information system (GIS) is proposed to characterize the spatial distribution of maritime traffic accidents occurring in the Fujian sea area in 2007–2020 by employing kernel density estimation and spatial autocorrelation techniques. The sea area is divided into various grids, and in each grid, the mapping relationships between the number and severity of the traffic accidents and the traffic characteristics are established. Machine learning (ML) technology is used to assess whether a grid area is an accident-prone area and to predict accident severity in each grid. The accident prediction of different ML models, including random forest (RF) model, Adaboost model, gradient boosting decision tree (GBDT) model, and Stacking combined model, were compared. The optimality of the Stacking combined model was verified by comparing the experimental results of this model with those of classical prediction models, convolutional neural network (CNN), long short term memory (LSTM), and support vector machine (SVM). According to the results, the maritime accident data set of the entire Fujian sea area shows typical clustering characteristics and positive spatial correlation. That is, the kernel density estimation indicates that subareas, including the Ningde sea area, Fuzhou sea area, and Xiamen sea area, generally have high densities of maritime accidents and the highest risk value within the whole Fujian sea area. High-high accident clustering, that is high cluster areas neighbored by other areas of high cluster, is mainly seen in the Ningde and Fuzhou sea areas, while the Xiamen, Putian, and Zhangzhou subareas show low-low clustering, which are low clusters neighbored by low clusters. Among the ML models, the Stacking combined model shows high accuracy, precision, recall, and F1-score values of 0.912, 0.910, 0.912, and 0.904 in predicting whether a grid area is an accident-prone area and 0.750, 0.745, 0.750, and 0.746 in predicting the accident severity in the grid, indicating its superior maritime traffic accident prediction performance. Based on our analysis of the distribution characteristics and geospatial data, our proposed method demonstrates effective and reliable risk prediction.","Accident prediction; Fujian sea area; Geographical spatial analysis; Machine learning; Maritime accident","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:452fd123-780d-4cd8-a5ac-556c39c047f1","http://resolver.tudelft.nl/uuid:452fd123-780d-4cd8-a5ac-556c39c047f1","Effects of the energy transition on environmental impacts of cobalt supply: A prospective life cycle assessment study on future supply of cobalt","van der Meide, Marc (Universiteit Leiden); Harpprecht, Carina (Universiteit Leiden; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Northey, Stephen (University of Technology Sydney); Yang, Y. (TU Delft Team Yongxiang Yang); Steubing, Bernhard (Universiteit Leiden)","","2022","Cobalt is considered a key metal in the energy transition, and demand is expected to increase substantially by 2050. This demand is for an important part because of cobalt use in (electric vehicle) batteries. This study investigated the environmental impacts of the production of cobalt and how these could change in the future. We modeled possible future developments in the cobalt supply chain using four variables: (v1) ore grade, (v2) primary market shares, (v3) secondary market shares, and (v4) energy transition. These variables are driven by two metal-demand scenarios, which we derived from scenarios from the shared socioeconomic pathways, a “business as usual” (BAU) and a “sustainable development” (SD) scenario. We estimated future environmental impacts of cobalt supply by 2050 under these two scenarios using prospective life cycle assessment. We found that the environmental impacts of cobalt production could likely increase and are strongly dependent on the recycling market share and the overall energy transition. The results showed that under the BAU scenario, climate change impacts per unit of cobalt production could increase by 9% by 2050 compared to 2010, while they decreased by 28% under the SD scenario. This comes at a trade-off to other impacts like human toxicity, which could strongly increase in the SD scenario (112% increase) compared to the BAU scenario (71% increase). Furthermore, we found that the energy transition could offset most of the increase of climate change impacts induced by a near doubling in cobalt demand in 2050 between the two scenarios.","cobalt; energy transition; future background scenario; industrial ecology; mining; prospective life cycle assessment","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:588d5ca6-5782-4030-979d-a38cb8c567f8","http://resolver.tudelft.nl/uuid:588d5ca6-5782-4030-979d-a38cb8c567f8","Resilience Assessment of Chemical Process Systems under uncertain Disruptions based on Catastrophe Theory (CT) and Dynamic Bayesian Network (DBN)","Sun, H. (TU Delft Safety and Security Science; China University of Petroleum (East China)); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2022","Due to the rapid development of technology, process systems become dynamic, automated, and complex, resulting in the strong interdependence and interaction among components and ensuring system safety by conventional methods a challenge. Compared with traditional risk assessment methods, resilience assessment is a more appropriate method for ensuring the safety of process systems under uncertain disruptions. Resilience refers to absorbing and adapting to changing conditions and recovering from disruptions. This paper presents a comprehensive assessment model that combines the catastrophe theory (CT) with the dynamic Bayesian network (DBN) to measure dynamic resilience. Firstly, the CT is employed to quantify the intensity of disruptions. Subsequently, the performance response function (PRF) of the system is determined by DBN. A resilience metric is then introduced to measure system resilience under uncertain disruptions. The method is demonstrated through a release prevention barrier system.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fedae44d-5345-477a-8271-9194a28647e8","http://resolver.tudelft.nl/uuid:fedae44d-5345-477a-8271-9194a28647e8","Workshop on Human-in-the-loop Data Curation","Demartini, Gianluca (University of Queensland); Yang, J. (TU Delft Web Information Systems); Sadiq, Shazia (University of Queensland)","","2022","Although data quality is a long-standing and enduring problem, it has recently received a resurgence of attention due to the fast proliferation of data analytics, machine learning, and decision-support applications built upon the wide-scale availability and accessibility of (big) data. The success of such applications heavily relies on not only the quantity, but also the quality of data. Data curation, which may include annotation, cleaning, transformation, integration, etc., is a critical step to provide adequate assurances on the quality of analytics and machine learning results. Such data preparation activities are recognised as time and resource intensive for data scientists as data often comes with a number of challenges that need to be tackled before it can be used in practice. Data re-purposing and the resulting distance between design and use intentions of the data, is a fundamental issue behind many of these challenges. These challenges include a variety of data issues such as noise and outliers, incompleteness, representativeness or biases, heterogeneity of format or semantics, etc. Mishandling these challenges can lead to negative and sometimes damaging effects, especially in critical domains like healthcare, transport, and finance. An observable distinct feature of data quality in these contexts is the increasingly important role played by humans, being often the source of data generation and the active players in data curation. This workshop will provide an opportunity to explore the interdisciplinary overlap between manual, automated, and hybrid human-machine methods of data curation.","","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:c8ced6ca-b8cd-4756-8e51-61bae0490d31","http://resolver.tudelft.nl/uuid:c8ced6ca-b8cd-4756-8e51-61bae0490d31","An Exploratory Study on Uncertainty Analysis in Quantitative Risk Assessment of Domino Effects","Xu, Y. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science); Yuan, S. (TU Delft Safety and Security Science); Chen, C. (TU Delft Safety and Security Science)","","2022","Data uncertainties caused by the lack of knowledge and nature variation or randomness present vital challenges to domino effects modelling. To improve the assessment of the propagation probabilities and consequences of the domino-effect accidents, the influence of various types of uncertainties on risk assessment results needs to be investigated. However, a systematic identification of data uncertainties in domino effects has not been studied yet. In the current study, the data uncertainties in different categories (accidental, Natech, and intentional) of domino events are identified thoroughly based on historical data and literature. Meanwhile, the possible sources of the identified uncertainties are analysed by considering the environment, safety management, and operation factors. Finally, we discuss possible solutions to model uncertainties in risk assessments of domino effects. This study is a pilot study for uncertainty analysis and helps to identify the critical uncertainties that are of necessity to be considered in the domino effect risk assessments.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f26b8593-15ce-48cb-8bd9-2bd7c3a17bfa","http://resolver.tudelft.nl/uuid:f26b8593-15ce-48cb-8bd9-2bd7c3a17bfa","Quantification of the resistance modeling uncertainty of 19 alternative 2D nonlinear finite element approaches benchmarked against 101 experiments on reinforced concrete beams","de Putter, Arjen (Witteveen+Bos; Student TU Delft); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU)); Rots, J.G. (TU Delft Applied Mechanics); Yang, Y. (TU Delft Concrete Structures); Engen, Morten (Norwegian University of Science and Technology (NTNU); Multiconsult Norge AS); van den Bos, Ab A. (DIANA FEA)","","2022","Nineteen 2D nonlinear finite element analysis (NLFEA) solution strategies were benchmarked against a wide variety of 101 experiments on reinforced concrete beams failing in bending, flexural shear, or shear compression. The relatively high number of solution strategies was motivated by the conviction that choices for the constitutive models, the finite element kinematics and equilibrium settings will interact, and must therefore be tested in conjunction. Modeling uncertainty distribution parameters are presented for the 19 solution strategies, using all beams, and using beams with and without stirrups separately. The resulting statistics are discussed against the correctness of the simulated failure modes and failure loads, revealing that rotating crack models perform well for the relatively ductile failures in beams with stirrups, while fixed crack models perform better for the more brittle failures in beams without stirrups. The tailored solution strategies that predict failure modes correctly, imply a log-normal distribution of the modeling uncertainty with relatively low coefficients of variation. The outlook is that these estimates of the statistical properties of the modeling uncertainties could serve as a basis within safety formats.","modeling uncertainty; nonlinear finite element analysis; reinforced concrete beams; resistance modeling","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:13e44c38-74a8-491f-87da-7747f2d4208c","http://resolver.tudelft.nl/uuid:13e44c38-74a8-491f-87da-7747f2d4208c","It Is Like Finding a Polar Bear in the Savannah! Concept-level AI Explanations with Analogical Inference from Commonsense Knowledge","He, G. (TU Delft Web Information Systems); Balayn, A.M.A. (TU Delft Web Information Systems); Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology); Yang, J. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","Hsu, Jane (editor); Yin, Ming (editor)","2022","With recent advances in explainable artificial intelligence (XAI), researchers have started to pay attention to concept-level explanations, which explain model predictions with a high level of abstraction. However, such explanations may be difficult to digest for laypeople due to the potential knowledge gap and the concomitant cognitive load. Inspired by recent work, we argue that analogy-based explanations composed of commonsense knowledge may be a potential solution to tackle this issue. In this paper, we propose analogical inference as a bridge to help end-users leverage their commonsense knowledge to better understand the concept-level explanations. Specifically, we design an effective analogy-based explanation generation method and collect 600 analogy-based explanations from 100 crowd workers. Furthermore, we propose a set of structured dimensions for the qualitative assessment of analogy-based explanations and conduct an empirical evaluation of the generated analogies with experts. Our findings reveal significant positive correlations between the qualitative dimensions of analogies and the perceived helpfulness of analogy-based explanations. These insights can inform the design of future methods for the generation of effective analogy-based explanations. We also find that the understanding of commonsense explanations varies with the experience of the recipient user, which points out the need for further work on personalization when leveraging commonsense explanations.","Human-centered Explainable AI; Analogy; Concept-level Explanation; Commonsense Knowledge","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-06","","","Web Information Systems","","",""
"uuid:afd99755-5ee1-40b0-abe8-fd88861b8d82","http://resolver.tudelft.nl/uuid:afd99755-5ee1-40b0-abe8-fd88861b8d82","Answer Quality Aware Aggregation for Extractive QA Crowdsourcing","Zhu, P. (TU Delft Web Information Systems); Wang, Z. (TU Delft Mathematical Physics); Yang, J. (TU Delft Web Information Systems); Hauff, C. (TU Delft Web Information Systems); Anand, A. (TU Delft Web Information Systems)","","2022","Quality control is essential for creating extractive question answering (EQA) datasets via crowdsourcing. Aggregation across answers, i.e. word spans within passages annotated, by different crowd workers is one major focus for ensuring its quality. However, crowd workers cannot reach a consensus on a considerable portion of questions. We introduce a simple yet effective answer aggregation method that takes into account the relations among the answer, question, and context passage. We evaluate answer quality from both the view of question answering model to determine how confident the QA model is about each answer and the view of the answer verification model to determine whether the answer is correct. Then we compute aggregation scores with each answer’s quality and its contextual embedding produced by pre-trained language models. The experiments on a large real crowdsourced EQA dataset show that our framework outperforms baselines by around 16% on precision and effectively conduct answer aggregation for extractive QA task.","","en","conference paper","Association for Computational Linguistics (ACL)","","","","","","","","","","Web Information Systems","","",""
"uuid:efad684f-b950-4caf-9100-8cb072dc3961","http://resolver.tudelft.nl/uuid:efad684f-b950-4caf-9100-8cb072dc3961","CHIME: Causal Human-in-the-Loop Model Explanations","Biswas, S. (TU Delft Web Information Systems); Corti, L. (TU Delft Web Information Systems); Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology); Yang, J. (TU Delft Web Information Systems)","Hsu, Jane (editor); Yin, Ming (editor)","2022","Explaining the behaviour of Artificial Intelligence models has become a necessity. Their opaqueness and fragility are not tolerable in high-stakes domains especially. Although considerable progress is being made in the field of Explainable Artificial Intelligence, scholars have demonstrated limits and flaws of existing approaches: explanations requiring further interpretation, non-standardised explanatory format, and overall fragility. In light of this fragmentation, we turn to the field of philosophy of science to understand what constitutes a good explanation, that is, a generalisation that covers both the actual outcome and, possibly multiple, counterfactual outcomes. Inspired by this, we propose CHIME: a human-in-the-loop, post-hoc approach focused on creating such explanations by establishing the causal features in the input. We first elicit people's cognitive abilities to understand what parts of the input the model might be attending to. Then, through Causal Discovery we uncover the underlying causal graph relating the different concepts. Finally, with such a structure, we compute the causal effects different concepts have towards a model's outcome. We evaluate the Fidelity, Coherence, and Accuracy of the explanations obtained with CHIME with respect to two state-of-the-art Computer Vision models trained on real-world image data sets. We found evidence that the explanations reflect the causal concepts tied to a model's prediction, both in terms of causal strength and accuracy.","Explainable AI; Human-In-the-Loop; Causal Inference","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-06","","","Web Information Systems","","",""
"uuid:8414c0b4-0c3b-4d6b-b3c2-08002052deee","http://resolver.tudelft.nl/uuid:8414c0b4-0c3b-4d6b-b3c2-08002052deee","Efficient Jacobian-Based Inverse Kinematics With Sim-to-Real Transfer of Soft Robots by Learning","Fang, G. (TU Delft Materials and Manufacturing); Tian, Yingjun (The University of Manchester); Yang, Zhi Xin (University of Macau); Geraedts, Jo M.P. (TU Delft Mechatronic Design); Wang, C.C. (The University of Manchester)","","2022","This article presents an efficient learning-based method to solve the <italic>inverse kinematic</italic> (IK) problem on soft robots with highly nonlinear deformation. The major challenge of efficiently computing IK for such robots is due to the lack of analytical formulation for either forward or inverse kinematics. To address this challenge, we employ neural networks to learn both the mapping function of forward kinematics and also the Jacobian of this function. As a result, Jacobian-based iteration can be applied to solve the IK problem. A sim-to-real training transfer strategy is conducted to make this approach more practical. We first generate a large number of samples in a simulation environment for learning both the kinematic and the Jacobian networks of a soft robot design. Thereafter, a sim-to-real layer of differentiable neurons is employed to map the results of simulation to the physical hardware, where this sim-to-real layer can be learned from a very limited number of training samples generated on the hardware.","Computational modeling; Hardware; Inverse kinematics (IKs); Jacobian; Jacobian matrices; Kinematics; learning; Numerical models; sim-to-real; Soft robotics; soft robots; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Manufacturing","","",""
"uuid:e4ae1bb4-b86c-4b06-93a3-389d4c83738a","http://resolver.tudelft.nl/uuid:e4ae1bb4-b86c-4b06-93a3-389d4c83738a","A generalised analytical framework for active earth pressure on retaining walls with narrow soil","Lai, F. (TU Delft Geo-engineering; Southeast University); Zhang, Ningning (Rheinisch-Westfälische Technische Hochschule); Liu, Songyu (Southeast University); Yang, Dayu (Southeast University)","","2022","Active earth pressure on retaining structures supporting a narrow column of soil cannot be properly analysed using Coulomb's theory. Finite element limit analysis (FELA) shows that the soil form multiple failure surfaces if the soil column is sufficiently narrow. This paper proposes a framework for active earth pressure estimation for narrow soils by combining an arched differential element method and a sliding wedge method. The analytical framework considers both soil friction and cohesion, soil arching effects and shear stress between adjacent differential elements. The solution obtained is validated against experimental data and FELA results. Through parametric studies, the effect on the active earth pressure of the aspect ratio, the soil friction, the soil cohesion and the wall-soil interface roughness are examined. To facilitate the use of the proposed framework in design, a modified active earth pressure coefficient and an application height of active thrust are provided.","analytical approach; Earth pressure; finite element limit analysis; narrow soil; retaining structure; soil arching","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:f65b65e3-7e96-4adb-8b25-1d364f8f71e7","http://resolver.tudelft.nl/uuid:f65b65e3-7e96-4adb-8b25-1d364f8f71e7","Using Eye-Tracking Data to Predict Situation Awareness in Real Time During Takeover Transitions in Conditionally Automated Driving","Zhou, Feng (University of Michigan-Dearborn); Yang, X. Jessie (University of Michigan); de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2022","Situation awareness (SA) is critical to improving takeover performance during the transition period from automated driving to manual driving. Although many studies measured SA during or after the driving task, few studies have attempted to predict SA in real time in automated driving. In this work, we propose to predict SA during the takeover transition period in conditionally automated driving using eye-tracking and self-reported data. First, a tree ensemble machine learning model, named LightGBM (Light Gradient Boosting Machine), was used to predict SA. Second, in order to understand what factors influenced SA and how, SHAP (SHapley Additive exPlanations) values of individual predictor variables in the LightGBM model were calculated. These SHAP values explained the prediction model by identifying the most important factors and their effects on SA, which further improved the model performance of LightGBM through feature selection. We standardized SA between 0 and 1 by aggregating three performance measures (i.e., placement, distance, and speed estimation of vehicles with regard to the ego-vehicle) of SA in recreating simulated driving scenarios, after 33 participants viewed 32 videos with six lengths between 1 and 20 s. Using only eye-tracking data, our proposed model outperformed other selected machine learning models, having a root-mean-squared error (RMSE) of 0.121, a mean absolute error (MAE) of 0.096, and a 0.719 correlation coefficient between the predicted SA and the ground truth. The code is available at https://github.com/refengchou/Situation-awareness-prediction. Our proposed model provided important implications on how to monitor and predict SA in real time in automated driving using eye-tracking data.","Atmospheric measurements; automated driving; explainability.; eye-tracking measures; Particle measurements; Predictive models; Real-time situation awareness prediction; Real-time systems; takeover; Task analysis; Time measurement; Vehicles","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-10-06","","","Human-Robot Interaction","","",""
"uuid:aaac9208-ae4d-4d82-8bfb-c04be7b20505","http://resolver.tudelft.nl/uuid:aaac9208-ae4d-4d82-8bfb-c04be7b20505","A virtual experiment for measuring system resilience: a case of chemical process systems","Sun, H. (TU Delft Safety and Security Science; China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Wang, Haiqing (China University of Petroleum (East China))","","2022","Resilience is an emergent property of a system, which changes with various internal and external factors. Resilience is also a hidden property of a system that cannot be observed. Thus, experiments should be performed for a given system to measure its resilience. However, physical experiments are practically impossible. Inspired by the tensile test for the stress-strain curve in Material Science, this paper proposes a virtual experiment for measuring system resilience and applies it to a chemical process system. The physical parameters of system resilience of a process system are mapped to those of material resilience. A process system is viewed as a 'specimen' in this experiment. The system performance variation caused by disruptions is seen as the displacement of the specimen caused by the applied load. In absorption phase, the decrease speed of system performance is determined by the failure rate of components under disruptive condition. Response time, including fault diagnosis time and resource allocation time, is used to represent adaptation ability. Restoration ability depends on repair rate of components. For simplicity purpose, the proposed method is applied to resilience assessment of a release prevention barrier system used in the Chevron Richmond refinery crude unit and its associated upstream process.","resilience; process safety; chemical process system; hazardous operation","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:46adc952-ab70-4f66-809e-497b69b7eaa1","http://resolver.tudelft.nl/uuid:46adc952-ab70-4f66-809e-497b69b7eaa1","Simplicial Convolutional Filters","Yang, M. (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing); Schaub, Michael T. (Rheinisch-Westfälische Technische Hochschule); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2022","We study linear filters for processing signals supported on abstract topological spaces modeled as simplicial complexes, which may be interpreted as generalizations of graphs that account for nodes, edges, triangular faces, etc. To process such signals, we develop simplicial convolutional filters defined as matrix polynomials of the lower and upper Hodge Laplacians. First, we study the properties of these filters and show that they are linear and shift-invariant, as well as permutation and orientation equivariant. These filters can also be implemented in a distributed fashion with a low computational complexity, as they involve only (multiple rounds of) simplicial shifting between upper and lower adjacent simplices. Second, focusing on edge-flows, we study the frequency responses of these filters and examine how we can use the Hodge-decomposition to delineate gradient, curl and harmonic frequencies. We discuss how these frequencies correspond to the lower- and the upper-adjacent couplings and the kernel of the Hodge Laplacian, respectively, and can be tuned independently by our filter designs. Third, we study different procedures for designing simplicial convolutional filters and discuss their relative advantages. Finally, we corroborate our simplicial filters in several applications: to extract different frequency components of a simplicial signal, to denoise edge flows, and to analyze financial markets and traffic networks.","Simplicial complexes; Hodge Laplacians; simplicial filters; filter design; Chebyshev polynomial","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-15","","","Multimedia Computing","","",""
"uuid:67dcf697-9326-4cad-b39a-c3d28ff7e03c","http://resolver.tudelft.nl/uuid:67dcf697-9326-4cad-b39a-c3d28ff7e03c","A STAMP-based approach to quantitative resilience assessment of chemical process systems","Sun, H. (TU Delft Safety and Security Science; China University of Petroleum (East China)); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2022","Chemical process systems (CPSs) involve complex dynamic processes. Besides, the emergent and uncertain hazards and disruptions cannot be identified entirely and prevented by conventional methods. In those situations, resilience for CPSs plays an essential role in absorbing, adapting to disruptions, and restoring from damages. Systemic modeling plays a vital role in assessing resilience. A system-based analysis model, system-theoretic accident model, and process (STAMP) can provide a robust framework. This paper develops a comprehensive methodology to systematically model and assess system resilience. The STAMP is employed to model and analyze the system safety of a process system. A new method of dynamic resilience assessment is then proposed to quantify the resilience of the system. The proposed method is applied to the diesel oil hydrogenation system. The results show that it quantifies the resilience of complex process systems considering human and organizational factors in a dynamic manner.","Chemical process systems; Resilience assessment; STAMP; Systemic","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:85c5fd2a-4d06-4a36-a163-af978d0f9a99","http://resolver.tudelft.nl/uuid:85c5fd2a-4d06-4a36-a163-af978d0f9a99","Influence of liquid-binder ratio on the performance of alkali-activated slag mortar with superabsorbent polymer","Yang, Zhengxian (Fuzhou University); Shi, Peng (Fuzhou University; Politecnico di Torino); Zhang, Yong (Fuzhou University); Li, Z. (TU Delft Materials and Environment)","","2022","The influences of liquid-binder ratio and mixing sequence on the performance of superabsorbent polymer (SAP)-containing alkali-activated slag (AAS) mortar are investigated in this study. It is found that the SAP absorbs much less liquid in upper supernatant of AAS than in water. Mixing SAP with liquid first induces a larger absorption capacity of the SAP than mixing it with solid first. Increasing the liquid-binder ratio improves the flowability but reduces the strength of AAS mortar with SAP. Nonetheless, the strength of internally cured mixtures is higher than that of the reference even with an extra liquid-binder ratio of 0.09. The reason behind lies in the refinement of capillary and gel porosity by internal curing, despite the presence of large voids originated from SAP. The autogenous shrinkage of AAS paste is reduced significantly by the incorporation of SAP but the further mitigating effect of increased liquid-binder ratio is limited.","Alkali activated slag; Compressive strength; Liquid-binder ratio; Pore structure; Superabsorbent polymer","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:70518569-822f-498f-b95e-9fc160329f54","http://resolver.tudelft.nl/uuid:70518569-822f-498f-b95e-9fc160329f54","Developing a new acoustic emission source classification criterion for concrete structures based on signal parameters","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Fennis, Sonja A.A.M. (Ministry of Infrastructure and the Environment); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2022","Acoustic emission (AE) signal parameters can be used to classify the source type in concrete structures. However, signal parameters are influenced by the wave propagation from the source to the receiver, leading to wrong source classification results, especially for monitoring large concrete structures. This paper experimentally evaluates the influence of wave travel distance on signal parameters on a full-scale shear test of a reinforced concrete beam. The evaluated signal parameters include the RA value, average frequency, peak frequency, frequency centroid, and partial power. The evaluation reveals the limitation of using RA value - average frequency trends in large scale structural concrete members. Based on the evaluation, we propose a new source classification criterion using peak frequency or partial power, which can effectively classify the source type. The new criterion is also validated in a reinforced concrete slab test, which is another structural type. Based on the new criterion, we suggest a sensor layout that is suitable for source classification for large concrete structures. The results of this paper can help developing a reliable solution for real-time source classification for large concrete structures in general.","Acoustic emission source classification; Concrete structures; Concrete tensile cracking; Friction; Signal parameters; Wave propagation in concrete","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:c9a2de2b-1897-4daf-91ff-7c0abe99757a","http://resolver.tudelft.nl/uuid:c9a2de2b-1897-4daf-91ff-7c0abe99757a","Fault detection and diagnosis to enhance safety in digitalized process system","Kopbayev, Alibek (Memorial University of Newfoundland); Khan, Faisal (Texas A and M University); Yang, M. (TU Delft Safety and Security Science); Halim, S. Zohra (Texas A and M University)","","2022","The increased complexity of digitalized process systems requires advanced tools to detect and diagnose faults early to maintain safe operations. This study proposed a hybrid model that consists of Kernel Principal Component Analysis (kPCA) and DNNs that can be applied to detect and diagnose faults in various processes. The complex data is processed by kPCA to reduce its dimensionality; then, simplified data is used for two separate DNNs for training (detection and diagnosis). The relative performance of the hybrid model is compared with conventional methods. Tennessee Eastman Process was used to confirm the efficacy of the model. The results show the reduction of input dimensionality increases classification accuracy. In addition, splitting detection and diagnosis into two DNNs results in reduced training times and increased classification accuracy. The proposed hybrid model serves as an important tool to detect the fault and take early corrective actions, thus enhancing process safety.","Deep Neural Networks; Fault detection and diagnosis; Hybrid model; kPCA; Process system safety","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-22","","","Safety and Security Science","","",""
"uuid:334470df-a183-46eb-9575-bae743871f12","http://resolver.tudelft.nl/uuid:334470df-a183-46eb-9575-bae743871f12","Wave breaking and jet formation on axisymmetric surface gravity waves","McAllister, M. L. (University of Oxford); Draycott, S. (The University of Manchester); Davey, T. (University of Edinburgh); Yang, Y. (Shanghai Jiao Tong University); Adcock, T. A.A. (University of Oxford); Liao, S. (Shanghai Jiao Tong University); van den Bremer, T.S. (TU Delft Environmental Fluid Mechanics; University of Oxford)","","2022","Axisymmetric standing waves occur across a wide range of free surface flows. When these waves reach a critical height (steepness), wave breaking and jet formation occur. For travelling surface gravity waves, wave breaking is generally considered to limit wave height and reversible wave motion. In the ocean, the behaviour of directionally spread waves lies between the limits of purely travelling (two dimensions) and axisymmetric (three dimensions). Hence, understanding wave breaking and jet formation on axisymmetric surface gravity waves is an important step in understanding extreme and breaking waves in the ocean. We examine an example of axisymmetric wave breaking and jet formation colloquially known as the 'spike wave', created in the FloWave circular wave tank at the University of Edinburgh, UK. We generate this spike wave with maximum crest amplitudes of 0.15-6.0 m (0.024-0.98 when made non-dimensional by characteristic radius), with wave breaking occurring for crest amplitudes greater than 1.0 m (0.16 non-dimensionalised). Unlike two-dimensional travelling waves, wave breaking does not limit maximum crest amplitude, and our measurements approximately follow the jet height scaling proposed by Ghabache et al. (J. Fluid Mech., vol. 761, 2014, pp. 206-219) for cavity collapse. The spike wave is predominantly created by linear dispersive focusing. A trough forms, then collapses producing a jet, which is sensitive to the trough's shape. The evolution of the jets that form in our experiments is predicted well by the hyperbolic jet model proposed by Longuet-Higgins (J. Fluid Mech., vol. 127, 1983, pp. 103-121), previously applied to jets forming on bubbles.","surface gravity waves; wave breaking","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:394792c1-9edb-422d-a96b-3b695c01d33f","http://resolver.tudelft.nl/uuid:394792c1-9edb-422d-a96b-3b695c01d33f","Safety barriers in the chemical process industries: A state-of-the-art review on their classification, assessment, and management","Yuan, S. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Chen, C. (TU Delft Safety and Security Science); Wu, Jiansong (China University of Mining and Technology (Beijing))","","2022","Barriers are used in various forms to assure the safety of chemical plants. A deep understanding of the literature related to safety barriers is essential to tackle the challenges in improving their design and management. This paper first provides an overview of the history of the development of the safety barrier concept. Subsequently, this paper elaborates a systematic review of the definition, classification, evaluation, performance assessment, and management of safety barriers in the chemical process industries. Based on the literature review, this study proposes a practical classification of safety barriers benefiting the identification of performance indicators and the collection of indicator-related data for safety barriers. The safety barrier functions are extended and illustrated by involving the resilience concept. Performance assessment criteria are proposed corresponding to the adaptability and recoverability of the safety barriers. Finally, the management of safety barriers is discussed. The roadmap for future studies to develop integrated management of safety and security barriers to ensure the resilience of chemical plants is suggested.","Barrier management; Barrier performance assessment; Process industry; Resilience; Safety barrier","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f483d1ea-3603-4e4f-ae76-b2cc34ba3b89","http://resolver.tudelft.nl/uuid:f483d1ea-3603-4e4f-ae76-b2cc34ba3b89","Uncovering coal mining accident coverups: An alternative perspective on China's new safety narrative","Yang, X. (TU Delft Organisation & Governance; Xiamen University); Krul, K. (TU Delft Organisation & Governance); Sims, David (Cranfield University)","","2022","China has taken on a series of comprehensive institutional measures to improve the safety of its coal mining industry and workers. Official figures indicate similar reductions to both accidents and fatalities, from which a “new safety narrative” has emerged in official discourses. However, this view neglects the fact that many accidents are concealed or underreported in China's mining sector. This study critically examines China's safety measures with a novel dataset of 180 mining accident coverup cases derived from official, judicial, and media sources. The study's findings support three observations: (i) despite the imposition of stricter regulations and the closure of many informal and small-scale mines, accident coverups have continued at both legal and large-scale mines; (ii) despite increased monitoring including the implementation of a fatality indicator system, accident reporting is consistently manipulated by mine owners, local authorities, and even victims’ families; and (iii) although new stiffer penalties specifically sanction accident coverups, they are rarely imposed. Our results cast doubt on the conceived success of China's new safety narrative and demonstrate how industrial safety measures are deliberately and structurally compromised.","Accident coverup; China; Coal mining; Safety measures; Underreporting","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Organisation & Governance","","",""
"uuid:56422ce2-d804-44d0-84cc-1509603686aa","http://resolver.tudelft.nl/uuid:56422ce2-d804-44d0-84cc-1509603686aa","One-step controllable fabrication of 3D structured self-standing Al3Ni2/Ni electrode through molten salt electrolysis for efficient water splitting","Hua, Zhongsheng (Anhui University of Technology); Wu, Xiaobin (Anhui University of Technology); Zhu, Zengli (Anhui University of Technology); He, Jiwen (Anhui University of Technology); He, Shiwei (Anhui University of Technology); Liu, Huan (Anhui University of Technology); Xu, Liang (Anhui University of Technology); Yang, Y. (TU Delft Team Yongxiang Yang; Anhui University of Technology); Zhao, Zhuo (Anhui University of Technology)","","2022","Exploring more efficient and low-cost electrocatalysts to replace platinum (Pt) is highly desired to promote the practical hydrogen production through water splitting. Herein, a facile and effective strategy is proposed to fabricate self-standing Al3Ni2/Ni electrode with controlled phase composition and surface morphology, which is obtained by one-step electrochemical reduction of Al3+ on commercially available nickel in eutectic NaCl-KCl melt. Different from previously reported approaches, uniform Al3Ni2 monolith catalyst can directly grow onto Ni substrate. The deposit possesses unique three-dimensional (3D) cauliflower-like morphology comprising of nano- and microparticles due to the rapid nucleation rate during molten salt electrolysis. The as-fabricated Al3Ni2/Ni electrode can be directly used as the cathode to catalyze Hydrogen evolution reaction (HER). Impressively, it exhibits remarkable HER activity comparable to commercial Pt, including a low overpotential of 83.4 mV for a current density of 10 mA cm−2, a small Tafel slope of 40.7 mV dec-1, and excellent long-term stability over 36 h of continuous HER operation in 0.5 M H2SO4 solution. The intrinsic catalytic ability of Al3Ni2 with the unique hierarchical structure of nano/microsized grains can offer multiple effects, including massive exposed active sites, enhanced charge transfer and mass transport, and fast gas releasing that synergistically contribute to improving the electrocatalytic performance of HER. This work represents a highly promising approach to the design and one-step controllable fabrication of efficient and self-standing base metal electrode for electrocatalytic hydrogen production.","AlNi/Ni electrode; Electrocatalytic performance; Hydrogen evolution reaction; Molten salt electrolysis; Water splitting","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Yongxiang Yang","","",""
"uuid:d37a273d-9966-4f19-871b-fae1c2166e7a","http://resolver.tudelft.nl/uuid:d37a273d-9966-4f19-871b-fae1c2166e7a","A comprehensive review on the long-term performance of stormwater biofiltration systems (SBS): Operational challenges and future directions","Yang, F. (TU Delft Hydraulic Structures and Flood Risk; Southeast University; Southeast University-Monash University Joint Research Centre for Future Cities; IHE Delft Institute for Water Education); Fu, Dafang (Southeast University; Southeast University-Monash University Joint Research Centre for Future Cities); Zevenbergen, C. (TU Delft Hydraulic Structures and Flood Risk; IHE Delft Institute for Water Education); Rene, Eldon R. (IHE Delft Institute for Water Education)","","2022","Stormwater biofiltration systems (SBS) are a popular technology for mitigating the negative effects of urbanization on the hydrological processes and water quality in urban areas. However, little is known about SBS's long-term performance in actual field conditions. The findings of a review of the scientific literature on the long-term performance of SBS are presented in this paper. The findings show that only a few studies have investigated the performance of SBS and its change over time, and that the results of laboratory and field experiments differed due to the presence of plants, regular maintenance, and some uncertain environmental factors. Based on the existing knowledge gaps in this field, the main challenges observed was the lack of long-term field data series, and the existing mathematical models are not able to accurately forecast the long-term performance of SBS. This could be owing to the difficulties in monitoring activities, the high costs involved and the unpredictability around the operational timeframe. Future study should concentrate on the implementation of simulation and modeling-based research in pilot and full-scale SBS, and the inclusion of new performance indicators should be considered as a priority.","Hydraulic conductivity; Long-term performance; Model simulation; Pollutant removal; Stormwater biofiltration system (SBS)","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Hydraulic Structures and Flood Risk","","",""
"uuid:9093a751-ec23-4dc1-a4c2-a566f4adac61","http://resolver.tudelft.nl/uuid:9093a751-ec23-4dc1-a4c2-a566f4adac61","An overview of the waste hierarchy framework for analyzing the circularity in construction and demolition waste management in Europe","Zhang, Chunbo (Universiteit Leiden); Hu, Mingming (Universiteit Leiden; Chongqing University); Di Maio, F. (TU Delft Resources & Recycling); Sprecher, B. (TU Delft Design for Sustainability); Yang, Xining (Universiteit Leiden); Tukker, Arnold (Universiteit Leiden; TNO)","","2022","The construction sector is the biggest driver of resource consumption and waste generation in Europe. The European Union (EU) is making efforts to move from its traditional linear resource and waste management system in the construction sector to a level of high circularity. Based on the theory of circular economy, a new paradigm called waste hierarchy was introduced in the EU Waste Framework Directive. This work uses the framework of the waste hierarchy to analyze the practice of construction and demolition waste (CDW) management in Europe. We explore the evolution of the waste hierarchy in Europe and how it compares with the circular economy. Then, based on the framework, we analyze the performance of CDW management in each EU member state. Innovative treatment methods of CDW, focusing on waste concrete, is investigated. This brings insight into optimizing and upgrading the CDW management in light of advanced technologies and steering the pathway for transitioning the EU towards a circular society.","Circular economy; Concrete; Construction and demolition waste (CDW); Europe; Waste hierarchy; Waste management","en","review","","","","","","","","","","","Resources & Recycling","","",""
"uuid:92c9b2ed-9d3d-4d52-b043-3e33c63b7bf2","http://resolver.tudelft.nl/uuid:92c9b2ed-9d3d-4d52-b043-3e33c63b7bf2","Improve the long-term property of heat-cured mortars blended with fly ash by internal curing","Liu, C. (TU Delft Materials and Environment; Wuhan University of Technology); Yang, Lu (Wuhan University of Technology); Li, Z. (TU Delft Materials and Environment); Nie, Shuai (Wuhan University of Technology); Hu, Chuanlin (Wuhan University of Technology); Wang, Fazhou (Wuhan University of Technology)","","2022","Due to the satisfactory property and high productivity, heat-cured concretes have been widely used in engineering practice. However, heat curing process also brings some drawbacks that are detrimental to the long-term property of this material. To address this issue, lightweight fine aggregate (LWFA) was employed to provide internal curing (IC) for a heat-cured mortar (HCM) blended with fly ash (FA). The influences of LWFA on the interior relative humidity of HCM and the reaction environment and behavior of FA were measured. It was found that IC of LWFA could mitigate the drop of interior humidity and enhance the reaction degrees of cement and FA. This contributed significantly to the microstructure densification of HCM, higher compressive strength and better resistance to chloride ion. The results indicate that LWFA benefits to enhancing the efficiency of FA in a heat curing system and the combination of LWFA and FA contribute to improving the long-term property of HCM.","fly ash; Heat-cured mortars; Internal curing; Lightweight fine aggregate; Reaction degree; Synergistic effect","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:f97f5df1-6fa2-43bf-b37a-9a13e3dc4042","http://resolver.tudelft.nl/uuid:f97f5df1-6fa2-43bf-b37a-9a13e3dc4042","Controllable Simultaneous Bifacial Cu-Plating for High-Efficiency Crystalline Silicon Solar Cells","Han, C. (TU Delft Photovoltaic Materials and Devices; Shenzhen Institute of Wide-bandgap Semiconductors); Yang, G. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; Universidad San Francisco de Quito); O'Connor, D. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Gopalakrishnan, Anirudh (Student TU Delft); Zhang, Xiaodan (Nankai University); Zeman, M. (TU Delft Electrical Sustainable Energy); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2022","Bifacial (BF) copper-plated crystalline silicon solar cell is an attractive topic to concurrently reduce silver consumption and maintain good device performance. However, it is still challenging to realize a high aspect ratio (AR) of the metal fingers. Herein, a new type of hybrid-shaped Cu finger is electromagnetically fabricated in a BF plating process. Cyclic voltammetry is employed to disclose the electrochemical behaviors of cupric ions in monofacial and simultaneous BF Cu-plating processes, such that the controllability of the plating process could be assessed. The optimal hybrid Cu finger is composed of a rectangular bottom part and a round top part, such that an utmost effective AR value of 1.73 is reached. In BF Cu-plating, two sub-three-electrode electrochemical cells are employed to realize equal metal finger heights on both sides of the wafer. Compared to our low thermal-budget screen-printing metallization, the Cu-plated silicon heterojunction devices show both optical and electrical advantages (based on lab-scale tests). The champion BF Cu-plated device shows a front-side efficiency of 22.1% and a bifaciality factor of 0.99.","bifacial silicon heterojunction solar cells; Cu-plating; finger shapes; simultaneous electroplating","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:7f6a4faa-1fb0-41f7-8ac8-801e9f7a1250","http://resolver.tudelft.nl/uuid:7f6a4faa-1fb0-41f7-8ac8-801e9f7a1250","Towards bifacial silicon heterojunction solar cells with reduced TCO use","Han, C. (TU Delft Photovoltaic Materials and Devices; Shenzhen Institute of Wide-bandgap Semiconductors); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); van Duffelen, Max (Student TU Delft); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; Universidad San Francisco de Quito); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Zhang, Xiaodan (Nankai University); Zeman, M. (TU Delft Electrical Sustainable Energy); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2022","Reducing indium consumption, which is related to the transparent conductive oxide (TCO) use, is a key challenge for scaling up silicon heterojunction (SHJ) solar cell technology to terawatt level. In this work, we developed bifacial SHJ solar cells with reduced TCO thickness. We present three types of In2O3-based TCOs, tin-, fluorine-, and tungsten-doped In2O3 (ITO, IFO, and IWO), whose thickness has been optimally minimized. These are promising TCOs, respectively, from post-transition metal doping, anionic doping, and transition metal doping and exhibit different opto-electrical properties. We performed optical simulations and electrical investigations with varied TCO thicknesses. The results indicate that (i) reducing TCO thickness could yield larger current in both monofacial and bifacial SHJ devices; (ii) our IWO and IFO are favorable for n-contact and p-contact, respectively; and (iii) our ITO could serve well for both n-contact and p-contact. Interestingly, for the p-contact, with the ITO thickness reducing from 75 nm to 25 nm, the average contact resistivity values show a decreasing trend from 390 mΩ cm2 to 114 mΩ cm2. With applying 25-nm-thick front IWO in n-contact, and 25-nm-thick rear ITO use in p-contact, we obtained front side efficiencies above 22% in bifacial SHJ solar cells. This represents a 67% TCO reduction with respect to a reference bifacial solar cell with 75-nm-thick TCO on both sides.","bifacial solar cell; silicon heterojunction solar cell; TCO reduction; transparent conductive oxide (TCO)","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:61bdb7ab-c737-4f3a-b60a-0ab6e9fd7fff","http://resolver.tudelft.nl/uuid:61bdb7ab-c737-4f3a-b60a-0ab6e9fd7fff","Unraveling competition versus adsorbability of dissolved organic matter against organic micropollutants onto activated carbon","Wang, Q. (TU Delft Sanitary Engineering; Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zietzschmann, F.E. (TU Delft Sanitary Engineering; Berliner Wasserbetriebe); Hofman-Caris, Roberta (KWR Water Research Institute); Jiang, N. (TU Delft Sanitary Engineering); Schuster, Jonas (Hamburg University of Technology); Wang, Zheng (Shanghai National Engineering Research Center of Urban Water Resources); Yu, Jianwei (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yang, Min (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Rietveld, L.C. (TU Delft Water Management)","","2022","It was widely acknowledged that dissolved organic matter (DOM) in natural water has ubiquitous competitiveness against organic micropollutants (OMPs) during adsorption onto activated carbon. However, some (model) low molecular weight organics have been reported to adsorb onto activated carbon, but were not competitive against co-adsorbates. The objective of this study is to identify which adsorbable DOM fractions in natural water contribute to the DOM competitiveness, and what is the impact of the OMP adsorbability and initial OMP concentration on this competitiveness. We, therefore, disassociated the adsorption of DOM fractions and OMPs (carbamazepine, caffeine and sulfamethoxazole) using a two-stage adsorption procedure, removing various adsorbable DOM fractions with powdered activated carbon pretreatment and then unraveling the competitiveness against OMPs of the remaining DOM. Our results demonstrated that DOM competition was not ubiquitous for all adsorbable fractions in natural water, and ∼ 25% of the adsorbable DOM was not competitive. The poorly adsorbable DOM was shown to be a non-competitive co-adsorbate, and its complexation even elevated the adsorption capacity of one of the OMPs (carbamazepine). The amount of DOM competitors increased for weaker adsorbable OMPs, and at higher initial OMP concentrations. The variability in DOM competition, differentiated by DOM adsorbability, has advanced the understanding of DOM competition, from ubiquitous competition to variable roles (varying competitiveness/complementary adsorption) of differently adsorbable DOM fractions during OMP adsorption.","Activated carbon; Adsorbability; Adsorption isotherm; Competitive adsorption; Dissolved organic matter (DOM)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-28","","Water Management","Sanitary Engineering","","",""
"uuid:b64a3da0-470a-40a0-b250-c392b2c7015e","http://resolver.tudelft.nl/uuid:b64a3da0-470a-40a0-b250-c392b2c7015e","Molecular Dynamics Simulation of Sintering Densification of Multi-Scale Silver Layer","Liang, Peijie (Guilin University of Electronic Technology); Pan, Zhiliang (Guilin University of Electronic Technology); Tang, Liang (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); He, Siliang (Guilin University of Electronic Technology; Guangdong Academy of Sciences); Yan, Haidong (Guilin University of Electronic Technology; Zhejiang University)","","2022","Based on molecular dynamics (MD), in this study, a model was established to simulate the initial coating morphology of silver paste by using a random algorithm, and the effects of different sizes of particles on sintering porosity were also analyzed. The MD result reveals that compared with the sintering process using large-scale silver particles, the sintering process using multi-scale silver particles would enhance the densification under the same sintering conditions, which authenticates the feasibility of adding small silver particles to large-scale silver particles in theory. In addition, to further verify the feasibility of the multi-scale sintering, a semi in-situ observation was prepared for a sintering experiment using micro-nano multi-scale silver paste. The feasibility of multi-scale silver sintering is proved by theoretical and experimental means, which can provide a meaningful reference for optimizing the sintering process and the preparation of silver paste for die-attach in powering electronics industry. In addition, it is hoped that better progress can be made on this basis in the future.","initial coating morphology; molecular dynamics; random algorithm; semi in-situ observation","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:b0395861-e1eb-403d-a4b0-4292ace9701b","http://resolver.tudelft.nl/uuid:b0395861-e1eb-403d-a4b0-4292ace9701b","Modeling the Local Environment within Porous Electrode during Electrochemical Reduction of Bicarbonate","Kas, Recep (University of Colorado); Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Yewale, Gaurav P. (Student TU Delft); Crow, Allison (University of Colorado); Burdyny, T.E. (TU Delft ChemE/Materials for Energy Conversion and Storage); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage; University of Colorado)","","2022","The electrochemical reduction of bicarbonate to renewable chemicals without external gaseous CO2 supply has been motivated as a means of integrating conversion with upstream CO2 capture. The way that CO2 is formed and transported during CO2-mediated bicarbonate reduction in flow cells is profoundly different from conventional CO2 saturated and gas-fed systems and a thorough understanding of the process would allow further advancements. Here, we report a comprehensive two-phase mass transport model to estimate the local concentration of species in the porous electrode resultant from homogeneous and electrochemical reactions of (bi)carbonate and CO2. The model indicates that significant CO2 is generated in the porous electrode during electrochemical reduction, even though the starting bicarbonate solution contains negligible CO2. However, the in situ formation of CO2 and subsequent reduction to CO exhibits a plateau at high potentials due to neutralization of the protons by the alkaline reaction products, acting as the limiting step toward higher CO current densities. Nevertheless, the pH in the catalyst layer exhibits a relatively smaller rise, compared to conventional electrochemical CO2 reduction cells, because of the reaction between protons and CO32- and OH- that is confined to a relatively small volume. A large fraction of the CL exhibits a mildly alkaline environment at high current densities, while an appreciable amount of carbonic acid (0.1-1 mM) and a lower pH exist adjacent to the membrane, which locally favor hydrogen evolution, especially at low electrolyte concentrations. The results presented here provide insights into local cathodic conditions for both bicarbonate cells and direct-CO2 reduction membrane electrode assembly cells utilizing cation exchange membranes facing the cathode.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:988c956a-3c25-46da-8f24-0aded59c6a35","http://resolver.tudelft.nl/uuid:988c956a-3c25-46da-8f24-0aded59c6a35","Ready Player One!: Eliciting Diverse Knowledge Using A Configurable Game","Balayn, A.M.A. (TU Delft Web Information Systems); He, G. (TU Delft Web Information Systems); Hu, Andrea (Student TU Delft); Yang, J. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems)","Laforest, F. (editor); Troncy, R. (editor)","2022","Access to commonsense knowledge is receiving renewed interest for developing neuro-symbolic AI systems, or debugging deep learning models. Little is currently understood about the types of knowledge that can be gathered using existing knowledge elicitation methods. Moreover, these methods fall short of meeting the evolving requirements of several downstream AI tasks. To this end, collecting broad and tacit knowledge, in addition to negative or discriminative knowledge can be highly useful. Addressing this research gap, we developed a novel game with a purpose, 'FindItOut', to elicit different types of knowledge from human players through easily configurable game mechanics. We recruited 125 players from a crowdsourcing platform, who played 2430 rounds, resulting in the creation of more than 150k tuples of knowledge. Through an extensive evaluation of these tuples, we show that FindItOut can successfully result in the creation of plural knowledge with a good player experience. We evaluate the efficiency of the game (over 10 × higher than a reference baseline) and the usefulness of the resulting knowledge, through the lens of two downstream tasks - commonsense question answering and the identification of discriminative attributes. Finally, we present a rigorous qualitative analysis of the tuples' characteristics, that informs the future use of FindItOut across various researcher and practitioner communities.","commonsense; discriminative knowledge; GWAP; human computation; knowledge elicitation; neuro-symbolic AI","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:bde655ee-4d45-45e4-a976-4fc948f67c9a","http://resolver.tudelft.nl/uuid:bde655ee-4d45-45e4-a976-4fc948f67c9a","Resilience-based approach to maintenance asset and operational cost planning","Sun, Hao (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Wang, Haiqing (China University of Petroleum (East China))","","2022","Reliability-based and risk-based methods for directing maintenance activities play a critical role in ensuring system safety and reducing unnecessary downtime. Those methods focus on preventive maintenance to avoid component failures and are applicable before unexpected disruptions occur. However, when disruptions are unavoidable, more attention should be paid to systems’ recovery from unwanted changes. As a remedy of preventive maintenance, improving system restoration capacity of resilience through optimizing the system's maintenance asset and operational cost is an efficient way to help system restore from disruption conditions within an optimal cost. In this paper, a resilience-based approach is proposed to optimize maintenance asset and operational cost. A novel resilience metric is developed and utilized to quantify system resilience under various restoration capacities. The minimal acceptable resilience level (MARL) and maximal acceptable restoration time (MART) are proposed to determine the optimal maintenance cost. The proposed approach is applied to the Chevron Richmond refinery crude unit and its upstream process. The results show that it can help practitioners identify the optimal cost to ensure a system is resilient to respond to uncertain disruptions and provide a dynamic resilience profile to support decision-making.","Cost optimization; Maintenance; Process systems; Resilience; Restoration","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f3854589-54c1-4d7e-975f-7474423af0ad","http://resolver.tudelft.nl/uuid:f3854589-54c1-4d7e-975f-7474423af0ad","Zinc Vaporization and Self-reduction Behavior of Industrial Waste Residues for Recycling to the HIsarna Furnace","Kerry, T.J. (TU Delft Team Yongxiang Yang); Peters, A.G.A. (Student TU Delft); Georgakopoulos, E. (TU Delft Team Yongxiang Yang); Dugulan, A.I. (TU Delft RID/TS/Instrumenten groep); Meijer, Koen (Tata Steel Europe Limited); Hage, Johannes (Tata Steel Europe Limited); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2022","Within the steelmaking industry, a large amount of zinc-bearing waste is produced which cannot be effectively treated through integrated steel mills. Concurrently, zinc smelters generate waste residues containing significant amounts of iron and zinc which are stored or landfilled. The zinc concentration of iron and steelmaking residues inhibits its recycling to the blast furnace but is insufficient to be sent directly to the zinc producers. Consequently, a means of up-concentration is required. The pilot HIsarna ironmaking furnace has shown potential for processing secondary iron-bearing resources. Furthermore, zinc can be concentrated in the off-gas flue dust, providing an enriched input for zinc smelters. The potential recyclability of blast furnace (BF) and basic oxygen furnace (BOF) dust and ‘goethite’ residue from the zinc industry has been studied. The input materials have been comprehensively characterized and their reduction–vaporization behavior, has been investigated. Individual samples were tested at temperatures of up to 1300 °C. Here, it was shown that minimal reduction of iron and volatilization of zinc occurred in the goethite and BOF samples. Conversely, even at 1000 °C, the BF dust showed complete reduction of iron and removal of zinc within 30 min. This was due to its high carbon content (40 wt%) which can act as a reductant. Consequently, mixtures of BOF dust and goethite with BF dust were studied. It has been shown that mixtures of 30:70 BF dust to goethite and 20:80 BF dust to BOF dust are suitable for recovering zinc to the gas phase and fully reducing the contained iron. Graphical Abstract: [Figure not available: see fulltext.]","Flue dusts; HIsarna ironmaking; Recycling; Self-reduction","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:60353388-242f-4a2e-b9a7-acfa27981fda","http://resolver.tudelft.nl/uuid:60353388-242f-4a2e-b9a7-acfa27981fda","Probabilistic failure assessment of oil pipelines due to internal corrosion","Khakzad, Sina (Memorial University of Newfoundland); Yang, M. (TU Delft Safety and Security Science); Lohi, Ali (Toronto Metropolitan University); Khakzad, N. (Toronto Metropolitan University)","","2022","Oil and gas pipelines play a key role in the safe and efficient delivery of energy resources around the world. Crude oil by itself is not corrosive, but oil extracted from geological reservoirs is accompanied by varying amounts of water and acidic gases such as carbon dioxide (CO2), which can form a corrosive combination. Estimating the corrosion rate and depth in pipelines is essential for predicting their failure probability. In the present study, a Bayesian network has been developed for predicting the distribution of corrosion rate in oil pipelines given the point estimates generated using an empirical corrosion simulation model. For this purpose, the simulation model considers corrosion parameters such as pipe diameter, flow temperature, flow velocity, and CO2 partial pressure, among others. With the corrosion rate distribution predicted by the Bayesian network, corrosion depth–rate relationships have been employed to convert the corrosion rate distribution into failure probability distribution.","Bayesian network; depth–rate relationship; failure probability assessment; oil pipeline","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:1307dc99-0c01-4a43-b182-500ec9367435","http://resolver.tudelft.nl/uuid:1307dc99-0c01-4a43-b182-500ec9367435","Assessment of ballast layer under multiple field conditions in China","Guo, Y. (TU Delft Railway Engineering); Wang, Shilei (China Academy of Railway Sciences); Jing, Guoqing (Beijing Jiaotong University); Yang, Fei (China Academy of Railway Sciences); Liu, Guixian (China Academy of Railway Sciences); Qiang, Weile (China Academy of Railway Sciences); Wang, Yan (China Academy of Railway Sciences)","","2022","Ballast layer condition should be more regularly and accurately inspected to ensure safe train operation; however, traditional inspection methods cannot sufficiently fulfil this task. This paper presents a method of ground penetrating radar (GPR) application to reflect ballast layer fouling levels under diverse field conditions (annual gross passing load, cleaning and renewal year, fouling composition and transportation type). The results show that the GPR-based inspection method can assess the ballast layer fouling level with a 1–7% difference from the traditional sieving results. Fouling composition (especially metal materials) has a great effect on the GPR signals, thus affecting the inspection accuracy of ballast layer fouling level. Developing diverse GPR-based fouling indicators (by distinguishing different GPR signal features) can improve the GPR inspection applicability to the diverse field conditions.","Ballast fouling; GPR; Ground penetrating radar; Railway ballast; Track geometry; Track inspection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Railway Engineering","","",""
"uuid:74962a1c-a4d3-4b9f-87b7-465e57a02b7f","http://resolver.tudelft.nl/uuid:74962a1c-a4d3-4b9f-87b7-465e57a02b7f","Effect of superabsorbent polymer introduction on properties of alkali-activated slag mortar","Yang, Zhengxian (Fuzhou University); Shi, Peng (Fuzhou University; Politecnico di Torino); Zhang, Yong (Fuzhou University); Li, Z. (TU Delft Materials and Environment)","","2022","Internal curing by superabsorbent polymer (SAP) has been applied in alkali-activated slag (AAS) systems by a few previous studies with the purpose to mitigate the autogenous shrinkage. However, the effects of SAP on other properties of AAS have been rarely studied. In this paper, the workability, strength, permeability, and frost resistance of AAS mortar with synthesized SAP are investigated besides the autogenous shrinkage. Two SAP introducing ways (dry mixing and wet mixing) are considered. It is found that the flowability of AAS mortar decreases with the increase of SAP dosage regardless of the introducing way. The strength and permeability increase with the SAP dosage when it is below a certain amount depending on the mixing way. The autogenous shrinkage can be mitigated significantly by the incorporation of SAP and the mitigating effect is more pronounced by wet mixing. The frost resistance becomes better when more SAP is introduced in either way. The mechanisms behind these phenomena are explained based on the characterization results on the reaction kinetics, reaction products and pore structure of the mixtures with SAP.","Alkali activated slag; Autogenous shrinkage; Frost resistance; Permeability; Strength; Superabsorbent polymer","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:d2a7228c-a655-4342-b5f7-425e7d423052","http://resolver.tudelft.nl/uuid:d2a7228c-a655-4342-b5f7-425e7d423052","Probability density field of acoustic emission events: Damage identification in concrete structures","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Naaktgeboren, N.M. (Ministry of Infrastructure and the Environment); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2022","This paper proposes a new damage identification method, namely, the probability density field of acoustic emission (AE) events. This new method provides a different perspective to deal with the uncertainties in the source localization process. We treat the source location as a random variable, and estimate its probability density field based on a probability density function. The function was found from simulations where various uncertainties were included. The probability of AE events falling in a certain space range is the integral of the probability densities over that range. We apply the new method in a failure test of a full-scale reinforced concrete beam. The resultant probability density field clearly reflects the crack patterns of the specimen and a close relationship with the crack width.","Acoustic emission event density; Acoustic emission source localization; Concrete structures; Damage identification; Probability density function; Source localization error","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:8fec341a-39cf-4864-8570-8d51a8285e23","http://resolver.tudelft.nl/uuid:8fec341a-39cf-4864-8570-8d51a8285e23","Interactions between Diffuse Light and Cucumber (Cucumis sativus L.) Canopy Structure, Simulations of Light Interception in Virtual Canopies","Zhang, Yingyu (Shanghai Academy of Agricultural Sciences); Yang, Juan (Shanghai Academy of Agricultural Sciences); van Haaften, M.A. (TU Delft System Engineering; Inholland University of Applied Sciences); Li, Linyi (Shanghai Academy of Agricultural Sciences); Lu, Shenglian (Guangxi Normal University); Wen, Weiliang (National Engineering Research Center for Information Technology in Agriculture); Zheng, Xiuguo (Shanghai Academy of Agricultural Sciences); Pan, Jian (Shanghai Jiao Tong University); Qian, Tingting (Shanghai Academy of Agricultural Sciences)","","2022","Plant photosynthesis and biomass production are associated with the amount of intercepted light, especially the light distribution inside the canopy. Three virtual canopies (n = 80, 3.25 plants/m2) were constructed based on average leaf size of the digitized plant structures: ‘small leaf’ (98.1 cm2), ‘medium leaf’ (163.0 cm2) and ‘big leaf’ (241.6 cm2). The ratios of diffuse light were set in three gradients (27.8%, 48.7%, 89.6%). The simulations of light interception were conducted under different ratios of diffuse light, before and after the normalization of incident radiation. With 226.1% more diffuse light, the result of light interception could increase by 34.4%. However, the 56.8% of reduced radiation caused by the increased proportion of diffuse light inhibited the advantage of diffuse light in terms of a 26.8% reduction in light interception. The big-leaf canopy had more mutual shading effects, but its larger leaf area intercepted 56.2% more light than the small-leaf canopy under the same light conditions. The small-leaf canopy showed higher efficiency in light penetration and higher light interception per unit of leaf area. The study implied the 3D structural model, an effective tool for quantitative analysis of the interaction between light and plant canopy structure.","3D structural model; Canopy structure; Diffuse light; Light interception; Plant simulation; Virtual cucumber canopies","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:2ddb8130-f146-4d8f-a354-baf1bc6fb42a","http://resolver.tudelft.nl/uuid:2ddb8130-f146-4d8f-a354-baf1bc6fb42a","Protocellular CRISPR/Cas-Based Diffusive Communication Using Transcriptional RNA Signaling","Yang, Shuo (Eindhoven University of Technology); Joesaar, A.H. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Bögels, Bas W.A. (Eindhoven University of Technology); Mann, Stephen (University of Bristol; Shanghai Jiao Tong University); de Greef, Tom F.A. (Eindhoven University of Technology; Radboud Universiteit Nijmegen; University Medical Center Utrecht)","","2022","Protocells containing enzyme-driven biomolecular circuits that can process and exchange information offer a promising approach for mimicking cellular features and developing molecular information platforms. Here, we employ synthetic transcriptional circuits together with CRISPR/Cas-based DNA processing inside semipermeable protein-polymer microcompartments. We first establish a transcriptional protocell that can be activated by external DNA strands and produce functional RNA aptamers. Subsequently, we engineer a transcriptional module to generate RNA strands functioning as diffusive signals that can be sensed by neighboring protocells and trigger the activation of internalized DNA probes or localization of Cas nucleases. Our results highlight the opportunities to combine CRISPR/Cas machinery and DNA nanotechnology for protocellular communication and provide a step towards the development of protocells capable of distributed molecular information processing.","DNA; Enzymes; Molecular Communication; Synthetic Protocells","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:5bbbac0b-863e-4093-aa29-75e9b476285b","http://resolver.tudelft.nl/uuid:5bbbac0b-863e-4093-aa29-75e9b476285b","An evaluation of the information literacy of safety professionals","Guo, Y. (TU Delft Data-Intensive Systems; Fuzhou University); Tao, Jing (Fuzhou University); Yang, F. (TU Delft Safety and Security Science; Fuzhou University); Chen, C. (TU Delft Transport and Logistics; Southwest Petroleum University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2022","Information literacy has gradually become one of the necessary qualities in current and future safety practices. The calculation and assessment of information literacy of safety professionals is an effective way to understand their information literacy level. This paper, therefore, aims to evaluate the information literacy level of safety management personnel, for improving their ability to comprehend safety language/technology/information. Based on the theory of safety information systems and the characteristics of safety professionals, this study develops an index system to assess the information literacy level of safety professionals. The index system consists of five indexes: safety information demand consciousness, safety information acquisition ability, safety information evaluation ability, safety information utilization ability, and information ethics. According to the analytic hierarchy process method, the weight of the index can be determined. This developed method was implemented to evaluate the safety information literacy level of 40 safety professionals from four different corporations. The quantitative results of the fuzzy evaluation are in good agreement with the qualitative analysis results, indicating that the index system has excellent applicability and can be applied to the evaluation of the information literacy level of safety professionals. Besides, recommendations are put forward to improve the information literacy of safety professionals.","analytic hierarchy process (AHP); Fuzzy comprehensive evaluation; information literacy (IL); Safety professional","en","journal article","","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:ebe4628e-16ea-479b-9c50-36f6adc21791","http://resolver.tudelft.nl/uuid:ebe4628e-16ea-479b-9c50-36f6adc21791","Gas leakage detection using spatial and temporal neural network model","Kopbayev, Alibek (Memorial University of Newfoundland); Khan, Faisal (Texas A and M University); Yang, M. (TU Delft Safety and Security Science); Halim, Syeda Zohra (Texas A and M University)","","2022","Natural gas leakage can impose significant danger on a facility and its surrounding communities. Methods for early detection and diagnosis of such leakages have been developed and widely used for gas pipelines and storage tanks. Most techniques include inspection of sensor-aided mathematical models. Application of machine learning techniques to gas leakage detection has been rarely explored. In the present work, convolutional network (to model spatial likelihood of leak) is combined with bi-directional long short-term memory layer network, or BiLSTM (to model temporal dependence of leak likelihood) to perform leak detection and diagnosis. The developed model was trained and tested using sequence of concentration profiles generated using open-source simulated data. The model learned successfully to predict gas leakage and classify its size. The study also explores the flexibility of this network to perform quick detection and diagnose with the limited data. While the networks did not require parameter adjustments to achieve high prediction accuracy, further optimization is possible through data selection and pre-processing. The model needs to be further tested for wide range of leak scenarios. At its present condition, the combined application of convolutional network and BiLSTM shows promising results for early and accurate leak detection in natural gas facilities. Experimental results are needed to confirm the effectiveness of the model and data uncertainty.","Convolutional neural network; Gas leak; Gas leak detection; Safety design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-09","","","Safety and Security Science","","",""
"uuid:65f37946-a831-440b-9ec4-6a723766c334","http://resolver.tudelft.nl/uuid:65f37946-a831-440b-9ec4-6a723766c334","Practical algorithm substitution attack on extractable signatures","Zhao, Yi (Chang'an University); Liang, K. (TU Delft Cyber Security); Zhao, Yanqi (Xi’an University of Posts and Telecommunications, Xi'an); Yang, Bo (Shaanxi Normal University); Ming, Yang (Chang'an University); Panaousis, Emmanouil (University of Greenwich)","","2022","An algorithm substitution attack (ASA) can undermine the security of cryptographic primitives by subverting the original implementation. An ASA succeeds when it extracts secrets without being detected. To launch an ASA on signature schemes, existing studies often needed to collect signatures with successive indices to extract the signing key. However, collection with successive indices requires uninterrupted surveillance of the communication channel and a low transmission loss rate in practice. This hinders the practical implementation of current ASAs, thus causing users to misbelieve that the threat incurred by ASA is only theoretical and far from reality. In this study, we first classify a group of schemes called extractable signatures that achieve traditional security (unforgeability) by reductions ending with key extraction, thus demonstrating that there is a generic and practical approach for ASA with this class of signatures. Further, we present the implementation of ASAs in which only two signatures and no further requirements are needed for the extraction of widely used discrete log-based signatures such as DSA, Schnorr, and modified ElGamal signature schemes. Our attack presents a realistic threat to current signature applications, which can also be implemented in open and unstable environments such as vehicular ad hoc networks. Finally, we prove that the proposed ASA is undetectable against polynomial time detectors and physical timing analysis.","Algorithm substitution attack; Arbitrary collection; Discrete log; Extractable signatures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:f9b807f2-ffd7-4b3e-84ef-a68d93058129","http://resolver.tudelft.nl/uuid:f9b807f2-ffd7-4b3e-84ef-a68d93058129","Carbon Dots Embedded in Cellulose Film: Programmable, Performance-Tunable, and Large-Scale Subtle Fluorescent Patterning by in Situ Laser Writing","Guo, Yuanyuan (South China Normal University); Wang, Quan (South China Normal University); LI, H. (South China Normal University); Gao, Yixun (South China Normal University); Xu, Xuezhu (South China Normal University); Tang, Biao (South China Normal University); Yang, B. (Jilin University); Lee, Yi Kuen (The Hong Kong University of Science and Technology); French, P.J. (TU Delft Bio-Electronics)","","2022","Fluorescent patterns with multiple functions enable high-security anti-counterfeiting labels. Complex material synthesis and patterning processes limit the application of multifunctional fluorescent patterns, so the technology of in situ fluorescent patterning with tunable multimodal capabilities is becoming more necessary. In this work, an in situ fluorescent patterning technology was developed using laser direct writing on solid cellulose film at ambient conditions without masks. The fluorescent intensity and surface microstructure of the patterns could be adjusted by programmable varying of the laser parameters simultaneously. During laser direct writing, carbon dots are generated in situ in a cellulose ester polymer matrix, which significantly simplifies the fluorescent patterning process and reduces the manufacturing cost. Interestingly, the tunable fluorescent intensity empowers the fabrication of visual stereoscopic fluorescent patterns with excitation dependence, further improving its anti-counterfeiting performance. The obtained fluorescent patterns still show ultrahigh optical properties after being immersed in an acid/base solution (pH 5-12) over one month. In addition, the anti-UV performance of the obtained laser-patterned film with transmittance around 90% is comparable to that of commercial UV-resistant films. This work provided an advanced and feasible approach to fabricating programmable, performance-tunable, subtle fluorescent patterns in large-scale for industrial application.","carbon dots; fluorescent pattern; laser direct writing; surface microstructure; tunable intensity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Bio-Electronics","","",""
"uuid:5ba650ea-3bf0-4bda-9d95-b4e621272e0a","http://resolver.tudelft.nl/uuid:5ba650ea-3bf0-4bda-9d95-b4e621272e0a","Basic Promotors Impact Thermodynamics and Catalyst Speciation in Homogeneous Carbonyl Hydrogenation","Yang, W. (TU Delft ChemE/Inorganic Systems Engineering); Kalavalapalli, Tejas Y. (Student TU Delft); Krieger, A.M. (TU Delft ChemE/Inorganic Systems Engineering); Khvorost, Taras A. (ITMO University); Chernyshov, I. (TheoMAT group; ITMO University); Weber, Manuela (Freie Universität Berlin); Uslamin, E. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering); Filonenko, G.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2022","Homogeneously catalyzed reactions often make use of additives and promotors that affect reactivity patterns and improve catalytic performance. While the role of reaction promotors is often discussed in view of their chemical reactivity, we demonstrate that they can be involved in catalysis indirectly. In particular, we demonstrate that promotors can adjust the thermodynamics of key transformations in homogeneous hydrogenation catalysis and enable reactions that would be unfavorable otherwise. We identified this phenomenon in a set of well-established and new Mn pincer catalysts that suffer from persistent product inhibition in ester hydrogenation. Although alkoxide base additives do not directly participate in inhibitory transformations, they can affect the equilibrium constants of these processes. Experimentally, we confirm that by varying the base promotor concentration one can control catalyst speciation and inflict substantial changes to the standard free energies of the key steps in the catalytic cycle. Despite the fact that the latter are universally assumed to be constant, we demonstrate that reaction thermodynamics and catalyst state are subject to external control. These results suggest that reaction promotors can be viewed as an integral component of the reaction medium, on its own capable of improving the catalytic performance and reshaping the seemingly rigid thermodynamic landscape of the catalytic transformation.","","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:8e88afc8-f93f-4db1-8692-bed07af3c289","http://resolver.tudelft.nl/uuid:8e88afc8-f93f-4db1-8692-bed07af3c289","Joint Feature Synthesis and Embedding: Adversarial Cross-Modal Retrieval Revisited","Xu, Xing (University of Electronic Science and Technology of China); Lin, Kaiyi (University of Electronic Science and Technology of China); Yang, Yang (University of Electronic Science and Technology of China); Hanjalic, A. (TU Delft Intelligent Systems); Shen, Heng Tao (University of Electronic Science and Technology of China)","","2022","Recently, generative adversarial network (GAN) has shown its strong ability on modeling data distribution via adversarial learning. Cross-modal GAN, which attempts to utilize the power of GAN to model the cross-modal joint distribution and to learn compatible cross-modal features, is becoming the research hotspot. However, the existing cross-modal GAN approaches typically 1) require labeled multimodal data of massive labor cost to establish cross-modal correlation; 2) utilize the vanilla GAN model that results in unstable training procedure and meaningless synthetic features; and 3) lack of extensibility for retrieving cross-modal data of new classes. In this article, we revisit the adversarial learning in existing cross-modal GAN methods and propose Joint Feature Synthesis and Embedding (JFSE), a novel method that jointly performs multimodal feature synthesis and common embedding space learning to overcome the above three shortcomings. Specifically, JFSE deploys two coupled conditional Wassertein GAN modules for the input data of two modalities, to synthesize meaningful and correlated multimodal features under the guidance of the word embeddings of class labels. Moreover, three advanced distribution alignment schemes with advanced cycle-consistency constraints are proposed to preserve the semantic compatibility and enable the knowledge transfer in the common embedding space for both the true and synthetic cross-modal features. All these add-ons in JFSE not only help to learn more effective common embedding space that effectively captures the cross-modal correlation but also facilitate to transfer knowledge to multimodal data of new classes. Extensive experiments are conducted on four widely used cross-modal datasets, and the comparisons with more than ten state-of-the-art approaches show that our JFSE method achieves remarkably accuracy improvement on both standard retrieval and the newly explored zero-shot and generalized zero-shot retrieval tasks.","adversarial learning; Cross-modal retrieval; embedding features; knowledge transfer; zero-shot learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Intelligent Systems","","","",""
"uuid:3e3f0645-da1f-40f0-8b04-b0729bb55284","http://resolver.tudelft.nl/uuid:3e3f0645-da1f-40f0-8b04-b0729bb55284","Influence of temperature during pyrolysis of Fe-alginate: Unraveling the pathway towards highly active Fe/C catalysts","Tafjord, Joakim (Norwegian University of Science and Technology (NTNU)); Regli, Samuel K. (Norwegian University of Science and Technology (NTNU)); Dugulan, A.I. (TU Delft RID/TS/Instrumenten groep); Rønning, Magnus (Norwegian University of Science and Technology (NTNU)); Rytter, Erling (Norwegian University of Science and Technology (NTNU)); Holmen, Anders (Norwegian University of Science and Technology (NTNU)); Myrstad, Rune (SINTEF Industry); Yang, J. (Norwegian University of Science and Technology (NTNU))","","2022","Transition metals supported on carbons play an important role in catalysis and energy storage. By pyrolysis of metal alginate, highly active catalysts for the Fischer-Tropsch synthesis (FTS) can be produced. However, the evolution of the carbon (alginate) and transition metal (Fe3+) during pyrolysis remains largely unknown and was herein corroborated with several advanced in situ techniques. Initially, Fe3+ was reduced to Fe2+, while bound to alginate. FeO nucleated above 300 °C, destabilizing the alginate functional groups. Increasing temperatures improved carbonization of the carbon support, which facilitated reduction of FeO to α-Fe at 630 °C. Catalysts were produced by pyrolysis between 400 and 700 °C, where the highest FTS activity (612 µmolCO gFe−1 s−1) was achieved for the sample pyrolyzed at low temperature. Lower metal loading, due to less decomposition of alginate, moderated sintering and yielded larger catalytic surface areas. The results provide valuable knowledge for rational design of metal-alginate-based materials.","Alginate; Carbon; Fischer-Tropsch synthesis; Iron; Pyrolysis","en","journal article","","","","","","","","","","","RID/TS/Instrumenten groep","","",""
"uuid:a9060bb2-f9aa-4e0f-877e-272a426cebaf","http://resolver.tudelft.nl/uuid:a9060bb2-f9aa-4e0f-877e-272a426cebaf","Granular Flow Modeling of Galvanized Steel Scrap Injection into the HIsarna Iron-Making Reactor","Hosseini, A. (TU Delft Team Yongxiang Yang); Georgakopoulos, E. (TU Delft Team Yongxiang Yang); Dihman, Vinod (Tata Steel); Hage, Johannes (Tata Steel); Meijer, Koen (Tata Steel); Zeilstra, Christiaan (Tata Steel); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2022","Galvanized steel scrap flow and injection into the HIsarna reactor are investigated using discrete element method (DEM). The scrap particle is fed into the reactor through an inclined chute and hits the slag surface where the zinc content is evaporated and solid particles melt. A DEM model is setup and validated using experimental data obtained from the exact plant-scale chute geometry and scrap particles. Using the DEM model, the effect of chute inclination, injection elevation, injection mode (batch and continuous), batch size, and flowrate on particle distribution and exerted pressure on the slag surface are investigated. It is found that continuous mode of injection is the most suitable method to increase the spread of particles and also to reduce the exerted pressure on the slag surface. Placing dent-like obstacles at the tip of the chute significantly increases the impact area, especially for batchwise injection, thus reducing force and pressure on the slag surface that minimizes the risk of liquid splash. Larger particle impact area is also beneficial to obtain higher zinc evaporation rate from particle surface and also to minimize the slag surface temperature disturbance.","discrete element method; granular flow modeling; HIsarna iron production; steel scrap chute; steel scrap flowability","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:1f37a054-0748-4f96-ac3b-3a9706f14588","http://resolver.tudelft.nl/uuid:1f37a054-0748-4f96-ac3b-3a9706f14588","Kinetic Analysis of Thermal Decomposition Process of Emulsion Explosive Matrix in the Presence of Sulfide Ores","Yang, Fuqiang (Fuzhou University); Guo, Yong (Fuzhou University); Lai, Yong (Fuzhou University); Hong, Yidu (Fuzhou University); Yuan, S. (TU Delft Safety and Security Science)","","2022","This study aims to characterize the whole reaction process of (i) emulsion explosive matrix and sulfide ores, and (ii) ammonium nitrate and pyrite by the thermodynamics analysis method. A series of experiments were carried out at atmospheric pressure from 25 °C to 350 °C at four heating rates (3, 5, 10, and 15 K/min) and the Coats–Redfern method was applied to calculate the apparent activation energy of samples at different heating rates. The results show that the thermogravimetric (TG) curve of sulfide ores and emulsion explosive matrix can be divided into four stages: the water evaporation stage, the dynamic balance stage, the thermal decomposition stage, and the extinguishment stage. However, the thermal decomposition process of ammonium nitrate and pyrite can be divided into the dynamic balance stage, the thermal decomposition stage, and the burnout stage. The ignition temperature (T0) and maximum peak temperature (Tm) of the samples increased with the heating rate, but the shape of the TG/DTG (Derivative Thermogravimetric) curve was not affected. The results show that the reaction process of sulfide ores and emulsion explosive matrix is similar to the reaction process of pyrite and ammonium nitrate. The thermal stability of emulsion explosive matrix decreases when sulfide ores are added. By contrast, when pyrite is added, the thermal stability of the ammonium nitrate decreases more significantly.","activation energy; emulsion explosive matrix; self-denotation; sulfide ores; thermodynamics analysis","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:31f36c21-2e7e-4a07-b6f4-097b50709a19","http://resolver.tudelft.nl/uuid:31f36c21-2e7e-4a07-b6f4-097b50709a19","Experimental and Discrete Element Method Analysis of Galvanized Steel Scrap Particles Along and After an Inclined Chute","Georgakopoulos, E. (TU Delft Team Yongxiang Yang); Hosseini, A. (TU Delft Team Yongxiang Yang); Kerry, T.J. (TU Delft Team Yongxiang Yang); Hage, Johannes (Tata Steel Europe Limited); Meijer, Koen (Tata Steel Europe Limited); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2022","HIsarna is a novel ironmaking process with great raw materials versatility that is attractive for various secondary resources. Among the materials that can be recycled, there is steel scrap which is fed to the furnace bath through an inclined chute. The velocity distribution of the scrap particles along the chute affects the particles’ distribution on the liquid slag and, thereupon, the efficient operation of the reactor. In this study, the flow of steel scrap particles along an inclined chute with the same dimensions as those of the actual chute of the HIsarna plant is investigated experimentally and numerically. The simulations are validated using chute tip velocity and mass fractions collected at the different compartments of a sampling device. Translational and angular velocity distributions along and across the chute are reported, and the effect of different parameters are investigated. The impact of the shape of the particles on the simulation process is found to be negligible. The angular velocity distribution in cross-sections of the chute exhibited a V-shaped orientation, whereas the translational velocity displayed similar values across the cross-sections. Moreover, translational velocity appeared to increase with increasing inclination angles, whereas angular velocity increased with decreasing batch size.","discrete element methods; granular flow; HIsarna Ironmaking; steel scrap chute; steel scrap flowability","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:fceff6b0-cc87-4e2b-acd4-daf986153b3a","http://resolver.tudelft.nl/uuid:fceff6b0-cc87-4e2b-acd4-daf986153b3a","CENP-B-mediated DNA loops regulate activity and stability of human centromeres","Chardon, Florian (PSL Research University); Japaridze, A. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Witt, Hannes (Vrije Universiteit Amsterdam); Velikovsky, Leonid (PSL Research University); Chakraborty, Camellia (PSL Research University); Wilhelm, Therese (PSL Research University); Dumont, Marie (PSL Research University); Yang, W.W.W. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2022","Chromosome inheritance depends on centromeres, epigenetically specified regions of chromosomes. While conventional human centromeres are known to be built of long tandem DNA repeats, much of their architecture remains unknown. Using single-molecule techniques such as AFM, nanopores, and optical tweezers, we find that human centromeric DNA exhibits complex DNA folds such as local hairpins. Upon binding to a specific sequence within centromeric regions, the DNA-binding protein CENP-B compacts centromeres by forming pronounced DNA loops between the repeats, which favor inter-chromosomal centromere compaction and clustering. This DNA-loop-mediated organization of centromeric chromatin participates in maintaining centromere position and integrity upon microtubule pulling during mitosis. Our findings emphasize the importance of DNA topology in centromeric regulation and stability.","AFM microscopy; CENP; centromere; chromosomes; DNA breaks; DNA compaction; DNA topology; genome stability; optical tweezers; secondary structures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BN/Cees Dekker Lab","","",""
"uuid:2e9e89cf-f216-4a2e-94ea-19ec053ec342","http://resolver.tudelft.nl/uuid:2e9e89cf-f216-4a2e-94ea-19ec053ec342","What Should You Know? A Human-In-the-Loop Approach to Unknown Unknowns Characterization in Image Recognition","Sharifi Noorian, S. (TU Delft Web Information Systems); Qiu, S. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Sustainable Design Engineering; TU Delft Human-Centred Artificial Intelligence)","","2022","Unknown unknowns represent a major challenge in reliable image recognition. Existing methods mainly focus on unknown unknowns identification, leveraging human intelligence to gather images that are potentially difficult for the machine. To drive a deeper understanding of unknown unknowns and more effective identification and treatment, this paper focuses on unknown unknowns characterization. We introduce a human-in-the-loop, semantic analysis framework for characterizing unknown unknowns at scale. We engage humans in two tasks that specify what a machine should know and describe what it really knows, respectively, both at the conceptual level, supported by information extraction and machine learning interpretability methods. Data partitioning and sampling techniques are employed to scale out human contributions in handling large data. Through extensive experimentation on scene recognition tasks, we show that our approach provides a rich, descriptive characterization of unknown unknowns and allows for more effective and cost-efficient detection than the state of the art.","humans in the loop; semantic analysis; Unknown unknowns","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","Sustainable Design Engineering","Web Information Systems","","",""
"uuid:54056a68-640b-48da-9597-fe84f8d967f0","http://resolver.tudelft.nl/uuid:54056a68-640b-48da-9597-fe84f8d967f0","Lanthanide-doped NaYF4 near-infrared-II nanothermometers for deep tissue temperature sensing","Yuan, Xiangyang (Southwest University, Chongqing); Cui, Endian (Southwest University); Liu, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Jiang, Ying (Southwest University); Yang, Xiaoyan (Southwest University); Tang, Jianfeng; Yang, Lu (Southwest University); Liao, Xiaoling (Southwest University); Zhao, Yanan (Southwest University)","","2022","In this work, different lanthanides (Tm3+, Er3+; Yb3+, Ho3+, Nd3+) were doped into NaYF4 via a high-temperature coprecipitation method, and followed by SiO2 coating to improve the water dispersibility, resulting in NaYF4:Tm3+, Er3+@NaYF4@SiO2 and NaYF4:Yb3+, Ho3+@NaYF4:Nd3+@SiO2 nanoparticles (NPs). The two NPs both exhibited the temperature-dependent second near-infrared (NIR-II) downshifting luminescence over the physiological range. The luminescence ratio of Tm3+ emission at 1460 nm to Er3+ emission at 1525 nm (Tm3+:3H4 → 3F4; Er3+:4I13/2 → 4I13/2) varies with temperature increase, as well as Yb3+ emission at 980 nm and Ho3+ emission at 1150 nm (Yb3+:2F5/2 → 2F7/2; Ho3+:5I6 → 5I8). The highest relative sensitivity of NaYF4:Tm3+, Er3+@NaYF4@SiO2 and NaYF4:Yb3+, Ho3+@NaYF4:Nd3+@SiO2 aqueous suspension is 0.36% K−1 (at 298 K) and 0.76% K−1 (at 343 K), respectively. The biological tests prove the good biocompatibility and low toxicity of the water-soluble NPs. In vitro tissue penetration experiments verify a much better penetration ability of the synthesized NaYF4:Tm3+, Er3+@NaYF4@SiO2 compared with NaYF4:Yb3+, Ho3+@NaYF4:Nd3+@SiO2 NPs. The excellent physiological luminescent thermometry with favor wave penetration depth provides a promising platform in deep tissue temperature measurement, which is very important in vivo biosensing.","Biocompatibility; Energy transfer; Lanthanide-doped; Nanothermometers; Second near-infrared region","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:56123a69-f352-4f48-8c08-c05ea600c285","http://resolver.tudelft.nl/uuid:56123a69-f352-4f48-8c08-c05ea600c285","A designed wall roughness approach to improve turbulent heat transfer to supercritical CO2 flowing in horizontal tubes","Wang, Jianyong (Sun Yat-sen University); Yang, Jun (Sun Yat-sen University); Gong, Jishuang (Sun Yat-sen University); Zhao, Chunrong (University of Sydney); Hooman, K. (TU Delft Process and Energy)","","2022","Supercritical flow through a horizontal pipe leads to a non-uniform peripheral wall temperature distribution even when the wall heat flux is kept constant and uniform. This is attributed to lower heat transfer coefficient at the top section where the denser fluid tends to sink. Hence, to obtain a uniform wall temperature, a designed wall roughness is devised. Uniform sand-grain roughness is employed to only partly cover the top half of the pipe wall. Numerical simulations were conducted using the SST k−ω turbulence model. The simulation results indicate that our proposed design can lead to a more uniform heat transfer distribution over the wall periphery compared with the smooth pipe. An extreme case was also considered where the inner wall was completely covered with roughness elements. While heat transfer augmentation was observed for this case, the excess pressure drop was prohibitively higher compared with a pipe with designed wall roughness.","Horizontal pipe; Partly; Sand-grain roughness; Supercritical flow; Uniform heat transfer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-08","","Process and Energy","","","",""
"uuid:72f466e7-d420-4d22-93bb-fb3f6562b434","http://resolver.tudelft.nl/uuid:72f466e7-d420-4d22-93bb-fb3f6562b434","2D Dion–Jacobson CsPbI3 with Enhanced Interlayer Coupling for Stable and Efficient Photovoltaics","Lei, Yutian (Lanzhou University); Peng, Guoqiang (Lanzhou University); Wang, H. (TU Delft Photovoltaic Materials and Devices); Wang, Gang (Ningbo University); Yang, Siwei (Shanghai Institute of Microsystem and Information Technology Chinese Academy of Sciences); Wang, Qian (School of Physical Science and Technology; Lanzhou University); Li, Zhen Hua (Lanzhou University); Jin, Zhiwen (Lanzhou University)","","2022","Inorganic 2D layered CsPbI3 is awaiting to overcome the phase instability of traditional 3D components. However, the most reported Ruddlesden–Popper (RP) phase 2D CsPbI3 leads to larger interlayer distance and weaker interlayer coupling since the existence of the van der Waals gap, which deteriorates the performance of the device and makes the improvement of stability unsatisfactory. Herein, this work resorts ethylenediamine cations (EDA2+) to construct a series of Dion–Jacobson (DJ) phase 2D CsPbI3 as (EDA)Csn−1PbnI3n+1 with van der Waals gap eliminated. Combining simulation calculations and experiments, it is found that the (EDA)Csn−1PbnI3n+1 has enhanced intermolecular forces to overcome the problem of insufficient crystallization power caused by large steric hindrance in the film assembly process compared to phenethylammonium-based RP phase analogues. In addition, profit from the reduced interlayer distance and stronger coupling, the rigidity of the structure is increased, and the annoying non-radiative recombination caused by structural fluctuations is alleviated. As a result, the 2D layered DJ phase CsPbI3-based solar cells deliver eminent performance than RP phase analogues, especially the 2D (EDA)(Cs)4Pb5I16 (n = 5) device exhibits a record PCE of 10.43% in this work, and significantly enhanced stability.","2D inorganic perovskite; Dion–Jacobson; efficiency and stability; layer coupling; Ruddlesden–Popper","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Photovoltaic Materials and Devices","","",""
"uuid:93e995b5-c5bf-4efb-96e3-2170c456b943","http://resolver.tudelft.nl/uuid:93e995b5-c5bf-4efb-96e3-2170c456b943","An event-driven probabilistic methodology for modeling the spatial-temporal evolution of natural hazard-induced domino chain in chemical industrial parks","Men, Jinkun (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Chen, Guohua (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Yang, Yunfeng (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen)","","2022","Natural hazards may rapidly lead to a massive domino chain in chemical industrial parks (CIPs). This work develops a high-efficiency and systematic analytical framework that is applicable to a broad range of uncertain and time-varying factors related to the evolution process of natural hazard-induced domino chain (NHDC). Specifically, the evolution mechanism of NHDC is revealed from a macro-systemic perspective. An event-driven disaster chain evolution system is developed, of which the system state transition is formulated by a Markov decision process and a temporal-difference learning algorithm. A system dynamic risk model is proposed to analyze the dynamic risk associated with NHDC. An earthquake-induced Na-tech scenario is adopted to demonstrate the methodology. Computational results indicate that the proposed methodology is competitive in simulating large-scale system state transition spaces. The involvement of natural hazards would lead to a more complex and severe evolution pattern. Five distinctive stages of the whole NHDC were identified. We found that the value of system dynamic risk is likely to surge in the deterioration stage. Our methodology can dynamically identify the critical system temporal intervals and units at each evolution stage, which has the potential to support the prevention and mitigation of such catastrophic chain events.","Chemical industrial park; Disaster chain evolution system; Dynamic risk analysis; Event-driven probabilistic methodology; Natural hazard-induced domino chain","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:39f5b31f-b2d9-4baf-a395-cbb78504039f","http://resolver.tudelft.nl/uuid:39f5b31f-b2d9-4baf-a395-cbb78504039f","Off-Gas System Scale-Up of HIsarna Iron-Making Process: A CFD-Based Approach","Hosseini, A. (TU Delft Team Yongxiang Yang); Hage, Johannes L.T. (Tata Steel); Duiker, Arjan (Tata Steel); Meijer, Koen (Tata Steel); Peeters, Tim (Tata Steel); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2022","For all industrial applications, predicting system characteristics and behavior plays a vital role before constructing costly and complex multi-physic systems. Correct and reliable predictions become even more important once the aim is to go from small- to large-scale processes to establish an industrial demonstrations. In this study, a CFD-based scale-up of HIsarna off-gas system based on the Eulerian–Lagrangian approach is investigated and detailed step in scale-up procedure is discussed. A three-dimensional CFD model is developed and validated based on the available pilot scale data and used to design and scale up the post-combustion chamber (also known as reflux chamber). Detailed kinetics for volumetric and gas–solid reactions are incorporated in validated CFD model with a special attention to the wall boundary condition and modeling. The effect of reflux chamber geometry, oxygen injection ports, oxygen injection flowrate, isolation wall thickness, and inlet flue gas composition on different system characteristics such as heat loss through the wall, CO–H2–carbon mixture conversion, flue gas, and wall temperature are investigated. The aim of the scaled up geometry, like pilot scale, is to achieve full combustion of unwanted species inside the reflux chamber to assure zero emissions from the off-gas system. Compared to the pilot scale, the scaled up reflux chamber is capable of handling and removing higher amount of unwanted species coming from the main reactor and therefore lower CO–H2 and carbon particle emissions, mainly due to a larger size which provides larger volume and residence time for volumetric and gas–solid reaction to proceed.","","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:896f616a-6744-4dfc-9247-128b3d11c2ba","http://resolver.tudelft.nl/uuid:896f616a-6744-4dfc-9247-128b3d11c2ba","MOF-Derived Multi-heterostructured Composites for Enhanced Photocatalytic Hydrogen Evolution: Deciphering the Roles of Different Components","Hussain, M.Z. (University of Exeter; Technische Universität München); Yang, Zhuxian (University of Exeter); van der Linden, B. (TU Delft ChemE/O&O groep); Heinz, Werner R. (Technische Universität München); Bahri, Mounib (University of Strasbourg); Ersen, Ovidiu (University of Strasbourg); Jia, Quanli (Zhengzhou University); Fischer, Roland A. (Technische Universität München); Zhu, Yanqiu (University of Exeter); Xia, Yongde (University of Exeter)","","2022","Bimetal-organic-framework (Bi-MOF) NH2-MIL-125(Ti/Cu)-derived nanocomposites are systematically investigated to elucidate the role of individual species TiO2, CuxO and the porous carbon matrix in photocatalytic activity. Among the studied samples, the TiO2/CuxO/C nanocomposite derived from heat processing NH2-MIL-125(Ti/Cu) under Ar/H2O vapor demonstrates the highest photocatalytic H2 evolution performance due to the formation of a phasejunction between the well-crystallized anatase/rutile TiO2 polymorph, the optimized and codoped nitrogen/carbon in the composites, the formation of p-n heterojunctions between the TiO2 and CuxO nanoparticles, as well as their uniform distribution in a hydrophilic porous carbon matrix decorated with N and carboxylic functional groups. These parameters enable the in situ-formed multi-heterostructures in these nanocomposites to not only possess relatively narrower energy band gaps and improved spatial charge separation due to the formed type-II staggered p-n heterojunctions but also offer multiple pathways for charge diffusion, resulting in lower charge-transfer resistance, suppressed bulk charge recombination, and consequently, much improved visible-light absorption. Therefore, the Bi-MOF NH2-MIL-125(Ti/Cu)-derived TiO2/CuxO/C nanocomposite provides easily accessible active sites with an excellent photocatalytic H2 evolution activity of 3147 μmol gcat-1 h-1, 99 times higher than that of bare TiO2. This work provides a simple one-step approach to producing tunable novel nanocomposites for efficient photocatalytic H2 evolution without using expensive noble metals as cocatalysts.","","en","journal article","","","","","","","","","","","ChemE/O&O groep","","",""
"uuid:d745689e-37e0-46ea-828e-413bce7d4717","http://resolver.tudelft.nl/uuid:d745689e-37e0-46ea-828e-413bce7d4717","The reinforcement effects of PVA, PE, and steel fibers on AAS material","Xu, Yaowen (Chongqing University); Wan, Chaojun (Chongqing University); Liang, X. (TU Delft Materials and Environment); Yang, Hongyu (Chongqing University)","","2022","This paper employs PVA, PE, steel fibers, as well as the hybrids of two of the three fibers to reinforce alkali-activated slag (AAS) material, aiming to prepare strain-hardening and clinker-free composites. The flexural strength, compressive strength, uniaxial tensile performance of the composites and bond behavior between fibers and the matrix were tested to clarify the reinforcement effects of different fibers on the matrix. Strain-hardening AAS materials are obtained with compressive strengths of 116 MPa − 137 MPa (with fibers contributions of 17%−38%) and strain capacities over 0.8% at 60 d. The results indicate that there are several kinds of reinforcement effects of fibers on the matrix, namely bridging effect, lapping effect (for steel fibers), synergetic effect (for hybrid fibers) and static effect (for flexible fibers). Deterioration of PVA and PE fibers are found, indicating that these two fibers have poor adaptability in AAS material with a high alkalinity. This paper specially distinguishes the difference of the crack numbers during the strain-hardening stage only with the ones during the whole period including the following strain-softening stage. A new relationship is established between the crack numbers and the strain-stress curves, which provides a more reasonable way to characterize the strain-hardening property of fiber-reinforced composites.","Crack; Fiber-reinforced AAS; Hybrid fiber; Strain-hardening; Uniaxial tension","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:180844fb-4515-49bd-8e0e-4fce21f5b286","http://resolver.tudelft.nl/uuid:180844fb-4515-49bd-8e0e-4fce21f5b286","A reappraisal of the ink-bottle effect and pore structure of cementitious materials using intrusion-extrusion cyclic mercury porosimetry","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Wu, Kai (Tongji University); Yang, Zhengxian (Fuzhou University); Ye, G. (TU Delft Materials and Environment)","","2022","Reliable characterization of the pore structure is essentially important for transport-related durability studies of cementitious materials. Mercury intrusion porosimetry has been commonly used for pore structure measurement while the ink-bottle effect significantly affects the trustworthiness of pore size features of cementitious materials. Pressurization-depressurization cycling mercury intrusion porosimetry (PDC-MIP) is an alternative approach previously reported with the purpose to provide better estimates of pore size results. It is found however that the PDC-MIP greatly overestimates the ink-bottle pore volume owing to the incomplete extrusion of mercury in throat pores after the pressurization-depressurization cycle. Intrusion-extrusion cyclic mercury porosimetry (IEC-MIP), as a further improvement, is then described, which can reliably capture the ink-bottle effect and obtain a clear picture of the distribution of the ink-bottle pores in cementitious materials. The ink-bottle effect of cement pastes is observed being pore size-dependent and the role of critical pores is emphasized. Water-cement ratio primarily changes the effective porosity while plays a minor role in the ink-bottle porosity. The addition of reactive blends substantially enhances the ink-bottle effect during mercury penetration into small pores. IEC-MIP tests, together with a unique data analysis, enable to obtain a more truthful pore size distribution.","Connectivity; Critical pore diameter; Ink-bottle effect; Mercury porosimetry; Pore size distribution","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:80b324be-727f-4831-8a5e-6a93014fc4f0","http://resolver.tudelft.nl/uuid:80b324be-727f-4831-8a5e-6a93014fc4f0","A Bienzymatic Cascade for the Complete Hydrolysis of Phthalic Acid Esters","Yang, Liu (South China University of Technology); Ma, Yunjian (South China University of Technology; Macau University of Science and Technology); Chen, Yebao (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (GuangdongYoumeiInstituteof IntelligentBio-manufacturing, Guangdong)","","2022","Phthalic acid esters (PAEs) are widely used as plastic additives to increase the flexibility and durability of plastics. Constantly leaching out from plastics, PAEs are ubiquitously found in the environment. As PAEs exhibit biological activities such as being endocrine disruptive, the quest for efficient degradation strategies continues. Here, we report a bienzymatic degradation system for PAEs to phthalic acid (PA) using a cascade comprising two hydrolases, EstJ6 and P8219. The reaction conditions were optimized with respect to concentrations of both enzymes, temperature, and initial pH. Finally, the substrate scope of the new cascade was investigated, revealing that particularly PAEs with relatively small alcohols were degraded to more than 90 %. This present study provides a potential doable biocatalytic strategy for the complete hydrolysis of PAEs.","cascade; degradation; hydrolase; phthalic acid; phthalic acid esters","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-10","","","BT/Biocatalysis","","",""
"uuid:83312bef-f1fc-4660-990e-c8632742ee2a","http://resolver.tudelft.nl/uuid:83312bef-f1fc-4660-990e-c8632742ee2a","Examining industrial air pollution embodied in trade: implications of a hypothetical China-UK FTA","Zhang, Yuquan W. (Shanghai Jiao Tong University); Geng, Yong (Shanghai Jiao Tong University; Tongji University); Zhang, Bin (Ministry of Ecology and Environment); Yang, Shaohua (Shanghai Jiao Tong University); Izikowitz, David V. (Shanghai Jiao Tong University); Yin, Haitao; Wu, F. (TU Delft Energie and Industrie; ETH Zürich); Yu, Haishan (Shanghai Jiao Tong University); Liu, Huiwen (Shanghai Jiao Tong University); Zhou, Weiduo (Shanghai Academy of Social Sciences)","","2022","Very few developed economies have a full free trade agreement (FTA) with China. This study employs one GTAP model and builds an extended environmental multi-region input–output model to investigate a hypothetical China-UK FTA, concerning embodied industrial emissions of SO2, PM2.5, NOX, and NH3. The economic sectors are also classified based on their embodied pollution intensity and trade advantage index under various FTA scenarios. Results show that the UK’s GDP and welfare and China’s welfare will increase, along with changes in their trade structures. Overall, this FTA brings about larger net impacts on embodied emissions of SO2 than on PM2.5, NOX and NH3, and both countries are net importers of the latter three pollutants. Key sectors such as non-metallic mineral products, chemical products, and agriculture are inclined to become less competitive and less polluting under the FTA. The inclusion of agri-food sectors exhibits slight counteracting effects in general. The findings are of policy importance as they provide insights into how best to target key sectors, seeking a balance between trade development and environmental protection.","Embodied pollution; Environmental MRIO; FTA; GTAP; Trade competitiveness","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Energie and Industrie","","",""
"uuid:74be3872-8acf-4800-a3c7-c42c1bf1fe10","http://resolver.tudelft.nl/uuid:74be3872-8acf-4800-a3c7-c42c1bf1fe10","Is there a sub-parsec-scale jet base in the nearby dwarf galaxy NGC 4395?","Yang, Jun (Onsala Space Observatory); Yang, Xiaolong (Chinese Academy of Sciences); Wrobel, Joan M. (National Radio Astronomy Observatory); Paragi, Zsolt (Joint Institute for VLBI ERIC); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Ho, Luis C. (Peking University); Nyland, Kristina (Naval Research Laboratory); Fan, Lulu (University of Science and Technology of China); Tafoya, Daniel (Onsala Space Observatory)","","2022","NGC 4395 is a dwarf galaxy at a distance of about 4.3 Mpc (scale: ∼0.021 pc mas-1). It hosts an intermediate-mass black hole (IMBH) with a mass between ∼104 and ∼105 solar masses. The early radio observations of NGC 4395 with the very long baseline interferometry (VLBI) network, High Sensitivity Array (HSA), at 1.4 GHz in 2005 showed that its nucleus has a sub-mJy outflow-like feature (E) extending over 15 mas. To probe the possibility of the feature E as a continuous jet with a base physically coupled with the accretion disc, we performed deep VLBI observations with the European VLBI Network (EVN) at 5 GHz, and analysed the archival data obtained with the HSA at 1.4 GHz in 2008, NSF's Karl G. Jansky Very Large Array (VLA) at 12-18 GHz and the Atacama Large Millimetre/submillimetre Array (ALMA) at 237 GHz. The feature E displays more diffuse structure in the HSA image of 2008 and has no compact substructure detected in the EVN image. Together with the optically thin steep spectrum and the extremely large angular offset (about 220 mas) from the accurate optical Gaia position, we explain the feature E as nuclear shocks likely formed by the IMBH's episodic ejection or wide-angle outflow. The VLA and ALMA observations find a sub-mJy pc-scale diffuse feature, possibly tracing a thermal free-free emission region near the IMBH. There is no detection of a jet base at the IMBH position in the VLBI maps. The non-detections give an extremely low luminosity of ≤4.7 × 1033 erg s-1 at 5 GHz and indicate no evidence of a disc-jet coupling on sub-pc scales.","galaxies: active; galaxies: dwarf; galaxies: individual: NGC 4395; radio continuum: galaxies","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:6e7f9017-3fb6-4779-adea-0d0c62fb3019","http://resolver.tudelft.nl/uuid:6e7f9017-3fb6-4779-adea-0d0c62fb3019","Revisiting Bundle Recommendation: Datasets, Tasks, Challenges and Opportunities for Intent-aware Product Bundling","Sun, Zhu (Institute of High Performance Computing); Yang, J. (TU Delft Web Information Systems); Feng, Kaidong (Yanshan University); Fang, Hui (Shanghai University of Finance and Economics); Qu, Xinghua (Bytedance AI Lab); Ong, Yew Soon (Nanyang Technological University)","","2022","Product bundling is a commonly-used marketing strategy in both offline retailers and online e-commerce systems. Current research on bundle recommendation is limited by: (1) noisy datasets, where bundles are defined by heuristics, e.g., products co-purchased in the same session; and (2) specific tasks, holding unrealistic assumptions, e.g., the availability of bundles for recommendation directly. In this paper, we propose to take a step back and consider the process of bundle recommendation from a holistic user experience perspective. We first construct high-quality bundle datasets with rich meta information, particularly bundle intents, through a carefully designed crowd-sourcing task. We then define a series of tasks that together, support all key steps in a typical bundle recommendation process, from bundle detection, completion, ranking, to explanation and auto-naming. Finally, we conduct extensive experiments and in-depth analysis that demonstrate the challenges of bundle recommendation, arising from the need for capturing complex relations among users, products, and bundles, as well as the research opportunities, especially in graph-based neural methods. To sum up, our study delivers new data sources, opens up new research directions, and provides useful guidance for product bundling in real e-commerce platforms. Our datasets are available at GitHub (\urlhttps: //github.com/BundleRec/bundle_recommendation ).","bundle completion; bundle detection; bundle ranking; crowd-sourcing; product bundling; user intents","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Web Information Systems","","",""
"uuid:8d69ae3a-53c0-4939-996c-be4d294b172c","http://resolver.tudelft.nl/uuid:8d69ae3a-53c0-4939-996c-be4d294b172c","Advancing integrated CO2 electrochemical conversion with amine-based CO2 capture: a review","Li, Mengran (TU Delft ChemE/Materials for Energy Conversion and Storage); Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Abdinejad, M. (TU Delft ChemE/Materials for Energy Conversion and Storage); Zhao, Chuan (University of New South Wales); Burdyny, T.E. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2022","Carbon dioxide (CO2) electrolysis is a promising route to utilise captured CO2 as a building block to produce valuable feedstocks and fuels such as carbon monoxide and ethylene. Very recently, CO2 electrolysis has been proposed as an alternative process to replace the amine recovery unit of the commercially available amine-based CO2 capture process. This process would replace the most energy-intensive unit operation in amine scrubbing while providing a route for CO2 conversion. The key enabler for such process integration is to develop an efficient integrated electrolyser that can convert CO2 and recover the amine simultaneously. Herein, this review provides an overview of the fundamentals and recent progress in advancing integrated CO2 conversion in amine-based capture media. This review first discusses the mechanisms for both CO2 absorption in the capture medium and electrochemical conversion of the absorbed CO2. We then summarise recent advances in improving the efficiency of integrated electrolysis via innovating electrodes, tailoring the local reaction environment, optimising operation conditions (e.g., temperatures and pressures), and modifying cell configurations. This review is concluded with future research directions for understanding and developing integrated CO2 electrolysers.","","en","review","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:0fe1f741-00cc-4cf1-a5b8-4842ccdd2599","http://resolver.tudelft.nl/uuid:0fe1f741-00cc-4cf1-a5b8-4842ccdd2599","A theoretical study of atmospheric pollutant NO2 on as-doped monolayer WS2 based on DFT method","Hou, Shuhan (Southern University of Science and Technology); Wang, Zhaokun (Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology; Harbin Institute of Technology); Jiang, Jing (Fudan University); Gao, Chenshan (Southern University of Science and Technology); Liu, Yufei (Chongqing University); Tang, Xiaosheng (Chongqing University of Posts and Telecommunications); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology)","","2022","For the relevant properties of pristine and doped (Si, P, Se, Te, As) monolayer WS2 before and after the adsorption of CO, CO2, N2, NO, NO2 and O2, density functional theory (DFT) calculations are made. Calculation results reveal that the monolayer WS2 doped with P and As atoms can be substrate materials for NO and NO2 gas sensors. However, after the subsequent CDD and ELF calculations, it is found that P-doped monolayer WS2 adsorbs NO and NO2 in a chemical way, while As-doped monolayer WS2 adsorbs NO and NO2 in a physical way. Also, the charge transfer between As-doped monolayer WS2 and NO is relatively small and not easily detected. Besides, As-doped monolayer WS2 system exhibits greater differences in optical properties (the imaginary part of reflectivity and dielectric function) before and after the adsorption of NO2 gas than before and after adsorption of NO gas. These differences in optical properties assist sensor devices in making gas adsorption-related judgments. Through the analysis of the recovery time, DOS and PDOS, As-doped monolayer WS2 is also verified to be a promising NO2 sensing material, whose recovery time is calculated to be as short as 0.169 ms at 300 K.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:d627a53b-df36-477a-9bb6-82088166ae48","http://resolver.tudelft.nl/uuid:d627a53b-df36-477a-9bb6-82088166ae48","Thermo-Economic Assessment on Insulation Conditions of the Buried Heating Pipeline for District Heating","Zhang, Lianying (Xi’an Jiaotong University); Zhang, Qingzeng (Xi’an Jiaotong University); Yang, Xiaohu (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy)","","2022","Insulation performance and buried depth of heating pipelines are the vital factors affecting the energy loss of directly buried heating pipelines. This study considers the thermo-economic assessment of insulation of directly buried heating pipelines for district heating. The optimal insulation thickness, energy-saving effect, and payback period of district heating pipelines with five nominal pipe diameters, four fuel types, four kinds of insulation materials, and four buried depths are calculated. A numerical code is developed based on Life Cycle Cost Analysis and is validated via comparing with results in the open literature. Three representative cities of Xi’an, Shenyang, and Harbin subjected to three different climatic zones in China are explored. The highest values of optimum insulation thickness are 176, 153, and 121 mm in Harbin, Shenyang, and Xi’an, respectively, which are reached using oil as fuel, rock wool as insulation material, nominal pipe diameter 500 mm, and buried depth 1 m. A sensitivity analysis is performed to indicate how much the optimum insulation thickness and payback period are sensitive to the changes of insulation, fuel, and buried depth. The results show that insulation and fuel have a greater influence on the optimum insulation and payback period than the buried depth.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-16","","Process and Energy","","","",""
"uuid:e03a0445-68f2-4bb1-8ab5-1a6a9d692480","http://resolver.tudelft.nl/uuid:e03a0445-68f2-4bb1-8ab5-1a6a9d692480","Design and assessments on a hybrid pin fin-metal foam structure towards enhancing melting heat transfer: An experimental study","Liu, Gang (Xi’an Jiaotong University); Du, Zhao (Xi’an Jiaotong University); Xiao, Tian (Xi’an Jiaotong University); Guo, Junfei (Xi’an Jiaotong University); Lu, Liu (Xi’an Jiaotong University); Yang, Xiaohu (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy)","","2022","Solar energy, as a kind of renewable energy, offers a large reserve to be harvested at a reasonably low cost for engineering applications. To decouple the temporal and spatial relevance of the continuous energy supply of solar energy, latent heat thermal energy storage can deal with this problem at different temperatures. Aiming to improve energy efficiency, a novel hybrid metal foam-pin fin structure is designed and assessed. Upon conducting measurements on a well-designed experimental bench, the phase change processes of paraffin that is filled in fins, metal foam, and a combination of both (hybrid structure) are evaluated. During the experiments, the transient melting interface is snapshotted and temperature development is documented under five different heat source temperatures of 61 °C, 63 °C, 65 °C, 68 °C, and 70 °C. In the foreground of the novel hybrid structure, each segment of the hybrid is also justified and discussed. Results indicate that the hybrid structure augments marked heat transfer. Compared to pure PCM, complete melting time decreases by 63.4% and simultaneously the temperature response rate increases by 143.9% as implementing the hybrid. Attempts to design hybrid structure find a solution to assess and operate thermal storage applications for solar engineering.","Experimental measurement; Fin-copper foam composite; Phase change heat storage; Solar energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-03","","Process and Energy","","","",""
"uuid:faee36bd-a712-4bb8-a70e-c2ba0d237632","http://resolver.tudelft.nl/uuid:faee36bd-a712-4bb8-a70e-c2ba0d237632","Composition regulation of composite materials in laser powder bed fusion additive manufacturing","Yao, Dengzhi (Northeastern University); Wang, Ju (Northeastern University); Cai, Yao (Northeastern University); Zhao, Tingting (Dalian University of Technology); An, Xizhong (Northeastern University); Zhang, Hao (Northeastern University); Fu, Haitao (Northeastern University); Yang, Xiaohong (Northeastern University); Zou, Qingchuan (Northeastern University); Wang, L. (TU Delft Resources & Recycling)","","2022","Understanding and controlling the composition segregation during powder spreading is of key importance in the additive manufacturing (AM) of composite materials. Under this circumstance, the segregation behavior of WC/316 L composite powders during spreading in laser powder bed fusion (LPBF) AM was numerically investigated by the discrete element method. The effects of process conditions (i.e., spreader velocity and geometry) and powder properties (i.e., size and shape of the WC powder) on the powder bed composition segregation and related characteristics were systematically analyzed. Corresponding mechanisms were identified from microscopic scale in terms of particle velocity, motion trajectory, mechanical behavior, and energy information. Finally, proper solutions in designing and constructing WC/316 L composite materials with desired gradient structures were proposed. The results show that the small blade velocity (V) will enhance the negative segregation, increase the average packing density ρ¯, and decrease uniformity ρvc in the WC/316 L composite powder bed. Compared with the blade, the roller can increase the negative segregation (Seroller = −0.027 < Seblade = −0.019) and the average packing density (ρ¯roller = 0.31>ρ¯blade = 0.20). When the WC/316 L size ratio increases from 25 μm/45 μm to 45 μm/45 μm, the negative segregation becomes weaker, and its value increases from −0.084 to −0.007. When the size ratio increases to 65 μm/45 μm, the powder behaves positive segregation with Semax = 0.017; in this case, the packing density is the lowest (0.14), and the uniformity is the worst (0.17). In comparison with spherical shape, polyhedral WC powder can reduce the negative segregation of the powder bed (Sesphere = −0.019 < Sepolyhedron = −0.008), while the WC shape has less effect on the packing density and uniformity. The density difference of the WC and 316 L powders leads to the difference in energy and force, resulting in different motion and segregation behaviors in the composite powder bed. For WC/316 L composite powder with a fixed composition, the condition of V = 0.025 m/s, WC/316 L size ratio = 25 μm/45 μm, roller spreader, and spherical WC can realize the proper composition gradient along the spreading direction in the composite powder bed.","LPBF additive manufacturing; Numerical simulation; Powder spreading; Segregation; WC/316L composite material","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Resources & Recycling","","",""
"uuid:2138444b-17b3-4c12-a581-12be8b3d4397","http://resolver.tudelft.nl/uuid:2138444b-17b3-4c12-a581-12be8b3d4397","An experimental study on the effects of friction modifiers on wheel–rail dynamic interactions with various angles of attack","Yang, Z. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Moraal, J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2022","By modifying friction to the desired level, the application of friction modifiers (FMs) has been considered as a promising emerging tool in the railway engineering for increasing braking/traction force in poor adhesion conditions and mitigating wheel/rail interface deterioration, energy consumption, vibration and noise. Understanding the effectiveness of FMs in wheel–rail dynamic interactions is crucial to their proper applications in practice, which has, however, not been well explained. This study experimentally investigates the effects of two types of top-of-rail FM, i.e. FM-A and FM-B, and their application dosages on wheel–rail dynamic interactions with a range of angles of attack (AoAs) using an innovative well-controlled V-track test rig. The tested FMs have been used to provide intermediate friction for wear and noise reduction. The effectiveness of the FMs is assessed in terms of the wheel–rail adhesion characteristics and friction rolling induced axle box acceleration (ABA). This study provides the following new insights into the study of FM: the applications of the tested FMs can both reduce the wheel–rail adhesion level and change the negative friction characteristic to positive; stick–slip can be generated in the V-Track and eliminated by FM-A but intensified by FM-B, depending on the dosage of the FMs applied; the negative friction characteristic is not a must for stick–slip; the increase in ABA with AoA is insignificant until stick–slip occurs and the ABA can thus be influenced by the applications of FM.","Adhesion; Angle of attack; Axle box acceleration; Friction modifier; V-track test rig; Wheel–rail dynamic interaction","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:af39307a-147e-4240-b106-5c4a1fe21f53","http://resolver.tudelft.nl/uuid:af39307a-147e-4240-b106-5c4a1fe21f53","Effects of (i)a-Si: H deposition temperature on high-efficiency silicon heterojunction solar cells","Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; University San Francisco de Quito); Smets, A.H.M. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Cao, L. (TU Delft Photovoltaic Materials and Devices); Yao, Z. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; TNO); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2022","Excellent surface passivation induced by (i)a-Si:H is critical to achieve high-efficiency silicon heterojunction (SHJ) solar cells. This is key for conventional single-junction cell applications but also for bottom cell application in tandem devices. In this study, we investigated the effects of (i)a-Si:H deposition temperature on passivation quality and SHJ solar cell performance. At the lower end of temperatures ranging from 140°C to 200°C, it was observed with Fourier-transform infrared spectroscopy (FTIR) that (i)a-Si:H films are less dense, thus hindering their surface passivation capabilities. However, with additional hydrogen plasma treatments (HPTs), those (i)a-Si:H layers deposited at lower temperatures exhibited significant improvements and better passivation qualities than their counterparts deposited at higher temperatures. On the other hand, even though we observed the highest VOCs for cells with (i)a-Si:H deposited at the lowest temperature (140°C), the related FFs are poorer as compared to their higher temperature counterparts. The optimum trade-off between VOC and FF for the SHJ cells was found with temperatures ranging from 160°C to 180°C, which delivered independently certified efficiencies of 23.71%. With a further improved p-layer that enables a FF of 83.3%, an efficiency of 24.18% was achieved. Thus, our study reveals two critical requirements for optimizing the (i)a-Si:H layers in high-efficiency SHJ solar cells: (i) excellent surface passivation quality to reduce losses induced by interface recombination and simultaneously (ii) less-defective (i)a-Si:H bulk to not disrupt the charge carrier collections.","FTIR; intrinsic amorphous silicon; passivation; silicon heterojunction solar cells","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:80ad5e99-66c6-4b1d-9dda-6df3d050750a","http://resolver.tudelft.nl/uuid:80ad5e99-66c6-4b1d-9dda-6df3d050750a","Dynamic Risk Assessment of Chemical Process Systems using System-Theoretic Accident Model and Process (STAMP) and Failure Propagation Model","Sun, H. (TU Delft Safety and Security Science; China University of Petroleum (East China)); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2022","Chemical process systems involve complex dynamic processes, and the state of the system often fluctuates during the production process. To ensure the continuation of production, these fluctuations are often ignored or processed online instead of shutting down the unit. However, the interdependence between components in the system is strong, and small fluctuations or faults will be propagated to downstream nodes in turn if the fluctuation is omitted or processed online. A large number of accident investigations prove that the system risk increments as the failure propagates. This may eventually cause the entire system to collapse, causing severe casualties, property losses, and environmental damage. However, little attention has been paid to this type of risk. To measure the dynamic risk profile considering the fluctuation of the production process, this paper proposes a new risk assessment model that integrates the system-theoretic accident model and process (STAMP) and the failure propagation model. Firstly, the STAMP is used to model and analyze the system safety of a process system. An approach is then developed to quantify the risk accumulation of the model based on the failure propagation model. The process of the Chevron Richmond refinery crude unit and its associated upstream process is used to demonstrate the application of the proposed approach.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:be9e87e0-3ef5-4bfa-b41b-9f2be38d6ccf","http://resolver.tudelft.nl/uuid:be9e87e0-3ef5-4bfa-b41b-9f2be38d6ccf","An Approach for Identification of Integrated Safety and Security Barriers in the Chemical Process Industries","Yuan, S. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Chen, C. (TU Delft Safety and Security Science)","","2022","Chemical process industries are threatened with accidental and intentional adverse events because of the storage and operation of large quantities of hazardous substances. Safety and security barriers play important roles in protecting the chemical plants from safety and security-related undesired events and mitigating the potentially catastrophic consequences. Aiming to identify major accident scenarios in terms of both safety and security and determine the corresponding safety and security barriers, a novel approach based on MIMAH (methodology for identifying major accident hazards) and historical data analysis is proposed. In this approach, the MIMAH is extended to identify accident scenarios related to safety, physical security, and cyber security by using a combination of bow-tie analysis and attack tree analysis. Then, data analysis is conducted to supplement the identified major accident scenarios before the critical safety and security barriers can be identified and illustrated based on an integrated bow-tie and attack tree model. This study helps to identify major hazards considering both safety and security perspectives and supports the integrated assessment and management of safety and security barriers in the chemical process industries.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:46c38efe-1426-4c3c-b873-6179f618789d","http://resolver.tudelft.nl/uuid:46c38efe-1426-4c3c-b873-6179f618789d","Earth pressure in narrow cohesive-fictional soils behind retaining walls rotated about the top: An analytical approach","Yang, Dayu (Southeast University); Lai, F. (TU Delft Geo-engineering; Southeast University); Liu, Songyu (Southeast University)","","2022","There is currently a lack of an available design approach to estimate the earth pressure in narrow backfills behind retaining walls rotated about the top (RT). The considerations of some significant factors, primarily load transfer mechanisms (soil arching effect and horizontal shear stress in soils), failure mechanisms (shape and number of slip surfaces) and soil cohesion are often neglected for brevity in routine design. Such simplifications may lead to significant deviations from reality. This paper first uses the finite element limit analysis (FELA) technique to identify the underlying failure mechanisms and load transfer mechanisms. The results observed in FELA models indicate that active rotation of walls about the top develops one curved slip surface, which can be approximated by the log-spiral function. Under the soil arching effect, the upper intermediate passive zone with major principal stress rotation trajectory and the lower active zone with minor one can be defined. The arched differential element method (ADEM) is then introduced to formulate the earth pressure calculation. The results from newly published tests, existing analytical approaches, and FELA are compared to validate the accuracy of the proposed approach in both purely-frictional and cohesive-frictional soils. Parametric studies are further conducted to thoroughly understand the earth pressure problems, considering the effects of sensitive design variables (e.g. aspect ratio, soil strength parameters, and wall-soil interface friction angle). The analytical approach presented here would be a great extension to the design guidelines for the retaining structures with narrow backfills.","Arched differential element method; Earth pressure; Finite element limit analysis; Narrow soil; Soil arching effect","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:1aff139d-d765-48fd-bb00-b978c9bda7b5","http://resolver.tudelft.nl/uuid:1aff139d-d765-48fd-bb00-b978c9bda7b5","A method for determining the constitutive model of the heat-affected zone using digital image correlation","Yan, R. (TU Delft Steel & Composite Structures); Xin, Haohui (Xi’an Jiaotong University); Yang, Fei (Chang'an University); El Bamby, H. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures); Mela, Kristo (Tampere University)","","2022","The heat-affected zone (HAZ) is an unmelted region of a welded joint that has changed in material properties because of high temperatures during the welding process. HAZ has a lower strength than the base (parent) material (BM) and the weld metal (WM). The lower material strength is more significant if BM is made of high strength steel and the undermatching filler metal is used. Therefore, the constitutive model of HAZ is essential for predicting the mechanical behaviour of the welded joint. In this paper, a method for determining the true stress–strain relationship of HAZ is proposed. The effect of the transverse constraint on the longitudinal deformation of HAZ imposed by BM and/or WM is eliminated by a linear modification factor correlating to the true strain. Standard tensile coupon tests were used to obtain the constitutive model of HAZ using digital image correlation (DIC). The modification factor proposed for reducing the true stress is calibrated based on finite element analysis (FEA). The modified constitutive model of HAZ is validated against the experimental results obtained by DIC. The validated HAZ material property can be used in advanced numerical simulation of welded joints.","Constitutive model; Digital image correlation; Heat-affected zone; High strength steels; Welded coupon test","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:afbc4e54-32ca-4762-8447-a8e8648d39c7","http://resolver.tudelft.nl/uuid:afbc4e54-32ca-4762-8447-a8e8648d39c7","How can Explainability Methods be Used to Support Bug Identification in Computer Vision Models?","Balayn, A.M.A. (TU Delft Web Information Systems); Rikalo, N. (TU Delft Human-Centred Artificial Intelligence); Lofi, C. (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Web Information Systems; TU Delft Human-Centred Artificial Intelligence)","","2022","Deep learning models for image classification suffer from dangerous issues often discovered after deployment. The process of identifying bugs that cause these issues remains limited and understudied. Especially, explainability methods are often presented as obvious tools for bug identification. Yet, the current practice lacks an understanding of what kind of explanations can best support the different steps of the bug identification process, and how practitioners could interact with those explanations. Through a formative study and an iterative co-creation process, we build an interactive design probe providing various potentially relevant explainability functionalities, integrated into interfaces that allow for flexible workflows. Using the probe, we perform 18 user-studies with a diverse set of machine learning practitioners. Two-thirds of the practitioners engage in successful bug identification. They use multiple types of explanations, e.g. visual and textual ones, through non-standardized sequences of interactions including queries and exploration. Our results highlight the need for interactive, guiding, interfaces with diverse explanations, shedding light on future research directions.","computer vision; machine learning explainability; machine learning model debugging; user interface","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:596119fc-d8ff-4124-9e7f-2b257653fed2","http://resolver.tudelft.nl/uuid:596119fc-d8ff-4124-9e7f-2b257653fed2","Bond Wire Damage Detection Method on Discrete MOSFETs Based on Two-Port Network Measurement","Yun, Minghui (Guilin University of Electronic Technology); Cai, Miao (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Yang, Yiren (Guilin University of Electronic Technology); Xiao, Jing (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2022","Bond wire damage is one of the most common failure modes of metal-oxide semiconductor field-effect transistor (MOSFET) power devices in wire-welded packaging. This paper proposes a novel bond wire damage detection approach based on two-port network measurement by identifying the MOSFET source parasitic inductance (LS). Numerical calculation shows that the number of bond wire liftoffs will change the LS, which can be used as an effective bond wire damage precursor. Considering a power MOSFET as a two-port network, LS is accurately extracted from frequency domain impedance (Z−parameter) using a vector network analyzer under zero biasing conditions. Bond wire cutoff experiments are employed to validate the proposed approach for bond wire damage detection. The result shows that LS increases with the rising severity of bond wire faults, and even the slight fault shows a high sensitivity, which can be effectively used to quantify the number of bond wire liftoffs of discrete MOSFETs. Meanwhile, the source parasitic resistance (RS) extracted from the proposed two-port network measurement can be used for the bond wire damage detection of high switching frequency silicon carbide MOSFETs. This approach offers an effective quality screening technology for discrete MOSFETs without power on treatment.","bond wire fault; MOSFET; source parasitic inductance; two-port network","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:8cd62ffe-9781-4288-adda-93d9beb8dff7","http://resolver.tudelft.nl/uuid:8cd62ffe-9781-4288-adda-93d9beb8dff7","Safety barrier performance assessment by integrating computational fluid dynamics and evacuation modeling for toxic gas leakage scenarios","Yuan, S. (TU Delft Safety and Security Science); Cai, Jitao (China University of Mining and Technology (Beijing)); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Chen, Chao (Student TU Delft); Wu, Jiansong (China University of Mining and Technology (Beijing))","","2022","Toxic gas leakage represents a type of major process accident scenario threatening human life. Technical and non-technical safety barriers are employed to prevent toxic gas leakage accidents or mitigate the possible catastrophic consequences. Evacuation must be executed in severe toxic gas release scenarios. The performance assessment of technical safety barriers and evacuations in these accident scenarios, although very important, has never been investigated in previous studies. This paper proposes an approach integrating event tree analysis (ETA), computational fluid dynamics (CFD) simulation, and evacuation modeling (EM), for risk assessment of toxic gas leakage accidents in chemical plants. In the proposed approach, the spatiotemporal distribution of toxic gas is predicted by CFD simulations. A dynamic evacuation is determined by a cellular automaton (CA)-based model. Synergistic interventions resulting from technical safety barriers and evacuations are considered in the risk assessment. Considering safety barrier failures in the event tree analysis, individual fatality risks due to toxic gas leakage scenarios are calculated. For illustrative purposes, the proposed method is applied to a case of ammonia leakage. The results show that worse scenarios would be ignored without considering the failure probabilities of technical safety barriers, which can cause underestimated individual fatality risks. Timely gas detection & alarm has the potential to expedite the starting time of evacuations and thus may shorten the time that evacuees stay in the toxicity area to reduce individual fatality risks.","Chemical industry; Computational fluid dynamics; Evacuation modeling; Risk assessment; Safety barriers; Toxic gas leakage","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:4d05228f-4cf5-42a5-9319-f4c6fdbe4a2c","http://resolver.tudelft.nl/uuid:4d05228f-4cf5-42a5-9319-f4c6fdbe4a2c","Characterizing the thermal effects of vegetation on urban surface temperature","Yang, Jinxin (Guangzhou University); Shi, Qian (Sun Yat-sen University); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Xie, Yanhua (University of Wisconsin-Madison); Wu, Zhifeng (Guangzhou University); Xu, Yong (Guangzhou University); Abbas, Sawaid (University of Punjab)","","2022","Vegetation is important for urban heat mitigation. The cooling intensity of vegetation is affected by background climate and urban design. How to evaluate vegetation cooling efficiency under different climate conditions is still an issue open to discussion. In this study, a normalized indicator of urban vegetation cooling efficiency (NVCE) is proposed as a metric of urban vegetation cooling efficiency applicable and comparable under different climate and urban conditions. When surfaces are only covered by vegetation, the cooling effects should be highest than other pixels at the local climate scale. The difference of surface temperature between the pure vegetation surfaces and surfaces without vegetations (Tr, b − Tr, v) is the range of the vegetation cooling intensity at the same local climate conditions. Difference between radiometric surface temperature of a mixed pixel and the vegetation temperature within the mixed pixel (Ti, r − Ti, v) is excess temperature of pixel i. The ratio of (Ti, r − Ti, v) to (Tr, b − Tr, v) can indicate how much percent of existed excess temperature after vegetation cooling effects for pixel i under such local climate condition. Thus, the NVCE is defined as (Ti, r − Ti, v)/(Tr, b − Tr, v). Based on the high spatial resolution data, the Ti, v and Ti, rwithin each 30 m × 30 m grid are derived to calculate the NVCE and the relationships between NVCE and fractional vegetation cover were studied under different conditions. Results showed that NVCE can reduce the differences caused by background climate in the assessment of vegetation cooling efficiency, i.e. making vegetation cooling efficiency under different climate conditions comparable. The NVCE is also sensitive to the vegetation fraction. When vegetation fraction is smaller than 0.2, the mean value of NVCE is about 0.5 and no obvious change. This means that the vegetation has no obvious cooling effects when vegetation fraction is smaller than 0.2. When the vegetation fraction is higher than 0.2, NVCE decreases linearly with increasing vegetation fraction. When the vegetation fraction is higher than 0.9, NVCE tends to 0. This indicates that 0.2 for vegetation fraction is the threshold of vegetation cooling effects. This study can provide information for evaluating the vegetation cooling efficiency under different climate and geometric conditions. This study also can provide useful information for urban green infrastructure design and planning, e.g. the vegetation fraction should be higher than 0.2 for urban cooling and the vegetation cooling efficiency can reach maximum when SVF is about 0.5 to 0.6.","Remote sensing; Urban heat island; Vegetation cooling effects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Optical and Laser Remote Sensing","","",""
"uuid:6e229bbf-af89-4ae7-817b-b7989676c94b","http://resolver.tudelft.nl/uuid:6e229bbf-af89-4ae7-817b-b7989676c94b","To Actively Initialize Active Learning","Yang, Yazhou (National University of Defense Technology); Loog, M. (TU Delft Pattern Recognition and Bioinformatics; University of Copenhagen)","","2022","Though much effort has been spent on designing new active learning algorithms, little attention has been paid to the initialization problem of active learning, i.e., how to find a set of labeled samples which contains at least one instance per category. This work identifies the initialization of active learning as a separate and novel research problem, reviews existing methods that can be adapted to be used for this task and, in addition, proposes a new active initialization criterion: the Nearest Neighbor Criterion. Experiments on 16 benchmark datasets verify that the novel method often finds an initialization set with fewer queried samples than other methods do.","active initialization; active learning; minimum nearest neighbor distance; nearest neighbor criterion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:affa8c04-0de5-4952-a64e-713dd1f9bd02","http://resolver.tudelft.nl/uuid:affa8c04-0de5-4952-a64e-713dd1f9bd02","Analysis and Visualization of Research on Resilient Cities and Communities Based on VOSviewer","Huang, Yu Jie (Fuzhou University); Cheng, Shuo (Fuzhou University); Yang, Fu Qiang (Fuzhou University); Chen, C. (TU Delft Safety and Security Science; Southwest Petroleum University)","","2022","To objectively grasp the current situation and development trend of resilient cities or communities (RC) research. The articles in Web of Science (WOS) Core Collection databases from 1995 to 2022 were used as a sample, and bibliometrics was used to statistically analyze the year of publication and number of articles, highly cited documents and keyword hotness in this field. VOSviewer was used to explore the knowledge graph of RC research documents. The results show that: the development process is roughly divided into 3 periods: no attention (1995–2004), starting (2005–2014), and rapid growth (2015–2021). The journal “Sustainability” and “International journal of disaster risk reduction” are the key journals publishing RC research. Serre and Shaw are the most productive authors. The USA is still the leading country in this field of RC. Colorado State Univ, Texas a&m Univ, and Delft Univ Technol are the main research institutions. The keyword analysis indicates the hot topics in different periods. Moreover, several limitations and some recommendations for future research on RC are also given based on this.","bibliometrics; knowledge graph; resilient cities; resilient communities; VOSviewer","en","review","","","","","","","","","","","Safety and Security Science","","",""
"uuid:50f60eb2-788f-400c-91c5-265867382113","http://resolver.tudelft.nl/uuid:50f60eb2-788f-400c-91c5-265867382113","Dynamic resilience assessment and emergency strategy optimization of natural gas compartments in utility tunnels","Bai, Y. (TU Delft Safety and Security Science; China University of Mining and Technology (Beijing)); Wu, Jiansong (China University of Mining and Technology (Beijing)); Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science); Cai, Jitao (China University of Mining and Technology (Beijing))","","2022","As a kind of critical infrastructure of energy transportation, so-called ‘utility tunnels’ have been developed around the world. Hosting a natural gas pipeline inside the natural gas compartment of a utility tunnel facilitates its maintenance but also brings potential explosion concerns due to the confined space. Although some work focuses on the risk analysis of the natural gas pipeline inside utility tunnels, a resilience assessment is needed for dynamically modeling leakage with interacting safety barriers. In this paper, a resilience assessment model of the natural gas compartment of utility tunnels is elaborated based on numerical simulation considering interacting barrier modeling, including sensors, a ventilation system, and the possibility of emergency shutdown. Based on the calculated (natural gas compartment) resilience for casualty and economic loss, ventilation strategies and sensor layouts can be recommended and optimization is possible. Meanwhile, the delay effect of safety barriers is investigated in this work, and the unequal interval layouts of sensors are explored and proven to be effective without any further cost. The proposed resilience assessment model can be important to further improve the safety management of utility tunnels and other confined spaces where hazardous gases are transported.","Emergency strategy optimization; Natural gas; Resilience assessment; Safety barrier; Utility tunnel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-08","","","Safety and Security Science","","",""
"uuid:5c6cc7b8-7e7f-46e6-84c9-f227098affcd","http://resolver.tudelft.nl/uuid:5c6cc7b8-7e7f-46e6-84c9-f227098affcd","The Role of Emergency Response in Risk Management of Cascading Events Caused by Natech Accidents","Ricci, Federica (University of Bologna); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Cozzani, Valerio (University of Bologna)","","2022","Accidents triggered by natural events are becoming an increasing issue for policy-makers and industrial practitioners in the last decades. These events are called Natech (natural hazards triggering technological disasters), and their study is critical due to an increase in both the frequency and the magnitude of the consequences. Considering the possible failure of safety systems and the several equipment items potentially involved in the accidents due to the occurrence of multiple simultaneous failures and cascading events, the emergency response results to be a crucial aspect in the risk assessment and management of Natech events. Despite this, the emergency response can also be affected by the occurrence of natural events. The purpose of the present work is to understand the effects of natural events on emergency response. To reach this goal, some relevant past accidents are studied. The result of the analysis allows highlighting the effects of the natural events on the emergency response. Moreover, lessons learned on the critical elements of the emergency response are derived when considering Natech accidents and related cascading events.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:058effb1-f074-44f9-830e-d71a6f745a39","http://resolver.tudelft.nl/uuid:058effb1-f074-44f9-830e-d71a6f745a39","A Quantitative Framework for Resilience Assessment of Complex Engineered Systems under Uncertainty","Geng, S. (TU Delft Safety and Security Science; Nanjing University of Aeronautics and Astronautics); Yang, M. (TU Delft Safety and Security Science); Liu, Sifeng (Nanjing University of Aeronautics and Astronautics)","","2022","Complex engineered systems with various components and dynamic behaviors are connerstones to develop resilient cities and societies. These systems are robust but also vulnerable to adverse events and inevitably suffer performance degradation. An immediate question would be, “how can we manage and improve the resilience of a complex engineered system?”. This study proposes a quantitative framework to assess the resilience of complex engineered systems. The proposed framework focuses on figuring out the impact of functionality implementation on the capability of complex engineered systems to anticipate, absorb, adapt to, and restore from disruptive events. It is composed of three parts, including functionality analysis, performance evaluation, and resilience measure. Firstly, various functions are analyzed at the system level, where a functional tree is employed to investigate the relationship between functions. Then the actual performance of the system is evaluated while uncertain implementation of system functionality is considered. Finally, system resilience is measured from the perspectives of anticipation, absorption, adaptation, and restoration. Anticipation, absorption, adaptation, and restoration are critical capacities of complex engineered systems to ensure normal operation in the event of disruptions. The proposed framework provides a general approach for resilience assessment of complex engineered systems, which figures out functionality implementation and system performance under uncertainty.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:c8488725-08b4-4575-ad43-3cae3498a98b","http://resolver.tudelft.nl/uuid:c8488725-08b4-4575-ad43-3cae3498a98b","The Necessity of Integrating Safety and Security Barriers in the Chemical Process Industries and its Potential Framework","Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","","2022","Safety and security barriers are implemented in various forms to protect chemical plants from undesired accidents and mitigate the disastrous consequences. However, the necessity and rationality of integrating safety and security barriers need to be investigated, and the difficulty in integrated management of safety and security barriers is still a challenge. In this study, the research status of integrated safety and security barrier management are reviewed before the necessity, and theoretical ground for integrating security and safety barriers are elaborated. Then, a unified classification of safety and security barriers is proposed to benefit the collection of performance indicator-related data and further support the performance assessment of safety and security barriers. Finally, a framework for integrated management of safety and security barriers is suggested to support the integrated management of safety and security barriers.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:1dd5ea51-a12d-4672-a172-c727f94c80e1","http://resolver.tudelft.nl/uuid:1dd5ea51-a12d-4672-a172-c727f94c80e1","Template-free preparation of porous Co microfibers from spent lithium-ion batteries as a promising microwave absorber","Wu, Xiao Min (Anhui University of Technology); Xie, Fei (Anhui University of Technology); Yao, Yong Lin (Anhui University of Technology); Sun, Yue (Anhui University of Technology); Hua, Zhong Sheng (Anhui University of Technology); Zhao, Zhuo (Anhui University of Technology); Yang, Y. (TU Delft Team Yongxiang Yang)","","2022","In order to take full advantage of the secondary resources, in this paper, we reported a template-free process to prepare porous Co microfibers from spent lithium-ion batteries (LIBs). First, the waste LiCoO2 powders were leached by oxalic acid at a suitable temperature, and rod-like cobalt oxalate powders were obtained. Second, the porous Co microfibers were prepared by using the cobalt oxalate as precursors through a thermal decomposition at 420 °C under nitrogen atmosphere. The prepared Co microfibers possess diameters of 1–2 μm, and each microfiber consists of small particles with size of 100–200 nm. The Co microfibers (25 wt%)/paraffin composite exhibited excellent microwave absorption performance. When the sample thickness is 4.5 mm, the reflection losses reach − 36.14 and − 38.20 dB at 4.16 and 17.60 GHz, respectively, and the effective bandwidth reaches up to 5.52 GHz. This indicates that the Co microfibers can be used as a promising microwave absorber. Therefore, this paper demonstrates a novel process to make a high value-added product through recycling from the spent lithium-ion batteries. In addition, it is advantageous to eliminate the hazard of spent lithium-ion batteries and electromagnetic radiation to environment and human health. Graphical abstract: [Figure not available: see fulltext.].","Co microfibers; Microwave absorption; Oxalic acid leaching; Recycling; Spent lithium-ion batteries (LIBs)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Yongxiang Yang","","",""
"uuid:04921136-2bd0-4889-82f1-6c04d891f049","http://resolver.tudelft.nl/uuid:04921136-2bd0-4889-82f1-6c04d891f049","Experimental and Theoretical Studies of the Site Occupancy and Luminescence of Ce3+in LiSr4(BO3)3for Potential X-ray Detecting Applications","Yang, Yunlin (Sun Yat-sen University); Lou, Bibo (University of Science and Technology of China); Ou, Yiyi (Sun Yat-sen University); Su, Fang (Sun Yat-sen University); Ma, Chong Geng (Chongqing University of Posts and Telecommunications); Duan, Chang Kui (University of Science and Technology of China); Dorenbos, P. (TU Delft RST/Luminescence Materials); Liang, Hongbin (Sun Yat-sen University)","","2022","Ce3+-doped LiSr4(BO3)3 phosphors have been prepared by a high-temperature solid-state reaction method, and structural refinement of the host compound has been performed. The excitation and emission spectra in the vacuum ultraviolet-ultraviolet-visible range at cryogenic temperatures reveal that Ce3+ ions preferentially occupy eight-coordinated Sr2+ sites in LiSr4(BO3)3. Such experimental attribution is well corroborated by the calculated 4f-5d transition energies and defect formation energies of Ce3+ ions at two distinct Sr2+ sites in the first-principles framework. In addition, the doping concentration-dependent luminescence and the temperature-dependent luminescence are systematically investigated by luminescence intensity and lifetime measurements, respectively. This shows that concentration quenching does not occur in the investigated doping range, but inhomogeneous broadening exists in the concentrated samples. With the estimated thermal quenching activation energy, the discussions on the thermal quenching mechanisms suggest that the thermal-ionization process of the 5d electron is a dominant channel for thermal quenching of Ce3+ luminescence, despite the fact that thermally activated concentration quenching cannot be excluded for the highly doped samples. Finally, the X-ray excited luminescence measurement demonstrates the promising applications of the phosphors in X-ray detection.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-11-05","","","RST/Luminescence Materials","","",""
"uuid:ae82ccb1-1a78-47c3-a2d0-2c86a9f15046","http://resolver.tudelft.nl/uuid:ae82ccb1-1a78-47c3-a2d0-2c86a9f15046","Dreaming the wrong dream: An exploratory case study of a policy change toward sustainable urban development in a medium-sized Chinese city","Song, Y. (TU Delft Organisation & Governance; Erasmus Universiteit Rotterdam); de Jong, W.M. (TU Delft Organisation & Governance; Erasmus Universiteit Rotterdam; Fudan University); Stead, D. (TU Delft Spatial Planning and Strategy; Aalto University); Yang, Wei (Northeastern University); Wang, B. (TU Delft Urban Development Management)","","2022","Sustainable urban transformation has become a mantra for Chinese cities. While most studies focus on sustainable urbanization in megacities, the far larger number of medium-sized cities is understudied, although the latter face more severe urban problems. This article develops a framework for examining policy change in sustainable urban development initiated at the central level and reactions, tensions, and implementation issues emerging at the local level. It focuses on an in-depth case study of the challenges in realizing a transition from quantity-oriented pro-growth policies to sustainable quality-oriented ones in a medium-sized Chinese city. We find that there is evidence of changes in long-term values and goals toward sustainability at the levels of both central and local government, but also great inconsistency between goals on paper and policy implementation in practice. Sustainability in urban development is much harder to realize as local officials see urban development as a major means to maintain local economic growth, which can be separated from other issues in ecological preservation. The article concludes with a roadmap for future studies focusing on medium-sized cities, especially indicating how narratives on sustainable urban development hide from view financial and environmental risks generated by the actual implementation of the dominant aggressive urban pro-growth model.","infrastructure development; medium-sized cities; Policy paradigm; sustainable urban transition; urban growth","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:454cc846-2e3b-46a6-97b3-c09d0a2605f6","http://resolver.tudelft.nl/uuid:454cc846-2e3b-46a6-97b3-c09d0a2605f6","Modification on the chloride binding capacity of alkali activated slag by applying calcium and aluminium containing phases","Yang, Tingli (Wuhan University of Technology); Fan, Xiaochun (Wuhan University of Technology); Gao, Xu (Wuhan University of Technology); Gu, Qian (Wuhan University of Technology); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Shui, Zhonghe (Wuhan University of Technology)","","2022","In this study, a modified alkali activated slag system is designed by introducing additional calcium and aluminum containing phases in the binder, aiming at promote the formation of Friedel's salt (Fs) within the reaction products and therefore achieve a better chloride binding capacity. The effect of calcium and alumina contents on phase composition, chloride binding behavior, pore structure and mechanical properties of alkali activated slag are investigated. The results show that the addition of Ca(OH)2 and nano γ-Al2O3 (CH and NA) results in the residual CH within the reaction products, but when in present of chloride, all residual CH transformed into Fs or other phases. The chloride binding capacity of the binder is obviously enhanced by CH and NA addition, owing to the increased ability to chemically bind chloride through Fs formation. There also exists an optimum Ca/Al ratio of the starting material regarding the chloride binding capacity. Adding CH coarsen the pore structure of the hydrated matrix by increase the amount of pores with the sizes larger than 200 nm, while NA exhibits a contrary effect. The chloride chemically binding behavior, namely the formation of Fs, slightly reduced the porosity of the binder. The strength results illustrate the negative effect of CH on compressive strength, and NA presents a positive effect, the modified binding system is able to provide a comparable mechanical property when compared with the reference alkali activated mixture.","Alkali-activated materials; Chloride binding capacity; Friedel's salt; Hydration products; Thermodynamic modelling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:6501e113-6e58-4e62-908a-d4abdde56576","http://resolver.tudelft.nl/uuid:6501e113-6e58-4e62-908a-d4abdde56576","Achieving 23.83% conversion efficiency in silicon heterojunction solar cell with ultra-thin MoOx hole collector layer via tailoring (i)a-Si:H/MoOx interface","Cao, L. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; Universidad San Francisco de Quito); Alcañiz Moya, A. (TU Delft Photovoltaic Materials and Devices); Yan, J. (TU Delft Photovoltaic Materials and Devices); Tichelaar, F.D. (TU Delft QN/Afdelingsbureau; Kavli institute of nanoscience Delft); Özkol, E. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Yao, Z. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2022","Thin films of transition metal oxides such as molybdenum oxide (MoOx) are attractive for application in silicon heterojunction solar cells for their potential to yield large short-circuit current density. However, full control of electrical properties of thin MoOx layers must be mastered to obtain an efficient hole collector. Here, we show that the key to control the MoOx layer quality is the interface between the MoOx and the hydrogenated intrinsic amorphous silicon passivation layer underneath. By means of ab initio modelling, we demonstrate a dipole at such interface and study its minimization in terms of work function variation to enable high performance hole transport. We apply this knowledge to experimentally tailor the oxygen content in MoOx by plasma treatments (PTs). PTs act as a barrier to oxygen diffusion/reaction and result in optimal electrical properties of the MoOx hole collector. With this approach, we can thin down the MoOx thickness to 1.7 nm and demonstrate short-circuit current density well above 40 mA/cm2 and a champion device exhibiting 23.83% conversion efficiency.","dipole; fundamental study; industrial approach; numerical modelling; plasma treatment; silicon heterojunction solar cells; tailoring MoO; ultra-thin MoO","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:3c920283-2db6-4569-b46d-6d9b06c1849c","http://resolver.tudelft.nl/uuid:3c920283-2db6-4569-b46d-6d9b06c1849c","Strain-engineered S-HfSe2 monolayer as a promising gas sensor for detecting NH3: A first-principles study","Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Li, Junfeng (Southern University of Science and Technology); Shao, Ziyuan (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials); Gao, Chenshan (Southern University of Science and Technology); Cui, Hongyuan (Chongqing University); Tang, Xiaosheng (Chongqing University of Posts and Telecommunications); Liu, Yufei (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ye, H. (Southern University of Science and Technology; Chongqing University)","","2022","The development of high-performance gas sensing materials is one of the development trends of new gas sensor technology. In this work, in order to predict the gas-sensitive characteristics of HfSe2 and its potential as a gas-sensitive material, the interactions of nonmetallic element (O, S, Te) doped HfSe2 monolayer and small molecules (NH3 and O3) have been studied by first-principles based on density functional theory. The results show that the adsorption of NH3 and O3 on pristine HfSe2 monolayer is weak, and the adsorption strength can be significantly improved by doping O. And O-HfSe2 is chemical adsorption to O3 with large adsorption energy and transfer charge, and the band gap of O[sbnd]HfSe2 disappears after adsorbing O3, indicating that the adsorption of O3 has a significant effect on the electrical properties of the substrate. These mean that O3 is difficult to recover from the substrate surface, thus preventing O-HfSe2 from developing into a sensitive material for O3 detection. After doping S, the charge transfers and adsorption strength to NH3 are the largest, but it is still small. So, the strain effect on the S-HfSe2/NH3 adsorption system is also studied. The results indicate that the adsorption strength of S-HfSe2 to NH3 can be enhanced by stretching S-HfSe2 along x-axis. After absorbing NH3, the conductivity of x-axis strained S-HfSe2 changes, which suggest its sensitivity. And the predicted recovery times of S-HfSe2 surfaces with εx=4%, 6% and 8% are 0.027 s, 1.153 s and 102.467 s, respectively, which suggests that the S-HfSe2 monolayer has the potential to be developed as a sensitive material for NH3 detection. These adsorption mechanism studies can also serve as a theoretical foundation for the experimental design of gas-sensing materials.","Adsorption; Doped HfSe; First-principles; NH; Strain engineering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Electronic Components, Technology and Materials","","",""
"uuid:18d14df0-7839-4d9a-9514-c60a9773f709","http://resolver.tudelft.nl/uuid:18d14df0-7839-4d9a-9514-c60a9773f709","Identifying psychiatric manifestations in schizophrenia and depression from audio-visual behavioural indicators through a machine-learning approach","Xu, Shihao (Nanyang Technological University); Yang, Zixu (Institute of Mental Health); Chakraborty, Debsubhra (Nanyang Technological University); Chua, Yi Han Victoria (Nanyang Technological University); Tolomeo, Serenella (National University of Singapore); Winkler, Stefan (National University of Singapore); Birnbaum, Michel (Mindsigns Health); Tan, Bhing Leet (Institute of Mental Health); Lee, Jimmy (Institute of Mental Health; Lee Kong Chian School of Medicine); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2022","Schizophrenia (SCZ) and depression (MDD) are two chronic mental disorders that seriously affect the quality of life of millions of people worldwide. We aim to develop machine-learning methods with objective linguistic, speech, facial, and motor behavioral cues to reliably predict the severity of psychopathology or cognitive function, and distinguish diagnosis groups. We collected and analyzed the speech, facial expressions, and body movement recordings of 228 participants (103 SCZ, 50 MDD, and 75 healthy controls) from two separate studies. We created an ensemble machine-learning pipeline and achieved a balanced accuracy of 75.3% for classifying the total score of negative symptoms, 75.6% for the composite score of cognitive deficits, and 73.6% for the total score of general psychiatric symptoms in the mixed sample containing all three diagnostic groups. The proposed system is also able to differentiate between MDD and SCZ with a balanced accuracy of 84.7% and differentiate patients with SCZ or MDD from healthy controls with a balanced accuracy of 82.3%. These results suggest that machine-learning models leveraging audio-visual characteristics can help diagnose, assess, and monitor patients with schizophrenia and depression.","","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:8ff13081-1ed2-4fef-a783-9692f9b23867","http://resolver.tudelft.nl/uuid:8ff13081-1ed2-4fef-a783-9692f9b23867","Dynamic Dissociation Behaviors of sII Hydrates in Liquid Water by Heating: A Molecular Dynamics Simulation Approach","Yang, Peihan (China University of Geosciences, Wuhan); Guo, Dongdong (Anhui University of Science & Technology); Fang, B. (TU Delft Engineering Thermodynamics; China University of Geosciences, Wuhan)","","2022","An understanding of the dynamic behavior of subtle hydrate dissociation in the liquid water phase is fundamental for gas production from marine hydrate reservoirs. Molecular dynamics simulations are performed in this study to investigate the dissociation kinetics of pure propane and binary propane + methane sII hydrates in a liquid water environment. The results show that faster hydrate dissociation rates are observed at higher initial temperatures. The hydrate phase dissociates from the cluster surface to the inside in a layer-by-layer manner under the simulation temperature conditions, which is similar to the behavior of sI hydrates and is independent of the hydrate crystal type. Compared to the binary sII hydrate, the pure sII hydrate dissociates more easily under the same initial temperature conditions, which can be attributed to the stabilizing effect of guest molecules in the hydrate cages. The empty cages collapse in one step, in contrast to the two-step pathway induced by the guest-host interaction. In addition, a hydrocarbon phase forms in the binary hydrate dissociation system instead of nanobubbles. These results can provide molecular-level insights into the dynamic mechanism of hydrate dissociation and theoretical guidance for gas recovery by thermal injection from marine hydrate reservoirs.","","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:ca3ee354-795a-45e6-8e5b-d5a552adfc9d","http://resolver.tudelft.nl/uuid:ca3ee354-795a-45e6-8e5b-d5a552adfc9d","Classifiable Limiting Mass Change Detection in a Graphene Resonator Using Applied Machine Learning","Seo, Miri (Ewha Womans University); Yang, Eunseo (Ewha Womans University); Shin, D. (Kavli institute of nanoscience Delft); Je, Yugyeong (Ewha Womans University); Joo, C. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft; Ewha Womans University); Lee, Kookjin (Intel Labs); Lee, Sang Wook (Ewha Womans University)","","2022","Nanomechanical resonator devices are widely used as ultrasensitive mass detectors for fundamental studies and practical applications. The resonance frequency of the resonators shifts when a mass is loaded, which is used to estimate the mass. However, the shift signal is often blurred by the thermal noise, which interferes with accurate mass detection. Here, we demonstrate the reduction of the noise interference in mass detection in suspended graphene-based nanomechanical resonators, by using applied machine learning. Featurization is divided into image and sequential datasets, and those datasets are trained and classified using 2D and 1D convolutional neural networks (CNNs). The 2D CNN learning-based classification shows a performance with f1-score over 99% when the resonance frequency shift is more than 2.5% of the amplitude of the thermal noise range.","applied machine learning; deep learning; graphene; mass detection; resonator","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BN/Chirlmin Joo Lab","","",""
"uuid:537e380c-9bf5-42dc-af55-b4f0c80c9c1a","http://resolver.tudelft.nl/uuid:537e380c-9bf5-42dc-af55-b4f0c80c9c1a","Targeted Influence with Community and Gender-Aware Seeding","Styczen, MacIej (Swiss Federal Institute of Technology); Chen, Bing Jyue (Academia Sinica, Institute of Information Science); Teng, Ya Wen (Academia Sinica, Institute of Information Science); Pignolet, Yvonne Anne (The Dfinity Foundation Switzerland); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Yang, De Nian (Academia Sinica, Institute of Information Science)","","2022","When spreading information over social networks, seeding algorithms selecting users to start the dissemination play a crucial role. The majority of existing seeding algorithms focus solely on maximizing the total number of reached nodes, overlooking the issue of group fairness, in particular, gender imbalance. To tackle the challenge of maximizing information spread on certain target groups, e.g., females, we introduce the concept of the community and gender-aware potential of users. We first show that the network's community structure is closely related to the gender distribution. Then, we propose an algorithm that leverages the information about community structure and its gender potential to iteratively modify a seed set such that the information spread on the target group meets the target ratio. Finally, we validate the algorithm by performing experiments on synthetic and real-world datasets. Our results show that the proposed seeding algorithm achieves not only the target ratio but also the highest information spread, compared to the state-of-the-art gender-aware seeding algorithm.","fairness; influence maximization; social networks","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:554bbfe8-02be-4527-96d3-e70b979d1b98","http://resolver.tudelft.nl/uuid:554bbfe8-02be-4527-96d3-e70b979d1b98","SMC complexes can traverse physical roadblocks bigger than their ring size","Pradhan, B. (TU Delft BN/Cees Dekker Lab; TU Delft BN/Bionanoscience; Kavli institute of nanoscience Delft); Barth, R. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Kim, E. (TU Delft BN/Cees Dekker Lab; TU Delft BN/Bionanoscience; Kavli institute of nanoscience Delft); van Laar, T. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft); Yang, W.W.W. (TU Delft BN/Cees Dekker Lab; TU Delft BN/Bionanoscience; Kavli institute of nanoscience Delft); Ryu, J.K. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); van der Torre, J. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Peters, Jan Michael (Research Institute of Molecular Pathology, Vienna); Dekker, C. (TU Delft BN/Cees Dekker Lab; TU Delft BN/Bionanoscience; Kavli institute of nanoscience Delft)","","2022","Ring-shaped structural maintenance of chromosomes (SMC) complexes like condensin and cohesin extrude loops of DNA. It remains, however, unclear how they can extrude DNA loops in chromatin that is bound with proteins. Here, we use in vitro single-molecule visualization to show that nucleosomes, RNA polymerase, and dCas9 pose virtually no barrier to loop extrusion by yeast condensin. We find that even DNA-bound nanoparticles as large as 200 nm, much bigger than the SMC ring size, also translocate into DNA loops during extrusion by condensin and cohesin. This even occurs for a single-chain version of cohesin in which the ring-forming subunits are covalently linked and cannot open to entrap DNA. The data show that SMC-driven loop extrusion has surprisingly little difficulty in accommodating large roadblocks into the loop. The findings also show that the extruded DNA does not pass through the SMC ring (pseudo)topologically, hence pointing to a nontopological mechanism for DNA loop extrusion.","cohesin; condensin; CP: Molecular biology; dCas9; DNA loop extrusion; mechanism; nucleosomes; RNA polymerase; roadblocks; SMC; topology","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Cees Dekker Lab","","",""
"uuid:3a4fed23-27fe-43a1-945b-5aa3c1819b52","http://resolver.tudelft.nl/uuid:3a4fed23-27fe-43a1-945b-5aa3c1819b52","Failure quantitative assessment approach to MOSFET power device by detecting parasitic parameters","Yun, Minghui (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); He, Siliang (Guilin University of Electronic Technology; Guangdong Academy of Sciences); Cai, Miao (Guilin University of Electronic Technology); Xiao, Jing (Guilin University of Electronic Technology); Zhang, Kailin (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2022","With the emerging wide bandgap (WBG) semiconductor development, the increasing power density and efficiency of power electronic converters may cause more switching oscillation, electromagnetic interference noise, and additional power loss, further increasing the probability of device failure. Therefore, determining and quantifying the failure of a metal-oxide-semiconductor-field-effect transistor (MOSFET), which assembled using WBG semiconductor in some applications, is crucial to improving the reliability of a power converter. This study proposes a novel failure quantitative assessment approach based on MOSFET parasitic parameters. According to the two-port network theory, MOSFET is equivalent to some second-order RLC circuits composed of independent inductances, capacitances, and resistances in series. Then, the frequency-domain impedance associated with the physical failure of MOSFET is identified through frequency domain reflectometry. Accelerated aging and bond wires cut-off experiments are employed to obtain various quality states of the MOSFET device. Result shows that the MOSFET quality level and its number of bond wire lift-offs can be quantified effectively. Drain-to-source on-resistance (RDS(on)) that normally represents the MOSFET quality shows a positive linear function relationship on drain-to-source parasitic resistance (RD + RS) during the quality degradation proceeding. This finding matches with the correlation established between RDS (on) and RD + RS in theory. Meanwhile, source parasitic inductance (LS) increases with the severity of bond wires faults, and even the slight fault shows a high sensitivity. The proposed approach would be an effective quality screening technology for power semiconductor devices without power on treatment, which can effectively avoid the impact of junction temperature and test conditions (current and voltage) on test results, and does not need to design additional test circuits. The test frequency range we used in this approach was 10–300 MHz, which to some extent is suitable for providing an on-line quality monitoring technology for high-frequency WBG power devices manufacturing.","bond wire fault; detection; MOSFETs; parasitic parameters; quality level; quantitative assessment","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:b36101d9-816f-4eed-a79d-d47113b7f1b6","http://resolver.tudelft.nl/uuid:b36101d9-816f-4eed-a79d-d47113b7f1b6","VUV-UV-vis photoluminescence, X-ray radioluminescence and energy transfer dynamics of Ce3+ and Eu2+ in Sr2MgSi2O7","Wen, Donghao (Sun Yat-sen University); Li, Quanfeng (Sun Yat-sen University); Ou, Yiyi (Sun Yat-sen University); Yang, Yunlin (Sun Yat-sen University); Qi, Zeming (University of Science and Technology of China); Dorenbos, P. (TU Delft RST/Luminescence Materials); Liang, Hongbin (Sun Yat-sen University)","","2022","Ce3+ and Eu2+ doped and Ce3+-Eu2+ co-doped Sr2MgSi2O7 phosphors are prepared via a high-temperature solid-state reaction technique. The synchrotron radiation vacuum ultraviolet-ultraviolet (VUV-UV) excitation and ultraviolet-visible (UV-vis) emission spectra of diluted Ce3+ and Eu2+ doped Sr2MgSi2O7 samples are measured at cryogenic temperatures. The electron-vibrational interaction (EVI) between Ce3+ and its surroundings is analyzed. The dependencies of the 4f-5d transitions of Ce3+ on the structure of the host compounds Sr2MgSi2O7, Ba2MgSi2O7 and BaMg2Si2O7 are discussed in detail. Then the thermal quenching channel is proposed based on the measurements of temperature dependent luminescence intensities and decay times of Ce3+ and Eu2+ in Sr2MgSi2O7, and the Ce3+ → Eu2+ energy transfer mechanism is understood by three luminescence dynamic models. In addition, Sr2MgSi2O7:Ce3+/Eu2+ samples are evaluated for the possibilities of X-ray detection applications using X-ray excited luminescence (XEL) spectroscopy, and it was found that they are not suitable.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Luminescence Materials","","",""
"uuid:40630756-2ac0-45b9-a718-7f06da7c2959","http://resolver.tudelft.nl/uuid:40630756-2ac0-45b9-a718-7f06da7c2959","Structural and spectral properties of Galactic plane variable radio sources","Yang, Jun (Joint Institute for VLBI ERIC; Chalmers University of Technology); Chen, Yongjun (Chinese Academy of Sciences); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Paragi, Zsolt (Joint Institute for VLBI ERIC); Yang, Aiyuan (Max-Planck-Institute for Radio Astronomy); Yang, Xiaolong (Chinese Academy of Sciences); Shen, Zhiqiang (Chinese Academy of Sciences)","","2022","In the time domain, the radio sky in particular along the Galactic plane direction may vary significantly because of various energetic activities associated with stars, stellar, and supermassive black holes. Multi-epoch Very Large Array surveys of the Galactic plane at 5.0 GHz enabled the finding of a catalogue of 39 variable radio sources in the flux density range 1-70 mJy. To probe their radio structures and spectra, we observed 17 sources with the very-long-baseline interferometric (VLBI) imaging technique and collected additional multifrequency data from the literature. We detected all of the sources at 5 GHz with the Westerbork Synthesis Radio Telescope, but only G23.6644-0.0372 with the European VLBI Network (EVN). Together with its decadal variability and multifrequency radio spectrum, we interpret it as an extragalactic peaked-spectrum source with a size of ≲10 pc. The remaining sources were resolved out by the long baselines of the EVN because of either strong scatter broadening at the Galactic latitude < 1° or intrinsically very extended structures on centi-arcsec scales. According to their spectral and structural properties, we find that the sample has a diverse nature. We notice two young H ii regions and spot a radio star and a candidate planetary nebula. The rest of the sources are very likely associated with radio active galactic nuclei (AGNs). Two of them also display arcsec-scale faint jet activity. The sample study indicates that AGNs are common place even among variable radio sources in the Galactic plane.","Galaxy: general; H ii regions; radio continuum: galaxies; radio continuum: stars; scattering","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:7ce486f0-1c37-4fea-830e-72287af21b6e","http://resolver.tudelft.nl/uuid:7ce486f0-1c37-4fea-830e-72287af21b6e","Fabrication of a Nanosize Hematite Pigment via Waste Ferrous Sulfate Recycling Using Sulfur Reduction","Wang, Ye (Sichuan University); Ren, Genkuan (Yibin University); Jiang, Bing (Sichuan University); Yang, Lin (Sichuan University); Zhang, Zhiye (Sichuan University); Wang, Xinlong (Sichuan University); Zhong, Yanjun (Sichuan University); Chen, Z. (TU Delft (OLD) MSE-3); Yang, Xiushan (Sichuan University); Morita, Kazuki (University of Tokyo); Ma, Wenhui (Kunming University of Science and Technology)","","2022","Nanometer-sized hematite was prepared via a two-step process. In the first step, FeSO4·7H2O was oxidized to Fe2(SO4)3 by oxygen in an acidic solution. In the second step, the Fe2(SO4)3 was reduced to nanosize hematite with sulfur vapor at 550 °C. The hematite has good thermal stability up to 500 °C and good colloid stability in water-based paint. Its properties satisfy the requirements of the international standard ISO 1248-A-I-1-a for an iron oxide red pigment. Graphical abstract: [Figure not available: see fulltext.]","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","(OLD) MSE-3","","",""
"uuid:e6bae9dd-7695-43f6-b5af-ccc887ad7980","http://resolver.tudelft.nl/uuid:e6bae9dd-7695-43f6-b5af-ccc887ad7980","Special issue: Process safety in times of a pandemic","Cozzani, Valerio (University of Bologna); Yang, M. (TU Delft Safety and Security Science)","","2022","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-29","","","Safety and Security Science","","",""
"uuid:3377a8ec-35fa-4ccb-aafd-b057bf6341a4","http://resolver.tudelft.nl/uuid:3377a8ec-35fa-4ccb-aafd-b057bf6341a4","Reliability-based calibration of design code formulas: Application to shear resistance formulas for reinforced concrete members without shear reinforcement","Slobbe, Arthur (TNO); Rózsás, Árpád (TNO); Yang, Y. (TU Delft Concrete Structures)","","2022","This paper presents a reliability-based calibration method for design code formulas. The method is demonstrated on the shear design formulas in Eurocode 2 and fib Model Code 2010 (MC2010). We found that the partial factor (Formula presented.) in the current Eurocode 2 is about 20% lower than the optimal value and, thus, provides an insufficient safety margin. The obtained optimal partial factor (Formula presented.) in the (modified) Eurocode 2 and MC2010 formulas is 1.53 and 1.36, respectively. The difference stems from higher accuracy and, hence, lower uncertainty of the MC2010 model in predicting experimental results. Hence, on average, the MC2010 formula leads to about 13% larger design resistances compared to Eurocode 2 given that the target reliability for both design formulas is the same. To stimulate and facilitate future structural code development and derivation of partial factors, we make the used computer code freely available.","design; model uncertainty; partial factor; reinforced concrete; reliability-based calibration; shear formula","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Concrete Structures","","",""
"uuid:4b120209-4f84-4a81-a53d-c377ea7dbefe","http://resolver.tudelft.nl/uuid:4b120209-4f84-4a81-a53d-c377ea7dbefe","A closed-form shear resistance model for regions of prestressed beams without flexural cracks","Roosen, M.A. (TU Delft Concrete Structures; Rijkswaterstaat); Yang, Y. (TU Delft Concrete Structures); van der Veen, C. (TU Delft Concrete Structures); Schaafsma, Dick G. (Rijkswaterstaat); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2022","When the shear resistance of prestressed beams with stirrups is determined with the current Eurocode, no distinction is made between regions with and without flexural cracks. This while it may be expected that a region without flexural cracks will have a higher shear resistance. This is due to the lower longitudinal strains and the narrow crack widths, resulting in a higher contribution of aggregate interlock. Also, the Eurocode does not take into account that in regions without flexural cracks, a significant part of the shear force is transferred through the uncracked flanges. This article proposes therefore a shear resistance model, based on Modified Compression Field Theory (MCFT), that does consider the low longitudinal strains and shear transfer through the uncracked flanges. From a comparison it was found that the proposed model can determine shear resistance as accurately as the most comprehensive level III approach of the Model Code 2010. However, the proposed model was found to be much easier to use in engineering practice as no iterations are necessary.","existing structures; prestressed concrete; regions without flexural cracks; shear resistance; web-shear failure","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:25e2c4da-9110-42ef-9e41-b744a971ee57","http://resolver.tudelft.nl/uuid:25e2c4da-9110-42ef-9e41-b744a971ee57","Simplicial Trend Filtering (Invited Paper)","Yang, Maosheng (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing)","Matthews, Michael B. (editor)","2022","Reconstructing simplicial signals, e.g., signals defined on nodes, edges, triangles, etc., of a network, from (partial) noisy observation is of interest in water/traffic flow estimation or currency exchange markets. Typically, this concerns solving a regularised problem w.r.t. the l2 norm of the divergence or the curl of the signal, i.e., the netflows at nodes and in triangles. Realworld simplicial signals are intrinsically divergence- or curl-free, which makes l2 regularizers inapplicable. To overcome this, we develop a simplicial trend filter (STF) by regularising the total divergence and the curl via their l1 norm. By tuning two scalars, the STF can reduce independently the divergence and curl much more than smooth filtering, leading to a better reconstructed signal. The SFT is a convex problem and can be solved by fast iterative algorithms. We apply the SFT to interpolation and denoising tasks in forex and music/artist transition recordings and show its superior performance to alternatives.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public. Funding Information: Emails: m.yang-2, e.isufi-1@tudelft.nl. This work is supported by the TU Delft AI Labs Programme.","","2023-09-07","","","Multimedia Computing","","",""
"uuid:663135aa-2fef-43fc-9e17-54e69d144218","http://resolver.tudelft.nl/uuid:663135aa-2fef-43fc-9e17-54e69d144218","Safety-constrained reinforcement learning with a distributional safety critic","Yang, Q. (TU Delft Algorithmics); Simão, T. D. (TU Delft Algorithmics; Radboud Universiteit Nijmegen); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Spaan, M.T.J. (TU Delft Algorithmics)","","2022","Safety is critical to broadening the real-world use of reinforcement learning. Modeling the safety aspects using a safety-cost signal separate from the reward and bounding the expected safety-cost is becoming standard practice, since it avoids the problem of finding a good balance between safety and performance. However, it can be risky to set constraints only on the expectation neglecting the tail of the distribution, which might have prohibitively large values. In this paper, we propose a method called Worst-Case Soft Actor Critic for safe RL that approximates the distribution of accumulated safety-costs to achieve risk control. More specifically, a certain level of conditional Value-at-Risk from the distribution is regarded as a safety constraint, which guides the change of adaptive safety weights to achieve a trade-off between reward and safety. As a result, we can compute policies whose worst-case performance satisfies the constraints. We investigate two ways to estimate the safety-cost distribution, namely a Gaussian approximation and a quantile regression algorithm. On the one hand, the Gaussian approximation is simple and easy to implement, but may underestimate the safety cost, on the other hand, the quantile regression leads to a more conservative behavior. The empirical analysis shows that the quantile regression method achieves excellent results in complex safety-constrained environments, showing good risk control.","","en","journal article","","","","","","","","","","","Algorithmics","","",""
"uuid:ac7a0134-5b81-4019-baaf-351427397d3d","http://resolver.tudelft.nl/uuid:ac7a0134-5b81-4019-baaf-351427397d3d","Training and Transferring Safe Policies in Reinforcement Learning","Yang, Q. (TU Delft Algorithmics); Simão, T. D. (TU Delft Algorithmics); Jansen, Nils (Radboud Universiteit Nijmegen); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Spaan, M.T.J. (TU Delft Algorithmics)","Cruz, Hayes (editor); da Silva, Santos (editor)","2022","Safety is critical to broadening the a lication of reinforcement learning (RL). Often, RL agents are trained in a controlled environment, such as a laboratory, before being de loyed in the real world. However, the target reward might be unknown rior to de loyment. Reward-free RL addresses this roblem by training an agent without the reward to ada t quickly once the reward is revealed.
We consider the constrained reward-free setting, where an agent (the guide) learns to ex lore safely without the reward signal. This agent is trained in a controlled environment, which allows unsafe interactions and still rovides the safety signal. After the target task is revealed, safety violations are not allowed anymore. Thus, the guide is leveraged to com ose a safe sam ling olicy. Drawing from transfer learning, we also regularize a target olicy (the student)
towards the guide while the student is unreliable and gradually eliminate the influence from the guide as training rogresses. The em irical analysis shows that this method can achieve safe transfer learning and hel s the student solve the target task faster.
1 mapping. In addition, we showed the possibility of generating a dynamic series of baseline images with exactly the same shape, strictly registered and perfectly “frozen"". Our proposed DisQ methodology readily extends to other types of cardiac qMRI such as T2 mapping and perfusion.","Motion correction; Quantitative magnetic resonance imaging; T mapping; Unsupervised disentangled representation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:dcd9355c-acbc-4279-9b64-558f1ec668e7","http://resolver.tudelft.nl/uuid:dcd9355c-acbc-4279-9b64-558f1ec668e7","Dynamic Assessment of VCE-Induced Domino Effects","Chen, C. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","","2022","Vapor cloud explosion (VCE) accidents such as the Jaipur explosion in 2005 manifest that VCEs may lead to unpredicted overpressures, resulting in catastrophic domino effects. Many attempts have been made to assess VCEs and the subsequent domino effects in the process and chemical industry, whereas little attention has been paid to the spatial–temporal evolution of VCEs. Thus, this chapter provides a dynamic methodology based on the discrete dynamic event tree to assess the likelihood of VCEs and possible subsequent domino effects. The developed methodology includes six steps: identification and characterization of loss of containment scenarios, analysis of vapor cloud dispersion, identification and characterization of ignition sources, explosion frequency assessment, overpressure calculation, and escalation assessment. Given a release scenario, by applying the developed methodology, we can obtain the probability of VCEs, the likelihood of domino effects, and the damage probabilities of installations exposed to overpressure.","","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-30","","","Safety and Security Science","","",""
"uuid:938b8ec5-13ab-4f90-801b-529cc8608d66","http://resolver.tudelft.nl/uuid:938b8ec5-13ab-4f90-801b-529cc8608d66","Efficient Bayesian Uncertainty Estimation for nnU-Net","Zhao, Y. (TU Delft ImPhys/Medical Imaging); Yang, C. (TU Delft ImPhys/Medical Imaging); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering); Tao, Q. (TU Delft ImPhys/Medical Imaging)","Wang, Linwei (editor); Dou, Qi (editor); Fletcher, P. Thomas (editor); Speidel, Stefanie (editor); Li, Shuo (editor)","2022","The self-configuring nnU-Net has achieved leading performance in a large range of medical image segmentation challenges. It is widely considered as the model of choice and a strong baseline for medical image segmentation. However, despite its extraordinary performance, nnU-Net does not supply a measure of uncertainty to indicate its possible failure. This can be problematic for large-scale image segmentation applications, where data are heterogeneous and nnU-Net may fail without notice. In this work, we introduce a novel method to estimate nnU-Net uncertainty for medical image segmentation. We propose a highly effective scheme for posterior sampling of weight space for Bayesian uncertainty estimation. Different from previous baseline methods such as Monte Carlo Dropout and mean-field Bayesian Neural Networks, our proposed method does not require a variational architecture and keeps the original nnU-Net architecture intact, thereby preserving its excellent performance and ease of use. Additionally, we boost the segmentation performance over the original nnU-Net via marginalizing multi-modal posterior models. We applied our method on the public ACDC and M &M datasets of cardiac MRI and demonstrated improved uncertainty estimation over a range of baseline methods. The proposed method further strengthens nnU-Net for medical image segmentation in terms of both segmentation accuracy and quality control.","nnU-Net; Stochastic gradient descent; Uncertainty estimation; Variational inference","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:510e4f10-34c4-466a-bb1f-c161a52d87c6","http://resolver.tudelft.nl/uuid:510e4f10-34c4-466a-bb1f-c161a52d87c6","Markov Modulated Process to Model Human Mobility","Chang, Brian (Student TU Delft); Yang, Liufei (Student TU Delft); Sensi, M. (TU Delft Network Architectures and Services); Achterberg, M.A. (TU Delft Network Architectures and Services); Wang, F. (TU Delft Network Architectures and Services); Rinaldi, M. (TU Delft Transport and Planning); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services)","Benito, Rosa Maria (editor); Cherifi, Chantal (editor); Cherifi, Hocine (editor); Moro, Esteban (editor); Rocha, Luis M. (editor); Sales-Pardo, Marta (editor)","2022","We introduce a Markov Modulated Process (MMP) to describe human mobility. We represent the mobility process as a time-varying graph, where a link specifies a connection between two nodes (humans) at any discrete time step. Each state of the Markov chain encodes a certain modification to the original graph. We show that our MMP model successfully captures the main features of a random mobility simulator, in which nodes moves in a square region. We apply our MMP model to human mobility, measured in a library.","Human mobility; Markov chains; Markov modulated process; Modeling; Time-varying networks","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-01","","","Network Architectures and Services","","",""
"uuid:1866962d-4162-405f-a161-122bb9bd8900","http://resolver.tudelft.nl/uuid:1866962d-4162-405f-a161-122bb9bd8900","A Finite Element Thermomechanical Analysis of Polygonal Wear","He, C. (TU Delft Railway Engineering); Yang, Z. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Naeimi, M. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","Orlova, Anna (editor); Cole, David (editor)","2022","Polygonal wear is a common type of damage on the railway wheel tread, which could induce wheel-rail impacts and further components failure. This study presents a finite element (FE) thermomechanical model to investigate the causes of wheel polygonal wear. The FE model is able to cope with three possible causes of polygonal wear: thermal effect, initial defects, and structural dynamics. To analyse the influences of the three causes on wheel-rail contact stress and wear depth, different material properties (i.e., elastic, elasto-plastic, thermo-elasto-plastic with thermal softening), and wheel profiles (i.e., round and polygonal) were used in the FE model. The simulation indicates that a high temperature up to 264.20 ℃ could be induced by full-slip wheel-rail rolling contact when the polygonal profile is used. The thermal effect, similar to that induced by tread brake, may then have a significant influence on wheel-rail contact stress and wear depth. In addition, the involvement of initial defects, i.e., polygonal profile, causes wheel-rail impact contact and remarkably increases the contact stress and wear. By reliably considering all the three possible causes, the proposed FE model is believed promising for further explaining the generation mechanisms of wheel polygonal wear.","Finite element model; Initial defects; Polygonal wear; Thermal effect","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Railway Engineering","","",""
"uuid:a713910e-89a9-4711-a4a3-ce967921bdea","http://resolver.tudelft.nl/uuid:a713910e-89a9-4711-a4a3-ce967921bdea","Conclusions and Future Research","Chen, C. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","","2022","This book introduces three domino effect models that can be used for risk assessment of both intentional domino effects and unintentional domino effects. Based on these risk assessment models, three chapters on domino effect management are provided to prevent and mitigate domino effects triggered by unintentional events or intentional attacks.","","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-30","","","Safety and Security Science","","",""
"uuid:93f6bdbb-ec28-4e61-896f-b387e0498e09","http://resolver.tudelft.nl/uuid:93f6bdbb-ec28-4e61-896f-b387e0498e09","Dynamic Risk Assessment of Fire-Induced Domino Effects","Chen, C. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","","2022","Fires are the most common scenarios in domino effect accidents, responsible for most of the domino effects that occurred in the process and chemical industry. The escalation induced by fire is delayed since the build-up of heat radiation needs time. As a result, a fire-induced domino effect is a spatial–temporal evolution process of fires. To address the dynamic characteristics, a Domino Evolution Graph (DEG) model based on dynamic graphs is developed in this chapter. The DEG model considers synergistic effects, parallel effects, and superimposed effects and overcomes the limitations of “probit models” in the second and higher-level propagations. Compared with past risk assessment methods for domino effects, the DEG model can rapidly deliver the evolution graphs (paths), the evolution time, the likelihood of domino effects, and the damage probability of installations. Therefore, the DEG model can be applied to domino risk assessment at the chemical cluster level and support the allocation of safety and security resources for preventing and mitigating fire-induced domino effects.","","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-30","","","Safety and Security Science","","",""
"uuid:6fba8aca-d34d-4a33-b1f6-a796097d0c7d","http://resolver.tudelft.nl/uuid:6fba8aca-d34d-4a33-b1f6-a796097d0c7d","EMC oxidation under high temperature aging","Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); Gromala, Przemyslaw Jakub (Robert Bosch GmbH); Prisacaru, Alexandru (Robert Bosch GmbH); Kabakchiev, Alexander (Robert Bosch GmbH); Yang, Yu Hsiang (University of Maryland); Han, Bongtae (University of Maryland)","van Driel, Willem Dirk (editor); Mehr, Maryam Yazdan (editor)","2022","Epoxy molding compound (EMC) is widely used for encapsulating automotive electronics. Among all of the components of an electronic package, EMC is most exposed to the atmosphere, and thus undergoes aging. During high-temperature operation, EMC is oxidized, which alters its mechanical properties, and thus can affect the reliability of electronic components. This chapter focuses on four key aspects of EMC oxidation – (1) the growth of EMC oxidation layer, (2) the mechanical properties of oxidized EMC, (3) the effect of oxidized EMC on thermomechanical behavior of a molded package, and (4) the effect of EMC oxidation on solder joint reliability. This study utilizes various experimental characterization techniques as well as finite element simulation-based analysis.","Epoxy molding compound (EMC); thermal aging; oxidation; fluorescence microscopy; activation energy; dynamic mechanical analysis (DMA); digital image correlation (DIC); elastic modulus; coefficient of thermal expansion (CTE); glass transition temperature; moiré interferometry; finite element simulation; thermal fatigue; solder joint reliability","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-09","","","Electronic Components, Technology and Materials","","",""
"uuid:f80cf919-592f-458d-a5d2-82c153f43d65","http://resolver.tudelft.nl/uuid:f80cf919-592f-458d-a5d2-82c153f43d65","Bespoke Simulator for Human Activity Classification with Bistatic Radar","Yang, Kai (University of Glasgow; University of Electronic Science and Technology of China); Abbasi, Qammer H. (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (Observatoire de Paris); Le Kernec, Julien (University of Glasgow; University of Electronic Science and Technology of China)","Ur Rehman, Masood (editor); Zoha, Ahmed (editor)","2022","Radar is now widely used in human activity classification because of its contactless sensing capabilities, robustness to light conditions and privacy preservation compared to plain optical images. It has great value in elderly care, monitoring accidental falls and abnormal behaviours. Monostatic radar suffers from degradation in performance with varying aspect angles with respect to the target. Bistatic radar may offer a solution to this problem but finding the right geometry can be quite resource-intensive. We propose a bespoke simulation framework to test the radar geometry for human activity recognition. First, the analysis focuses on the monostatic radar model based on the Doppler effect in radar. We analyse the spectrogram of different motions by Short-time Fourier analysis (STFT), and then the classification data set was built for feature extraction and classification. The results show that the monostatic radar system has the highest accuracy, up to 98.17%. So, a bistatic radar model with separate transmitter and receiver was established in the experiment, and results show that bistatic radar with specific geometry configuration (CB2.5) not only has higher classification accuracy than monostatic radar in each aspect angle but also can recognise the object in a wider angle range. After training and fusing the data of all angles, it is found that the accuracy, sensitivity, and specificities of CB2.5 have 2.2%, 7.7% and 1.5% improvement compared with monostatic radar.","Human activity recognition; Micro-Doppler; Radar; Radar signature simulation","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-10-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:7f005088-05a5-41cc-b326-a1564350678c","http://resolver.tudelft.nl/uuid:7f005088-05a5-41cc-b326-a1564350678c","Preface of Integrating Safety and Security Management to Protect Chemical Industrial Areas from Domino Effects","Chen, C. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","","2022","","","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-30","","","Safety and Security Science","","",""
"uuid:828dd13b-2d57-4e96-b770-41b4b5c715ea","http://resolver.tudelft.nl/uuid:828dd13b-2d57-4e96-b770-41b4b5c715ea","Federated Learning With Heterogeneity-Aware Probabilistic Synchronous Parallel on Edge","Zhao, Jianxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Yang, Yongkai (Beijing Engineering Research Center of Civil Aviation Big Data; Key Laboratory of Intelligent Passenger Service of Civil Aviation-CAAC); Catterall, Benjamin (University of Cambridge); Liu, Chi Harold (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems); Mortier, Richard (University of Cambridge); Crowcroft, Jon (University of Cambridge); Wang, Liang (University of Cambridge)","","2022","With the massive amount of data generated from mobile devices and the increase of computing power of edge devices, the paradigm of Federated Learning has attracted great momentum. In federated learning, distributed and heterogeneous nodes collaborate to learn model parameters. However, while providing benefits such as privacy by design and reduced latency, the heterogeneous network present challenges to the synchronisation methods, or barrier control methods, used in training, regarding system progress and model convergence etc. The design of these barrier mechanisms is critical for the performance and scalability of federated learning systems. We propose a new barrier control technique called Probabilistic Synchronous Parallel (PSP). In contrast to existing mechanisms, it introduces a sampling primitive that composes with existing barrier control mechanisms to produce a family of mechanisms with improved convergence speed and scalability. Our proposal is supported with a convergence analysis of PSP-based SGD algorithm. In practice, we also propose heuristic techniques that further improve the efficiency of PSP. We evaluate the performance of proposed methods using the federated learning specific FEMNSIT dataset. The evaluation results show that PSP can effectively achieve good balance between system efficiency and model accuracy, mitigating the challenge of heterogeneity in federated learning.","Federated learning; edge computing; distributed computing; barrier control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Data-Intensive Systems","","",""
"uuid:ea16ce19-e4bc-482c-a6a8-debaa300d150","http://resolver.tudelft.nl/uuid:ea16ce19-e4bc-482c-a6a8-debaa300d150","Prior-Guided Deep Interference Mitigation for FMCW Radars","Wang, J. (TU Delft Microwave Sensing, Signals & Systems); Li, Runlong (Beijing University of Posts and Telecommunications); He, Yuan (Beijing University of Posts and Telecommunications); Yang, Yang (Tianjin University)","","2022","In this article, the interference mitigation (IM) problem is tackled as a regression problem. A prior-guided deep learning (DL)-based IM approach is proposed for frequency-modulated continuous-wave (FMCW) radars. Considering the complex-valued nature of radar signals, a complex-valued convolutional neural network, which is different from the conventional real-valued counterparts, is utilized as an architecture for implementation. Meanwhile, as the desired beat signals of FMCW radars and interferences exhibit different distributions in the time–frequency domain, this prior feature is exploited as a regularization term to avoid overfitting of the learned representation. The effectiveness and accuracy of our proposed complex-valued fully convolutional network (CV-FCN)-based IM approach are verified and analyzed through both simulated and measured radar signals. Compared with the real-valued counterparts, the CV-FCN shows a better IM performance with a potential of half memory reduction in low signal-to-interference-plus-noise ratio (SINR) scenarios. The average SINR of interfered signals has been improved from −9.13 to 10.46 dB. Moreover, the CV-FCN trained using only simulated data can be directly utilized for IM in various measured radar signals and shows a superior generalization capability. Furthermore, by incorporating the prior feature, the CV-FCN trained on only 1/8 of the full data achieves comparable performance as that on the full dataset in low SINR scenarios, and the training procedure converges faster.","Complex-valued convolutional neural network (CNN); deep learning (DL); frequency-modulated continuous wave (FMCW); interference mitigation (IM); prior feature","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:a1e1d01b-9081-4c46-b5d4-5fe5e181ee8e","http://resolver.tudelft.nl/uuid:a1e1d01b-9081-4c46-b5d4-5fe5e181ee8e","A food chain-based ecological risk assessment model for oil spills in the Arctic environment","Fahd, Faisal (Memorial University of Newfoundland); Yang, M. (TU Delft Safety and Security Science); Khan, Faisal (Memorial University of Newfoundland); Veitch, Brian (Memorial University of Newfoundland)","","2021","This paper investigates the linkage between the acute impacts on apex marine mammals with polar cod responses to an oil spill. It proposes a Bayesian network-based model to link these direct and indirect effects on the apex marine mammals. The model predicts a recruitment collapse (for the scenarios considered), causing a higher risk of mortality of polar bears, beluga whales, and Narwhals in the Arctic region. Whales (adult and calves) were predicted to be at higher risk when the spill was under thick ice, while adult polar bears were at higher risk when the spill occurred on thin ice. A spill over the thick ice caused the least risk to whale and adult polar bears. The spill's timing and location have a significant impact on the animals in the Arctic region due to its unique sea ice dynamics, simple food web, and short periods of food abundance.","Arctic; Arctic risk; Ecological risk assessment; Environmental risk; Food web; Oil spill","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:a5bf942e-6433-4398-9541-dd452e5d84f3","http://resolver.tudelft.nl/uuid:a5bf942e-6433-4398-9541-dd452e5d84f3","Lightweight aggregate concrete with foamed binder matrix for sustainable applications","Yang, Sha (TU Darmstadt, Germany); Ballschmiede, Conrad (TU Darmstadt, Germany); Gilka-Bötzow, Albrecht (TU Darmstadt, Germany); Koenders, Eduardus A.B. (TU Darmstadt, Germany)","","2021","Low density load bearing structural concrete members with improved thermal insulation properties, composed of lightweight aggregate (LWA) and foam concrete is investigated, which expands the application range of lightweight structural materials. This study aims to characterize a lightweight aggregate concrete with a foamed cementitious matrix (LWAFC) through its physical properties (dry bulk density, thermal conductivity, compressive strength, and strain) and possible relationships especially linked to the densities. In the experimental studies, samples are prepared by two kinds of LWA with mineral foam with two differing cement mass contents. The resulting densities of the LWAFC in a dry state after 28 days ranged from 427 kg/m³ to 525 kg/m³. The results show that proper mineral foam content introduced into the LWA can greatly improve the thermal conductivity of the mixture. The compressive strength of the LWA used and the bond strength of the aggregate/cement foam in the interface region are important factors influencing the compressive strength of the LWAFC.","Compressive Strength; Foam Concrete; Lightweight Aggregate; Insulation","en","conference paper","","","","","","","","","","","","","",""
"uuid:237a0af4-c001-4e66-99b5-6924b8862388","http://resolver.tudelft.nl/uuid:237a0af4-c001-4e66-99b5-6924b8862388","Effects of the pre-absorption of superabsorbent polymers and the water-cement ratio of paste on the adsorption-desorption of superabsorbent polymers: an NMR study","Yang, Jingbin (Tongji University, China); Sun, Zhenping (Tongji University, China); Yanliang, Ji (Tongji University, China); Li, Biyun (Tongji University, China); Pang, Min (Tongji University, China)","","2021","As an internal curing material, superabsorbent polymers (SAPs) can effectively reduce the risk of volume shrinkage of cement-based materials. By preparing the cement paste mixed with SAPs, the amount of pre-absorbed water and the water-cement ratio of paste on the water absorption-release behavior in the fresh cement paste were studied by using 1H low-field NMR. The results showed that when the water-cement ratio was 0.30, the SAPs without pre-absorption could absorb the mixing water from the fresh paste and stored the mixing water in the SAPs relatively stable, while the pre-absorbed SAPs released the absorbed water into the paste rapidly, and the more pre-absorbed water, the faster the water released after mixing. When the watercement ratio was increased to 0.40, the mixing water absorbed by SAPs without pre-absorption was more than that absorbed by SAPs when the water-cement ratio was 0.30, but in the system with super high water-cement ratio of 0.50, the SAPs cannot absorb mixing water from the paste after mixing.","water-cement ratio; superabsorbent polymers; 1H low-field NMR; mixing method","en","conference paper","","","","","","","","","","","","","",""
"uuid:4b10ddb7-9ad0-49c5-a4cb-df098cdebcb9","http://resolver.tudelft.nl/uuid:4b10ddb7-9ad0-49c5-a4cb-df098cdebcb9","The effect of sulfate-rich sewage sludge ash on the volume deformation and micorstructure of cement paste","Gu, Chunping (Zhejiang University of Technology, China); Ji, Yongjie (Zhejiang University of Technology, China); Yang, Yang (Zhejiang University of Technology, China); Liu, Jintao (Zhejiang University of Technology, China); Ni, Tongyuan (Zhejiang University of Technology, China)","","2021","Sewage sludge ash (SSA) is the combustion residue of the sewage sludge obtained from wastewater treatment plants. In China, SSA normally contains high content of SO3, which may cause detrimental effect on the volume stability of cement-based materials. This study investigated the effect of sulfate-rich SSA (SR-SSA) on the volume deformation and the microstructure of cement paste. The autogenous shrinkage and drying shrinkage of cement pastes incorporating SR-SSA were tested. The microstructure of cement pastes incorporating SR-SSA was studied with mercury intrusion porosimetry (MIP) and scanning electron microscopy (SEM). The results show that the addition of SR-SSA reduced the autogenous shrinkage of cement paste, but increased the drying shrinkage of cement paste. Hence the addition of SSA may cause more serious cracking problem of cement-based materials under drying conditions, but could inhibit the cracking under sealed condition. The SEM and MIP investigations showed that, when SR-SSA was presented, more ettringite was generated in the cement paste and the porosity of the cement paste became higher.","microstructure; cement paste; sulfate; sewage sludge ash; shrinkage","en","conference paper","","","","","","","","","","","","","",""
"uuid:45287610-c13b-49ad-8d6e-104c5701da4d","http://resolver.tudelft.nl/uuid:45287610-c13b-49ad-8d6e-104c5701da4d","Will ortho-enriched water increase the durability of concrete?","Yang, Kai (Ghent University, Belgium); Ye, Guang (Delft University of Technology, the Netherlands); De Schutter, Geert (Ghent University, Belgium)","","2021","Water molecules exist as two spin isomers, differing by the relative orientation of the nuclear spins of the two hydrogen atoms: either antiparallel (para-water, S=0) or parallel (ortho-water, S=1) [1]. The transition between these nuclear spin states can be achieved through magnetic symmetry breaking via a field gradient across the spins applied by a suitably placed magnetic moment for a sufficiently long time [2]. The contradictory mechanisms of interaction between water or an aqueous solution and magnetic field were reviewed, especially the one expanded on Dynamically Ordered Liquid Like Oxyanion Polymers (DOLLOP) [3]. Then the state of art agreements that have been proved by reproductive experiments or theories were discussed. A new hypothesis for the magnetic effects on the interconversion of nuclear spin isomers of water at the interface of water-O2 was proposed, as well as its applicability in the structure modification of C-S-H.","DOLLOP; magnetic field; nuclear spin isomers; water","en","conference paper","","","","","","","","","","","","","",""
"uuid:02e0026c-950a-4f64-8f81-64779ac808ea","http://resolver.tudelft.nl/uuid:02e0026c-950a-4f64-8f81-64779ac808ea","Characteristics of fly ash blended mortars under ammonium chloride solution leaching","Pang, Min (Tongji University, China); Sun, Zhenping (Tongji University, China); Ji, Yanliang (Tongji University, China); Yang, Jingbin (Tongji University, China); Wang, Peiming (Tongji University, China); Xu, Yaling (Shanghai Urban Construction Material Co., China); Li, Huanhuan (Shanghai Urban Construction Material Co., China); Liu, Jiaying (Shanghai Urban Construction Material Co., China); Li, Fei (Shanghai Fupei New Material Technology Co., China)","","2021","Characteristics of fly ash(FA) blended mortars (50%, 70% and 90%) at different curing ages (28 days and 180 days) under ammonium chloride solution(ACS) leaching were investigated. Mass loss under leaching, initial CaO content, Ca(OH)2 content(CH), content of chemical bonded water, and hydration degree of blended pastes were tested by XRF and DSC-TG. Based on correlations between initial CaO content and CH content in blended pastes, correlations between mass loss under leaching and initial CaO content were analyzed, as well as effects of hydration degree of blended pastes and chemical bonded water in blended pastes. Results showed that FA blended mortars experienced a mass-loss period along with immersion in ACS, either 28-day curing regime or 180-day curing regime, and FA could minimize this mass loss obviously. Effects of hydration degree of FA blended pastes on this mass loss were feeble, but remarkable fluctuations could be made by chemical bonded water of FA blended pastes. Effect of FA against ACS leaching should be owed to its pozzolanic reaction which might neutralize attacks from ACS. However, this effect of FA against ACS leaching would weaken as long as alkaline environment increasingly extinguished. Therefore, excessive dosages of FA should be careful for structures and buildings experiencing solution leaching attacks. According to correlations with mass loss under solution leaching attacks, characteristic of initial CaO content in FA blended pastes could be regarded as one effective evaluation against solution leaching attacks.","Ca(OH)2 contentmass loss under leaching; fly ash blended pastes; initial CaO content","en","conference paper","","","","","","","","","","","","","",""
"uuid:aa022541-52aa-4287-ace1-1773e10e3d79","http://resolver.tudelft.nl/uuid:aa022541-52aa-4287-ace1-1773e10e3d79","Moving in sync: Designing and implementing transport policy packages","Yang, W. (TU Delft Organisation & Governance)","Veeneman, Wijnand (promotor); de Jong, W.M. (promotor); Delft University of Technology (degree granting institution)","2021","Congestion in and pollution by traffic are amongst the most severe and urgent problems faced by both developed and developing countries these days. It is regarded as a ""wicked"" problem, which implies it is both hard to define the inherent problem and to find adequate measures to deal with. The complexity of transport systems makes it impossible for policy makers to fully grasp the effectiveness of each measure or intervention in detail. In policy maker‘s policy toolkits, there are traditionally two categories of transport measures that transport infrastructures supply (TIS) or transport demand management (TDM). However, these transport measures in reality are usually designed and implemented uncooperatively, some of which hardly receive political or public acceptance and others possibly cause unexpected negative side effects. Policy packaging is regarded as a prominent approach to solve these problems of single measures, because it can improve the acceptance of single policy measures, eliminate their negative effects after implementation, and produce larger synergy effects. However, in spite of these advantages, policy packaging complicates the whole policy making and implementation process, involving complex values, actors, and measures, and challenges policy maker‘s consciousness and capacities. This is why there is rare successful policy packaging in reality...","policy packaging; China; transport policy; Integration","en","doctoral thesis","","978-94-6384-208-2","","","","","","","","","Organisation & Governance","","",""
"uuid:510ac2d4-ddae-4648-a893-681017530ce7","http://resolver.tudelft.nl/uuid:510ac2d4-ddae-4648-a893-681017530ce7","Single-molecule sensing with nanopores and nanoslits","Yang, W.W.W. (TU Delft BN/Cees Dekker Lab)","Dekker, C. (promotor); Delft University of Technology (degree granting institution)","2021","We start this thesis by exploring the question whether there is more to be done with solid-state nanopores, given the success of nanopores for DNA sequencing applications.","nanopores; graphene; 2D materials; 2D nanoslit; optical nanotweezing; plasmonics; singlemolecule sensing","en","doctoral thesis","","978-90-8593-464-6","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:97a7173b-051b-4521-9af1-5113ab13d8d4","http://resolver.tudelft.nl/uuid:97a7173b-051b-4521-9af1-5113ab13d8d4","Multi-Source Hydrological Data Products to Monitor High Asian River Basins and Regional Water Security","Menenti, M. (TU Delft Optical and Laser Remote Sensing); Li, Xin (Chinese Academy of Sciences); Jia, Li (Chinese Academy of Sciences); Yang, Kun (Tsinghua University); Pellicciotti, Francesca (Swiss Federal Institute for Forest, Snow and Landscape Research); Mancini, Marco (Politecnico di Milano); Shi, Jiancheng (Chinese Academy of Sciences); Escorihuela, Maria José (IsardSAT); Zheng, Chaolei (Chinese Academy of Sciences)","","2021","This project explored the integrated use of satellite, ground observations and hydrological distributed models to support water resources assessment and monitoring in High Mountain Asia (HMA). Hydrological data products were generated taking advantage of the synergies of European and Chinese data assets and space-borne observation systems. Energy-budget-based glacier mass balance and hydrological models driven by satellite observations were developed. These models can be applied to describe glacier-melt contribution to river flow. Satellite hydrological data products were used for forcing, calibration, validation and data assimilation in distributed river basin models. A pilot study was carried out on the Red River basin. Multiple hydrological data products were generated using the data collected by Chinese satellites. A new Evapo-Transpiration (ET) dataset from 2000 to 2018 was generated, including plant transpiration, soil evaporation, rainfall interception loss, snow/ice sublimation and open water evaporation. Higher resolution data were used to characterize glaciers and their response to environmental forcing. These studies focused on the Parlung Zangbo Basin, where glacier facies were mapped with GaoFeng (GF), Sentinal-2/Multi-Spectral Imager (S2/MSI) and Landsat8/Operational Land Imager (L8/OLI) data. The geodetic mass balance was estimated between 2000 and 2017 with Zi-Yuan (ZY)-3 Stereo Images and the SRTM DEM. Surface velocity was studied with Landsat5/Thematic Mapper (L5/TM), L8/OLI and S2/MSI data over the period 2013–2019. An updated method was developed to improve the retrieval of glacier albedo by correcting glacier reflectance for anisotropy, and a new dataset on glacier albedo was generated for the period 2001–2020. A detailed glacier energy and mass balance model was developed with the support of field experiments at the Parlung No. 4 Glacier and the 24 K Glacier, both in the Tibetan Plateau. Besides meteorological measurements, the field experiments included glaciological and hydrological measurements. The energy balance model was formulated in terms of enthalpy for easier treatment of water phase transitions. The model was applied to assess the spatial variability in glacier melt. In the Parlung No. 4 Glacier, the accumulated glacier melt was between 1.5 and 2.5 m w.e. in the accumulation zone and between 4.5 and 6.0 m w.e. in the ablation zone, reaching 6.5 m w.e. at the terminus. The seasonality in the glacier mass balance was observed by combining intensive field campaigns with continuous automatic observations. The linkage of the glacier and snowpack mass balance with water resources in a river basin was analyzed in the Chiese (Italy) and Heihe (China) basins by developing and applying integrated hydrological models using satellite retrievals in multiple ways. The model FEST-WEB was calibrated using retrievals of Land Surface Temperature (LST) to map soil hydrological properties. A watershed model was developed by coupling ecohydrological and socioeconomic systems. Integrated modeling is supported by an updated and parallelized data assimilation system. The latter exploits retrievals of brightness temperature (Advanced Microwave Scanning Radiometer, AMSR), LST (Moderate Resolution Imaging Spectroradiometer, MODIS), precipitation (Tropical Rainfall Measuring Mission (TRMM) and FengYun (FY)-2D) and in-situ measurements. In the case study on the Red River Basin, a new algorithm has been applied to disaggregate the SMOS (Soil Moisture and Ocean Salinity) soil moisture retrievals by making use of the correlation between evaporative fraction and soil moisture.","High Mountain Asia; Data assimilation; Model calibration; Energy and water balance; Distributed hydrological models; Multispectral remote sensing","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:9e9247a5-a6c4-4289-a3a9-dfa56f1a0386","http://resolver.tudelft.nl/uuid:9e9247a5-a6c4-4289-a3a9-dfa56f1a0386","Desulfurization of High-Sulfur HIsarna Hot Metal","Schrama, F.N.H. (TU Delft Team Yongxiang Yang; Tata Steel Europe Limited); Beunder, Elisabeth M. (Tata Steel Europe Limited); Emami, Ali (Tata Steel Europe Limited); Barnes, Chris M. (Tata Steel Europe Limited); van Boggelen, Johan W.K. (Tata Steel Europe Limited); Sietsma, J. (TU Delft Team Kevin Rossi); Boom, R. (TU Delft Team Kevin Rossi); Yang, Y. (TU Delft Team Yongxiang Yang)","","2021","The HIsarna process is one of the emerging low-CO2 ironmaking processes that could help the steel industry in achieving their carbon footprint goals. HIsarna hot metal contains 3–4 times more sulfur than hot metal from blast furnaces (BFs). Therefore, a literature study, a thermodynamic analysis, and plant data analysis from Tata Steel, IJmuiden, are used herein to investigate the consequences of HIsarna hot metal for the current hot metal desulfurization process. Although the high sulfur concentration and low temperature of HIsarna hot metal lead to a higher total reagent consumption, compared with desulfurization of BF hot metal, the specific magnesium consumption decreases. The higher oxygen concentration in HIsarna hot metal only leads to a small increase in reagent consumption.","HIsarna; hot metal desulfurization; oxygen activity; plant data analyses; specific magnesium consumption; thermodynamic simulations","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:d9c02fb9-940f-4cce-a592-033807353fca","http://resolver.tudelft.nl/uuid:d9c02fb9-940f-4cce-a592-033807353fca","Using Conversational Artificial Intelligence to Support Children’s Search in the Classroom","Allen, G.M. (Boise State University); Yang, J. (TU Delft Web Information Systems); Pera, Maria Soledad (Boise State University); Gadiraju, Ujwal (TU Delft Web Information Systems)","","2021","We present pathways of investigation regarding conversational user interfaces (CUIs) for children in the classroom. We highlight anticipated challenges to be addressed in order to advance knowledge on CUIs for children. Further, we discuss preliminary ideas on strategies for evaluation.","","en","conference paper","","","","","","","","","","","Web Information Systems","","",""
"uuid:0a081710-45e8-4a97-bf90-6fc0188998e0","http://resolver.tudelft.nl/uuid:0a081710-45e8-4a97-bf90-6fc0188998e0","MARTA: Leveraging Human Rationales for Explainable Text Classification","Arous, Ines (University of Fribourg); Dolamic, Ljiljana (Armasuisse); Yang, J. (TU Delft Web Information Systems); Bhardwaj, Akansha (University of Fribourg); Cuccu, Giuseppe (University of Fribourg); Cudré-Mauroux, Philippe (University of Fribourg)","","2021","Explainability is a key requirement for text classification in many application domains ranging from sentiment analysis to medical diagnosis or legal reviews. Existing methods often rely on ""attention"" mechanisms for explaining classification results by estimating the relative importance of input units. However, recent studies have shown that such mechanisms tend to mis-identify irrelevant input units in their explanation. In this work, we propose a hybrid human-AI approach that incorporates human rationales into attention-based text classification models to improve the explainability of classification results. Specifically, we ask workers to provide rationales for their annotation by selecting relevant pieces of text. We introduce MARTA, a Bayesian framework that jointly learns an attention-based model and the reliability of workers while injecting human rationales into model training. We derive a principled optimization algorithm based on variational inference with efficient updating rules for learning MARTA parameters. Extensive validation on real-world datasets shows that our framework significantly improves the state of the art both in terms of classification explainability and accuracy.","Human-in-the-loop Machine Learning; Learning of Cost; Reliability; Skill of Label; Probabilistic Graphical Models; Accountability; Interpretability & Explainability","en","conference paper","Association for the Advancement of Artificial Intelligence (AAAI)","","","","","","","","","","Web Information Systems","","",""
"uuid:31dcf37c-e34d-4afe-9d16-a35f30c253b1","http://resolver.tudelft.nl/uuid:31dcf37c-e34d-4afe-9d16-a35f30c253b1","(Petro)chemische clusters en veiligheid: Een clusterspecifieke rangschikking van veiligheidsparameters","van Nunen, K.L.L. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science); Chen, C. (TU Delft Safety and Security Science); Yuan, S. (TU Delft Safety and Security Science)","","2021","Kenmerkend voor bedrijven die in een cluster gelegen zijn, zijn de onderlinge risico’s waarbij de risico’s van één bedrijf aanleiding kunnen geven tot nieuwe risico’s bij een aangrenzend of een nabijgelegen bedrijf. Daarbij gaat het om de mogelijkheid op domino-effecten, keteneffecten, of nadelige effecten (letsel/schade). Voor (petro)chemische bedrijven die geografisch nabij andere bedrijven liggen, is het bijgevolg noodzakelijk om (proces)veiligheid niet aan te pakken als een geïsoleerd bedrijf, maar in samenwerking met de nabijgelegen bedrijven, om zo ook de onderlinge risico’s optimaal te beheersen. Samenwerking tussen bedrijven wordt dus gezien als dé sleutel tot het doelmatig aanpakken van onderlinge risico’s.
Deze studie heeft als doel om deze samenwerking tussen geclusterde bedrijven te faciliteren, meer concreet te maken, en te optimaliseren. Om dit te bewerkstelligen is een praktische tool ontwikkeld. Een (sub)cluster van (petro)chemische bedrijven kan de tool gezamenlijk gebruiken om op een optimale en onderbouwde manier samenwerkingsinitiatieven op te zetten om de onderlinge risico’s te beheersen, en om zo de (cluster)veiligheid te verhogen. Ten minste twee bedrijven die geografisch dicht bij elkaar gevestigd zijn, kunnen aan de slag gaan met de tool. Minstens één bedrijf heeft hierbij een BRZO-status. Verder is de tool bruikbaar voor zowel BRZO-bedrijven met en zonder een domino-effect aanwijzing, voor bedrijven die (net) onder de BRZO-drempel vallen, en voor niet-(petro)chemische bedrijven.
In de praktische tool (in de vorm van een Excel-file) zijn verschillende algemene veiligheidsparameters opgenomen en daarbij horend meer specifieke veiligheidsinitiatieven. Hierbij gaat het om initiatieven die bedrijven samen kunnen opzetten om de onderlinge risico’s te beheren en te beheersen. In de tool ligt de focus op zowel proactieve als reactieve veiligheidsparameters. Er zijn vijf proactieve veiligheidsparameters geformuleerd waarbij de focus ligt op het voorkomen van ongewenste gebeurtenissen:
-Het uitwisselen van veiligheidsinformatie
-Het beoordelen en beheersen van onderlinge risico’s op clusterniveau
-Leren van elkaar
-Uniformiteit en standaardisatie van veiligheid
-De cluster van bedrijven als collectief
Er zijn twee reactieve veiligheidsparameters geformuleerd waarbij de focus ligt op het mitigeren van de gevolgen als er toch een ongewenste gebeurtenis plaatsvindt:
-Gedeelde calamiteitenuitrusting en -faciliteiten
-Gezamenlijke noodorganisatie en crisiscommunicatie
Deze veiligheidsparameters zijn in de tool uiteengezet in verschillende meer specifieke veiligheidsinitiatieven. De (sub)cluster van bedrijven die aan de slag gaat met de tool zal voor alle specifieke veiligheidsinitiatieven gezamenlijk de huidige prestatie (stap 1) en de haalbaarheid scoren (stap 2). In stap 1 scoren de bedrijven de huidige prestatie, gaande van een optimale prestatie tot een suboptimale prestatie of de afwezigheid van samenwerking wat betreft het te scoren veiligheidsinitiatief. In stap 2 scoren de bedrijven de haalbaarheid van de veiligheidsinitiatieven. Aan de hand van meerdere criteria (zoals onder meer risicoreductie potentieel, kosten, concurrentiegevoeligheid, en invloed van het (moeder)bedrijf) wordt er door de bedrijven een inschatting gemaakt van de haalbaarheid van de veiligheidsinitiatieven.
Vervolgens worden op basis van een achterliggend algoritme de veiligheidsinitiatieven automatisch gerangschikt naargelang potentiële veiligheidswinst. Men krijgt dus als uitkomst een prioriteitsstelling van veiligheidsparameters, waarbij de hoogst gerankte veiligheidsparameters de meeste veiligheidswinst kunnen opleveren voor een bepaalde cluster. Door het toekennen van de huidige prestatie en de haalbaarheid houdt de rangschikking rekening met de reeds aanwezige (geïnstitutionaliseerde) veiligheidsparameters, en met de specifieke context van een bepaalde cluster.
De tool is uniek in die zin dat er gefocust wordt op gezamenlijkheid. De prioriteitsstelling van de veiligheidsparameters zal toelaten om op een onderbouwde en optimale manier te bepalen waarop men als (sub)cluster van bedrijven samen kan inzetten om tot veiligheidswinst te komen m.b.t. onderlinge risico’s. De tool kan gezien worden als het startpunt om een hoger gezamenlijk veiligheidsniveau te bereiken, waarbij de uitkomst van de tool het vertrekpunt kan zijn om concrete veiligheidsinitiatieven (verder) vorm te geven.
De tool focust op het aanpakken van onderlinge risico’s, waardoor de veiligheid van de gehele cluster geoptimaliseerd wordt. Hierbij zal ook de omgevingsveiligheid geoptimaliseerd worden, aangezien het gehele gebied waarin de geclusterde bedrijven functioneren veiliger wordt als de onderlinge risico’s beter beheerst worden.","","nl","report","Delft University of Technology","","","","","Deze studie is uitgevoerd door de Safety & Security Science Group, TU Delft in opdracht van het Ministerie van Infrastructuur en Waterstaat. - This study has been conducted by the Safety & Security Science Group, Delft University of Technology and is commissioned by the Dutch Ministry of Infrastructure and Water Management.","","","","","Safety and Security Science","","",""
"uuid:1a77b862-0498-49a2-bc6e-ecba54a3749c","http://resolver.tudelft.nl/uuid:1a77b862-0498-49a2-bc6e-ecba54a3749c","Debris-flow hazard assessment at the archaeological UNESCO world heritage site of villa romana del casale (sicily, Italy)","Musumeci, Rosaria Ester (University of Catania); Foti, Enrico (University of Catania); Li Rosi, Davide (University of Catania); Sanfilippo, Mariano (University of Catania); Stancanelli, L.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; University of Catania); Iuppa, Claudio (University of Messina); Sapienza, Vincenzo (University of Catania); Yang, William (National Institute of Geophysics and Volcanology); Cantarero, Massimo (National Institute of Geophysics and Volcanology); Patanè, Domenico (National Institute of Geophysics and Volcanology)","","2021","Archaeological sites are extremely vulnerable to the impacts of weather-related events, which may lead to irreparable damages to cultural heritage. Here an assessment of the debris-flow hazard for the UNESCO site of Roman Villa del Casale (Italy) is carried out, through a combination of historical analyses, field surveys, geomorphological and hydrological investigations and two-dimensional hydraulic numerical modelling, all performed at river catchment scale. Historical analyses reveal that the site has been hit by several landslides in the far and recent past. This is presently confirmed by the high level of exposure to the impact of rain-triggered debris-flow events, due to the position of the Villa at a closure section of the related river basin and to the hydro-geomorphological characteristics of the basin itself. By applying the proposed approach, a scenario analysis is carried out. Results allow one to highlight the dynamics of the impact of debris flows, thanks to space and time-dependent maps about deposition areas, water depth and speed values, and to identify the most vulnerable archaeological elements within the study site. The numerical simulations are also used to test the efficiency of the existing hydraulic defense systems and to support the implementation of an early warning system for the site protection. Here we also synthetize the design of the architecture of the wireless monitoring network, the sensor technology adopted to develop an effective real time environmental monitoring system and management platform, to construct a Wireless Sensor Network (WSN) - early warning and reporting system, which can be applied as a prevention measure.","Archaeological excavation; Cultural heritage; EWS; Hydraulic risk; Monitoring; Scenario analysis; WSN","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:bbdbc0a3-7bfd-42ee-87fd-4cb07b971b36","http://resolver.tudelft.nl/uuid:bbdbc0a3-7bfd-42ee-87fd-4cb07b971b36","Wheel-rail impact at an insulated rail joint in an embedded rail system","Yang, Z. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Wang, L. (TU Delft Railway Engineering)","","2021","With dynamic behaviour different from that of traditional discretely supported tracks, continuously supported embedded rail systems (ERSs) have been increasingly used in railway bridges, level crossings, trams, and high-speed lines. However, studies on ERSs have been limited, and none of them have addressed the wheel-rail impact-induced dynamic response, although wheel-rail impact is a main cause of ERS degradation. This paper studies, numerically and experimentally, the wheel-rail impact at an insulated rail joint (IRJ) used in the ERS. As a weak spot of the track, the IRJ results in discontinuities in the track support stiffness and wheel-rail contact geometry. This study first develops an explicit finite element model to simulate the vibration responses of the IRJ in the ERS when excited by a hammer and passing wheel loads. The simulated dynamic behaviours (represented by the hammer-excitation frequency response function) at a frequency up to 5 kHz and a wheel-rail impact vibration frequency up to 10 kHz are then validated with a field hammer test and a train pass-by measurement, respectively. Both the experimental study and numerical modelling reveals that the major frequencies of the impact vibration at the IRJ in the ERS depend mainly on geometric irregularities in the IRJ region and the train speed, rather than on the resonances of the track structure, as in the case of the discretely supported IRJ. This finding is meaningful to the engineering practice because it indicates a continuously supported IRJ in the ERS is more impact resistant, especially when the IRJ geometry is adequately maintained, e.g. by timely grinding.","Finite element modelling; Embedded rail systems; Wheel-rail impact; Insulated rail joint; Hammer test; Pass-by measurement","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:b3f4ef40-803d-420d-8717-2e2e5cc4f66b","http://resolver.tudelft.nl/uuid:b3f4ef40-803d-420d-8717-2e2e5cc4f66b","Simulation framework for activity recognition and benchmarking in different radar geometries","Zhou, Boyu (University of Electronic Science and Technology of China); Lin, Yier (University of Electronic Science and Technology of China); Le Kernec, Julien (University of Glasgow; University of Electronic Science and Technology of China; University of Cergy-Pontoise); Yang, Shufan (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (University of Cergy-Pontoise); Zhao, Zhiqin (University of Electronic Science and Technology of China)","","2021","Radar micro-Doppler signatures have been proposed for human monitoring and activity classification for surveillance and outdoor security, as well as for ambient assisted living in healthcare-related applications. A known issue is the performance reduction when the target is moving tangentially to the line of sight of the radar. Multiple techniques have been proposed to address this, such as multistatic radar and to some extent, interferometric (IF) radar. A simulator is presented to generate synthetic data representative of eight radar systems (monostatic, circular multistatic and in-line multistatic [IM] and IF) to quantify classification performances as a function of aspect angles and deployment geometries. This simulator allows an unbiased performance evaluation of different radar systems. Six human activities are considered with signatures originating from motion-captured data of 14 different subjects. The classification performances are analysed as a function of aspect angles ranging from 0° to 90° per activity and overall. It demonstrates that IF configurations are more robust than IM configurations. However, IM performs better at angles below 55° before IF configurations take over.","","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:abe39665-6670-4e39-bb36-1af5bddb5dcf","http://resolver.tudelft.nl/uuid:abe39665-6670-4e39-bb36-1af5bddb5dcf","Optimal hot metal desulphurisation slag considering iron loss and sulphur removal capacity part I: fundamentals","Schrama, F.N.H. (TU Delft Team Yongxiang Yang; Tata Steel Europe Limited); Beunder, Elisabeth M. (Tata Steel Europe Limited); Panda, Sourav K. (Tata Steel Europe Limited); Visser, Hessel Jan (Tata Steel Europe Limited); Moosavi-Khoonsari, Elmira (Tata Steel Europe Limited); Sietsma, J. (TU Delft Materials Science and Engineering); Boom, R. (TU Delft Team Kevin Rossi); Yang, Y. (TU Delft Team Yongxiang Yang)","","2021","In hot metal desulphurisation (HMD) the slag will hold the removed sulphur. However, the iron that is lost when the slag is skimmed off, accounts for the highest costs of the HMD process. These iron losses are lower when the slag has a lower viscosity, which can be achieved by changing the slag composition. A lower slag basicity decreases the viscosity of the slag, but also lowers its sulphur removal capacity, therefore optimisation is necessary. In this study, the optimal HMD slag composition is investigated, considering both the sulphur removal capacity and the iron losses. In part I the theory is discussed and in part II the optimal slag is validated with plant data, laboratory experiments and a thermodynamic analysis.","basicity; Hot metal desulphurisation; iron loss; process optimisation; slag; steelmaking; sulphide capacity; viscosity","en","journal article","","","","","","","","","","Materials Science and Engineering","Team Yongxiang Yang","","",""
"uuid:a9810fba-1c96-43a0-8bda-9afc0a580627","http://resolver.tudelft.nl/uuid:a9810fba-1c96-43a0-8bda-9afc0a580627","Node-Adaptive Regularization for Graph Signal Reconstruction","Yang, M. (TU Delft Multimedia Computing); Coutino, Mario (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems); Isufi, E. (TU Delft Multimedia Computing)","","2021","A critical task in graph signal processing is to estimate the true signal from noisy observations over a subset of nodes, also known as the reconstruction problem. In this paper, we propose a node-adaptive regularization for graph signal reconstruction, which surmounts the conventional Tikhonov regularization, giving rise to more degrees of freedom; hence, an improved performance. We formulate the node-adaptive graph signal denoising problem, study its bias-variance trade-off, and identify conditions under which a lower mean squared error and variance can be obtained with respect to Tikhonov regularization. Compared with existing approaches, the node-adaptive regularization enjoys more general priors on the local signal variation, which can be obtained by optimally designing the regularization weights based on Prony's method or semidefinite programming. As these approaches require additional prior knowledge, we also propose a minimax (worst-case) strategy to address instances where this extra information is unavailable. Numerical experiments with synthetic and real data corroborate the proposed regularization strategy for graph signal denoising and interpolation, and show its improved performance compared with competing alternatives.","","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:50e80c43-8b0e-4df5-82c3-1fe402a030ba","http://resolver.tudelft.nl/uuid:50e80c43-8b0e-4df5-82c3-1fe402a030ba","Validation and application of bearing and block tearing resistance: Background to prEN1993-1-8:2021","Može, Primož (University of Ljubljana); Yang, F. (TU Delft Steel & Composite Structures; Tongji University); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2021","An investigation of bolted connections with a specific geometry and bolt arrangement that require high local ductility to achieve the predicted resistances according to the design rules of the new prEN 1993-1-8 is presented. The experimental campaign includes tensile and shear tests on mild steel coupons to calibrate the parameters used for the true stress-strain relationship, damage initiation criterion and fracture evolution, while a numerical model for the high strength steel grade S690 was selected from the literature. The FEA results were satisfactorily validated by experiments on bolted connections for which the rules of the new prEN 1993-1-8:2021 were used to predict the resistance and deformation behaviour. The deformation behaviour was predicted by applying the new analytical model to calculate the deformation at the bolt hole due to the bearing action. The resistances of the tested connections were also predicted using EN 1993-1-8:2005. It is clearly shown that the new design formulae predict the experimental results significantly better than the results obtained according to EN 1993-1-8:2005. The FEA of a bolt group in bending, representing a high web splice, provides new proof for an analytical model for the distribution of forces between the bolts in the elastic stage and at ultimate resistance. Furthermore, the new Eurocode approach for predicting the bearing deformation behaviour at the bolt hole agreed well with the experimental and numerical results.","Eurocode; Finite element analysis; Bolted connections; Bearing; Block tearing; Damage initiation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-08","","","Steel & Composite Structures","","",""
"uuid:88a08f1c-eaa6-4c78-ad15-104e3476ffb6","http://resolver.tudelft.nl/uuid:88a08f1c-eaa6-4c78-ad15-104e3476ffb6","Documenting Impacts of Hydro-Meteorological Events Using Earth Observation","Alfieri, S.M. (TU Delft Optical and Laser Remote Sensing); Foroughnia, Fatemeh (TU Delft Geo-engineering); van Natijne, A.L. (TU Delft Optical and Laser Remote Sensing); Mousivand, A. (TU Delft Optical and Laser Remote Sensing); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Porcu, Federico (University of Bologna); Zieher, Thomas (Austrian Academy of Sciences); Pulvirulenti, Beatrice (University of Bologna); Yang, Jingxin (Guangzhou University); Menenti, M. (TU Delft Optical and Laser Remote Sensing)","","2021","The ambition of H2020 OPERANDUM project is to develop and document Nature Based Solutions (NBS) to mitigate risks associated with hydro-meteorological (HM) hazards. NBS mitigate risks by reducing the vulnerability of a particular system. The aim of this work is to demonstrate the use of multisource remote sensing data in documenting the impact of extreme HM events to advance knowledge on vulnerability and exposure. In particular the focus is to document past impacts due to extreme events selected from a characterization of recent (3 0 years) HM events in 11 Open Air Laboratories (OALs) where co-design, co-development and deployment of NBS are taking place. The impacts were documented by applying a wide spectrum of satellite image data and other, close - range, remote sensing techniques. A better understanding of the consequences due to extreme HM events in a particular area (OALs) is essential to identify elements at risk and expected to provide a reference to evaluate the reduction of vulnerability and mitigation of risks past the completion of NBS.","Hazards; Risks; Impacts; Optical and SAR remote sensing; Sentinel","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-12","","","Optical and Laser Remote Sensing","","",""
"uuid:250f791f-f28c-45a7-b4f2-2694d74e91d8","http://resolver.tudelft.nl/uuid:250f791f-f28c-45a7-b4f2-2694d74e91d8","Postdiction of the Flexural Shear Capacity of a Deep Beam Without Stirrups Using NLFEM","Yang, Y. (TU Delft Concrete Structures); de Boer, Ane (Ane de Boer Technisch Advies); den Uijl, J.A. (TU Delft Concrete Structures)","","2021","A recent contest of shear tests modelling was carried out in 2019. Teams from universities and consultancies around Europe were invited to predict the shear capacity of two reinforced concrete beams. The basics of the numerical models were to be set up according the Dutch NLFEM Guideline RTD 1016–1:2017. In the contest, two reinforced concrete beams without stirrups but having large depth (1200 mm), tested at Delft University of Technology, were selected as the modelling target. Most participants in the contest did not obtain good agreement with the test results. This paper presents a postdiction study on one of the two tests: H123. Based on this study, some adaptations are made to the recommendations of RTD 1016–1:2017 in order to approach the test results better. The intention of this contribution is to improve the existing NLFEA Guideline for practical engineering structures with uncommon reinforcement layouts.","deep beam; NLFEA; reinforced concrete; shear failure; without shear reinforcement","en","journal article","","","","","","Accepted Author Manuscript","","2022-03-31","","","Concrete Structures","","",""
"uuid:58af890d-7a45-4f81-8b85-b6f7a269c2be","http://resolver.tudelft.nl/uuid:58af890d-7a45-4f81-8b85-b6f7a269c2be","Room-temperature sputtered tungsten-doped indium oxide for improved current in silicon heterojunction solar cells","Han, C. (TU Delft Photovoltaic Materials and Devices; Shenzhen Institute of Wide-bandgap Semiconductors); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Bento Montes, A.R. (TU Delft Photovoltaic Materials and Devices; University of Lisbon); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Zhang, Xiaodan (Nankai University); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2021","The window layers limit the performance of silicon heterojunction (SHJ) solar cells with front and back contacts. Here, we optimized tungsten-doped indium oxide (IWO) film deposited by radio frequency magnetron sputtering at room temperature. The opto-electrical properties of the IWO were manipulated when deposited on top of thin-film silicon layers. The optimal IWO on glass shows carrier density and mobility of 2.1 × 1020 cm−3 and 34 cm2 V−1s−1, respectively, which were tuned to 2.0 × 1020 cm−3 and 47 cm2 V−1s−1, as well as 1.9 × 1020 cm−3 and 42 cm2 V−1s−1, after treated on i/n/glass and i/p/glass substrates, respectively. Using the more realistic TCO data that were obtained on thin-film silicon stacks, optical simulation indicates a promising visible-to-near-infrared optical response in IWO-based SHJ device structure, which was demonstrated in fabricated devices. Additionally, by adding an additional magnesium fluoride layer on device, the champion IWO-based SHJ device showed an active area cell efficiency of 22.92%, which is an absolute 0.98% efficiency gain compared to the ITO counterpart, mainly due to its current gain of 1.48 mA/cm2.","Improved near-infrared response; Room temperature transparent electrode; Silicon heterojunction solar cell; Tungsten-doped indium oxide","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:c5268eb6-3714-4bd0-94ca-19d668d27fb3","http://resolver.tudelft.nl/uuid:c5268eb6-3714-4bd0-94ca-19d668d27fb3","Exploring the Music Perception Skills of Crowd Workers","Samiotis, I.P. (TU Delft Web Information Systems); Qiu, S. (TU Delft Web Information Systems); Lofi, C. (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Gadiraju, Ujwal (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2021","Music content annotation campaigns are common on paid crowdsourcing platforms. Crowd workers are expected to annotate complicated music artefacts, which can demand certain skills and expertise. Traditional methods of participant selection are not designed to capture these kind of domain-specific skills and expertise, and often domain-specific questions fall under the general demographics category. Despite the popularity of such tasks, there is a general lack of deeper understanding of the distribution of musical properties - especially auditory perception skills - among workers. To address this knowledge gap, we conducted a user study (N=100) on Prolific. We asked workers to indicate their musical sophistication through a questionnaire and assessed their music perception skills through an audio-based skill test. The goal of this work is to better understand the extent to which crowd workers possess higher perceptions skills, beyond their own musical education level and self reported abilities. Our study shows that untrained crowd workers can possess high perception skills on the music elements of melody, tuning, accent and tempo; skills that can be useful in a plethora of annotation tasks in the music domain.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-01","","","Web Information Systems","","",""
"uuid:9233e0a6-7d25-444f-8d29-2fb83718538b","http://resolver.tudelft.nl/uuid:9233e0a6-7d25-444f-8d29-2fb83718538b","Construction safety during pandemics: Learning from the xinjia express hotel collapse during covid-19 in china","Huang, Yu Jie (Fuzhou University); Tao, Jing (Fuzhou University); Yang, Fu Qiang (Fuzhou University); Chen, C. (TU Delft Safety and Security Science)","","2021","Many construction accidents occur in China each year, leading to a large number of deaths, injures, and property losses. Due to the outbreak of COVID-19, little attention is paid to construction safety, resulting in severe accidents. To prevent construction accidents and learn to how address safety issues in future pandemics, this study proposed an improved STAMP (Systems Theoretic Accident Modeling and Processes) model to analyze the collapse accident of the Xinjia Express Hotel used for COVID-19 quarantine in China. Through the application of the STAMP approach, the causes of the construction accident and the relationship between various causal factors are analyzed from a systematic perspective. The identified causes are divided into five categories: contractors, management of organizations, technical methods, participants, and interactive feed-back. Finally, safety recommendations are drawn from this study to improve construction safety and safety management in pandemics.","Accident analysis; Construction accidents; COVID-19; Safety management; STAMP","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:66025049-d059-4a1a-b971-4474736f40f0","http://resolver.tudelft.nl/uuid:66025049-d059-4a1a-b971-4474736f40f0","Enabling Visual Analytics via Alert-driven Attack Graphs","Nadeem, A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security); Moskal, Stephen (Rochester Institute of Technology); Yang, Shanchieh Jay (Rochester Institute of Technology)","","2021","Attack graphs (AG) are a popular area of research that display all the paths an attacker can exploit to penetrate a network. Existing techniques for AG generation rely heavily on expert input regarding vulnerabilities and network topology. In this work, we advocate the use of AGs that are built directly using the actions observed through intrusion alerts, without prior expert input. We have developed an unsupervised visual analytics system, called SAGE, to learn alert-driven attack graphs. We show how these AGs (i) enable forensic analysis of prior attacks, and (ii) enable proactive defense by providing relevant threat intelligence regarding attacker strategies. We believe that alert-driven AGs can play a key role in AI-enabled cyber threat intelligence as they open up new avenues for attacker strategy analysis whilst reducing analyst workload.","Attack graphs; Intrusion alerts; Finite state automaton; attack graphs; finite state automaton; intrusion alerts","en","conference paper","Association for Computing Machinery (ACM)","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-05-13","","","Cyber Security","","",""
"uuid:3b2e81a8-fb83-4275-b438-78c1171fc778","http://resolver.tudelft.nl/uuid:3b2e81a8-fb83-4275-b438-78c1171fc778","Internal and near-wall flow fields around a structured porous coated cylinder and their role in passive flow and noise control","Arcondoulis, Elias J. G. (Southern University of Science and Technology); Liu, Yu (Southern University of Science and Technology); Yang, Yannian (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy)","","2021","View Video Presentation: https://doi-org.tudelft.idm.oclc.org/10.2514/6.2021-2226.vid
The mechanisms responsible for vortex shedding reduction, due to the application of a porous coating to a smooth cylinder placed in uniform flow, are still uncertain despite several numerical and experimental studies. To help understand these mechanisms, a transparent Structured Porous Coated Cylinder (SPCC) was manufactured to investigate the internal and near-wall flow fields. The SPCC mimics the more commonly used porous materials such as metal foam and polyurethane, that possess randomized structures preventing a clear line-of-sight along the span and circumference of the porous layers. Tomographic Particle Image Velocimetry was used in a water-tunnel facility to investigate three small regions of an SPCC, on the windward, mid-region and leeward sides. In this paper, previously unseen experimentally obtained internal flow fields of a porous coated cylinder are presented. The following summarize the key observations (1) stagnation from freestream flow to the inner cylinder diameter in the windward region, (2) boundary layer profiles within the porous layer in the circumferential mid-region and (3) bleeding from the porous layer into the wake in the leeward region. These results provide key experimental findings for comparison and validation of numerical simulations of bulk porous media, such as the Darcy-Forscheimer method used in conjunction with the Ffowcs Williams-Hawkings acoustic analogy.","","en","conference paper","","","","","","","","","","","Wind Energy","","",""
"uuid:e1b28dfa-307d-4500-a878-476c2ec293fd","http://resolver.tudelft.nl/uuid:e1b28dfa-307d-4500-a878-476c2ec293fd","Passivation Enhancement of Poly-Si Carrier-Selective Contacts by Applying ALD Al_2O_3 Capping Layers","Yang, G. (TU Delft Photovoltaic Materials and Devices); Van de Loo, Bas (SoLayTec); Stodolny, Maciej (New Energies Research and Technology, Tha Hague); Limodio, G. (TU Delft Photovoltaic Materials and Devices); Melskens, Jimmy (TNO Energy Transition); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TNO Energy Transition); Zeman, M. (TU Delft Electrical Sustainable Energy); Kessels, W. M.M. (Eindhoven University of Technology)","","2021","Hydrogenation of polycrystalline silicon (poly-Si) passivating contacts is crucial for maximizing their passivation performance. This work presents the application of Al2O3 prepared by atomic layer deposition as a hydrogenating capping layer. Several important questions related to this application of Al2O3 are addressed by comparing results from Al2O3 single layers, SiNx single layers, and Al2O3/SiNx double layers to different poly-Si types. We investigate the effect of the Al2O3 thickness, the poly-Si thickness, the poly-Si doping type, and the postdeposition annealing treatment on the passivation quality of poly-Si passivating contacts. Especially, the Al2O3/SiNx stack greatly enhances the passivation quality of both n+ and p+ doped as well as intrinsic poly-Si layers. The Al2O3 layer thickness is crucial for the single-layer approach, whereas the Al2O3/SiNx stack is less sensitive to the thickness of the Al2O3 layer. A thicker Al2O3 layer is needed for effectively hydrogenating p+ compared to n+ poly-Si passivating contact. The capping layers can hydrogenate poly-Si layers with thicknesses up to at least 600 nm. The hydrogenation-enhanced passivation for n+ poly-Si is found to be more thermally stable in comparison to p+ poly-Si. These results provide guidelines on the use of Al2O3 capping layers for poly-Si contacts to significantly improve their passivation performance.","Atomic layer deposition (ALD) Al2O3; hydrogenation; passivation quality; polycrystalline silicon (poly-Si) passivating contacts; thermal stability","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:e7a5bb96-92b0-4d62-874b-370f8d9adbc8","http://resolver.tudelft.nl/uuid:e7a5bb96-92b0-4d62-874b-370f8d9adbc8","Automatic Identification of Harmful, Aggressive, Abusive, and Offensive Language on the Web: A Survey of Technical Biases Informed by Psychology Literature","Balayn, A.M.A. (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Szlávik, Zoltán (myTomorrows); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2021","The automatic detection of conflictual languages (harmful, aggressive, abusive, and offensive languages) is essential to provide a healthy conversation environment on the Web. To design and develop detection systems that are capable of achieving satisfactory performance, a thorough understanding of the nature and properties of the targeted type of conflictual language is of great importance. The scientific communities investigating human psychology and social behavior have studied these languages in details, but their insights have only partially reached the computer science community.
In this survey, we aim both at systematically characterizing the conceptual properties of online conflictual languages, and at investigating the extent to which they are reflected in state-of-the-art automatic detection systems. Through an analysis of psychology literature, we provide a reconciled taxonomy that denotes the ensemble of conflictual languages typically studied in computer science. We then characterize the conceptual mismatches that can be observed in the main semantic and contextual properties of these languages and their treatment in computer science works; and systematically uncover resulting technical biases in the design of machine learning classification models and the dataset created for their training. Finally, we discuss diverse research opportunities for the computer science community and reflect on broader technical and structural issues.","Bias; discrimination; cyberbullying; offensive language; abusive language; harassment; toxic language; harmful language","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:758f5111-9cb8-4e05-8ea3-dc7c4fd53345","http://resolver.tudelft.nl/uuid:758f5111-9cb8-4e05-8ea3-dc7c4fd53345","Will ortho-enriched water increase the durability of concrete?","Yang, Kai (Universiteit Gent); Ye, G. (TU Delft Materials and Environment); De Schutter, Geert (Universiteit Gent)","Ye, Guang (editor); Dong, Hua (editor); Liu, Jiaping (editor); Schlangen, Erik (editor); Miao, Changwen (editor)","2021","Water molecules exist as two spin isomers, differing by the relative orientation of the nuclear spins of the two hydrogen atoms: either antiparallel (para-water, S=0) or parallel (ortho-water, S=1) [1]. The transition between these nuclear spin states can be achieved through magnetic symmetry breaking via a field gradient across the spins applied by a suitably placed magnetic moment for a sufficiently long time [2]. The contradictory mechanisms of interaction between water or an aqueous solution and magnetic field were reviewed, especially the one expanded on Dynamically Ordered Liquid Like Oxyanion Polymers (DOLLOP) [3]. Then the state of art agreements that have been proved by reproductive experiments or theories were discussed. A new hypothesis for the magnetic effects on the interconversion of nuclear spin isomers of water at the interface of water-O2 was proposed, as well as its applicability in the structure modification of C-S-H.","magnetic field; nuclear spin isomers; water; DOLLOP","en","conference paper","Delft University of Technology","","","","","","","","","","Materials and Environment","","",""
"uuid:3821f578-9a06-4346-bc4e-6029da5ce933","http://resolver.tudelft.nl/uuid:3821f578-9a06-4346-bc4e-6029da5ce933","Colored optic filters on c-Si IBC solar cells for building integrated photovoltaic applications","Ortiz Lizcano, J.C. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; Universidad San Francisco de Quito); Calcabrini, A. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Ingenito, Andrea (CSEM SA); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2021","Building Integrated Photovoltaic systems can produce a significant portion of the energy demand of urban areas. Despite their potential, they remain a niche technology that architects and project engineers still find esthetically limited. The dark blue or black color of standard photovoltaic panels is considered inappropriate for restoration projects of historic buildings and represents a major constraint on the development of new projects. This work will provide insight into how the use of optic filters can offer new pathways for architectural acceptance of photovoltaic panels. Optic filters selectively reflect or transmit light by interference and can be designed and fabricated using cost-effective and industrially compatible processes. By using in-house developed ray tracing software coupled with TCAD Sentaurus, more than 400 colors were obtained, and their impact on the opto-electrical performance of interdigitated back-contacted solar cells was studied. Results show a maximum efficiency loss of 1.6% absolute at the perpendicular incidence of light on the range of obtained colors when compared with a standard dark blue solar cell. Simulations for different angles of incidence showed that the current reduction on the standard device could be modeled using a cosine relationship. The colored cells, however, deviated significantly from this relationship. We propose that the angular behavior of any cell (colored or standard) could be simulated by modifying the effective irradiance with scaling factors equal to the ratios of the photogenerated current at any angle with respect to the value at normal incidence. We demonstrate that this approach accurately models the effect of the color filter and allows for an easy transition from a bare cell to an encapsulated one. Due to the spectral effect of the filter, we developed both a spectrally resolved optical model and a two-dimensional finite volume transient thermal model. In case of the optical model, we demonstrate an accuracy in the prediction of the reflectance produced by the color with values of mean bias error (MBE) between 2.0% and 3.9%. As for the thermal model, it was validated by first analyzing a standard model under conditions of nominal operating cell temperature and then comparing its results with published scientific literature. Later, we compare its prediction against 2 weeks of measurements. In both cases the thermal model proves an adequate accuracy, yielding differences below 1.5°C with respect to other scientific works and an MBE value of 0.89°C as well as a root-mean-square error value of 2.10°C for the entire measurement period. With the validated models, we studied the effect of the encapsulation on the color perception. We present two options of color filters. The first one produces relatively low reflectance losses and presents relative annual direct current (DC) energy losses of up to 6.4% for Delft, in the Netherlands, and up to 5.9% for Alice Springs in Australia. However, this first option has very poor color brightness. The second studied filter produces highly saturated bright colors. Improving brightness can increase the annual DC relative losses up to 13.7% and 13.5% for Delft and Alice Springs, respectively. Overall, we demonstrate that colored filters based on multilayer optical stacks are a versatile option for coloring cells that allow a good compromise between esthetics and performance.","BIPV; c-Si Solar Cells; color perception; colored modules; performance assessment; solar energy","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:c36158c9-c1c7-4b00-a33e-097ea75a6b4e","http://resolver.tudelft.nl/uuid:c36158c9-c1c7-4b00-a33e-097ea75a6b4e","A safety function deployment approach to risk management of HazMat highway transportation","Zhigerbayeva, Guldana (Nazarbayev University); Yang, M. (TU Delft Safety and Security Science)","","2021","Various methods have been developed to ensure the safe transportation of hazardous materials (HazMat). These methods span from conventional statistical methods to modern risk management approaches. An essential question is how to establish the linkages between the regulatory requirements and the safety measures. The analysis of historical data from the past accident report databases would limit our focus on the specific incidents and their causes. Thus, we may overlook some critical elements in risk management, including regulatory compliance, expert opinions, and suggestions. It is necessary to develop a systematic approach that can translate the regulatory requirements of HazMat transportation into specified safety measures (both technical and administrative) to support the risk management. This study develops a structured and transparent method that integrates the quality function deployment (QFD) and risk assessment, namely, safety function deployment (SFD), to identify potential risks and find critical safety barriers for HazMat highway transportation. The proposed method is demonstrated by a hypothetical case study. The approach can serve as a tool to map the safety requirement into specific safety barriers to minimize the risk of HazMat highway transportation.","HazMat transportation; quality function deployment; safety; risk assessment","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:9252e150-4aad-4007-ae52-81fda1d48790","http://resolver.tudelft.nl/uuid:9252e150-4aad-4007-ae52-81fda1d48790","Car-following behavioural adaptation when driving next to automated vehicles on a dedicated lane on motorways: A driving simulator study in the Netherlands","Schoenmakers, Mathijs (Eindhoven University of Technology); Yang, Dujuan (Eindhoven University of Technology); Farah, H. (TU Delft Transport and Planning)","","2021","Automated vehicles (AVs) are expected to improve traffic flow efficiency and safety. The deployment of AVs on motorways is expected to be the first step in their implementation. One of the main concerns is how human drivers will interact with AVs. Dedicating specific lanes to AVs have been suggested as a possible solution. However, there is still a lack of evidence-based research on the consequence of dedicated lanes for AVs on human drivers’ behavior. To bridge this research gap, a driving simulator experiment was conducted to investigate the behavior of human drivers exposed to different road design configurations of dedicated lanes on motorways. The experiment sample consisted of 34 (13 female) licensed drivers in the age range of 20–30. A repeated measures ANOVA was applied, which revealed that the type of separation between the dedicated lane and the other lanes has a significant influence on the behavior of human drivers driving in the proximity of AV platoons. Human drivers maintained a significantly lower time headway (THW) when driving in the proximity of a continuous access dedicated lane as compared to a limited-access dedicated lane with a guardrail separation for AV platoons. A similar result was found for the limited-access dedicated lane in comparison to the limited-access dedicated lane with guardrail separation. Moreover, the results regarding the empirical relationships between THW and sociodemographic variables indicate a significant THW difference between males and females as well as a significant inverse relationship between THW and the years of driving experience.","Automated vehicles; Behavioral adaptation; Dedicated lanes; Driving simulator; Road design","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:5a9d723d-dbec-493d-b147-47905d2eacc5","http://resolver.tudelft.nl/uuid:5a9d723d-dbec-493d-b147-47905d2eacc5","Optimal hot metal desulphurisation slag considering iron loss and sulphur removal capacity part II: evaluation","Schrama, F.N.H. (TU Delft Team Yongxiang Yang; Tata Steel); Beunder, Elisabeth M. (Tata Steel); Panda, Sourav K. (Tata Steel); Visser, Hessel Jan (Tata Steel); Moosavi-Khoonsari, Elmira (Tata Steel); Hunt, Adam (Materials Processing Institute); Sietsma, J. (TU Delft Team Kevin Rossi); Boom, R. (TU Delft Team Kevin Rossi); Yang, Y. (TU Delft Team Yongxiang Yang)","","2021","The optimal hot metal desulphurisation (HMD) slag is defined as a slag with a sufficient sulphur removal capacity and a low apparent viscosity (ηslag) which leads to low iron losses. In part I of this study, the fundamentals behind the optimal slag were discussed. In this part these fundamentals are explored by a Monte Carlo simulation, based on FactSage calculations, plant data analysis and melting point and viscosity measurements of the optimal slag. Furthermore, the applicability of knowing the optimal slag composition for an industrial HMD is discussed.","Hot metal desulphurisation; industrial data; iron loss; Monte Carlo simulation; slag; sulphide capacity; thermodynamics; viscosity and melting point experiments","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:761d8624-a79e-4af4-92a9-7585dbe04921","http://resolver.tudelft.nl/uuid:761d8624-a79e-4af4-92a9-7585dbe04921","Topological Volterra Filters","Leus, G.J.T. (TU Delft Signal Processing Systems); Yang, M. (TU Delft Multimedia Computing); Coutino, Mario (TU Delft Signal Processing Systems); Isufi, E. (TU Delft Multimedia Computing)","","2021","To deal with high-dimensional data, graph filters have shown their power in both graph signal processing and data science. However, graph filters process signals exploiting only pairwise interactions between the nodes, and they are not able to exploit more complicated topological structures. Graph Volterra models, on the other hand, are also able to exploit relations between triplets, quadruplets and so on. However, they have only been exploited for topology identification and are only based on one-hop relations. In this paper, we first review graph filters and graph Volterra models and then merge the two concepts resulting in so-called topological Volterra filters (TVFs). TVFs process signals over multiple hops of higher-level topological structures. First-level TVFs are basically similar to traditional graph filters, yet higher-level TVFs provide a more general processing framework. We apply TVFs to inverse filtering and recommender systems.","Graph Volterra model; Graph filters; Higherlevel interactions; Graph signal processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-13","","","Signal Processing Systems","","",""
"uuid:debae52e-dd96-44c4-817d-ff0a4b42876d","http://resolver.tudelft.nl/uuid:debae52e-dd96-44c4-817d-ff0a4b42876d","Investigating energy performance of large-scale seasonal storage in the district heating system of chifeng city: Measurements and model-based analysis of operation strategies","Xu, L. (TU Delft Applied Ergonomics and Design; Eindhoven University of Technology); Guo, Fang (Tsinghua University); Hoes, Pieter-Jan (Eindhoven University of Technology); Yang, Xudong (Tsinghua University); Hensen, Jan (Eindhoven University of Technology)","","2021","This paper presents a modeling and simulation method that supports energy performance assessment and operation strategy investigation of borehole thermal energy storage in the Chifeng district heating (DH) system. A living laboratory in Chifeng, China that integrates a 0.5 million m3 borehole thermal energy storage system, an on-site solar thermal plant and excess heat from a copper plant is presented. The research adopts Modelica models from open source libraries to evaluate the system. The validity of the borehole thermal energy storage model is evaluated through an inter-model comparison study and an empirical validation test. We used the validated model to investigate three operation strategies. We conclude that the time-scheduled combined operation strategy is more beneficial for the studied system regarding CO2 emission reduction.","Borehole thermal energy storage; Industrial waste heat; Model validation; Modelica; TRNSYS","en","journal article","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:1553d362-a9b1-4ce6-aed7-83c9b4374225","http://resolver.tudelft.nl/uuid:1553d362-a9b1-4ce6-aed7-83c9b4374225","What do You Mean? Interpreting Image Classification with Crowdsourced Concept Extraction and Analysis","Balayn, A.M.A. (TU Delft Web Information Systems); SOILIS, P. (TU Delft Electrical Engineering, Mathematics and Computer Science); Lofi, C. (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2021","Global interpretability is a vital requirement for image classification applications. Existing interpretability methods mainly explain a model behavior by identifying salient image patches, which require manual efforts from users to make sense of, and also do not typically support model validation with questions that investigate multiple visual concepts. In this paper, we introduce a scalable human-in-the-loop approach for global interpretability. Salient image areas identified by local interpretability methods are annotated with semantic concepts, which are then aggregated into a tabular representation of images to facilitate automatic statistical analysis of model behavior. We show that this approach answers interpretability needs for both model validation and exploration, and provides semantically more diverse, informative, and relevant explanations while still allowing for scalable and cost-efficient execution.","Concept extraction; Human computation; Image classification; Machine-learning interpretability","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Web Information Systems","","",""
"uuid:86ebdc20-1b35-4c10-88cd-8c708126fb51","http://resolver.tudelft.nl/uuid:86ebdc20-1b35-4c10-88cd-8c708126fb51","Shear Experiments of Prestressed Concrete Bridge Girders","Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Zarate Garnica, G.I. (TU Delft Concrete Structures); Zhang, F. (TU Delft Concrete Structures); Park, Min-Kook (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Sliedrecht, Henk (Ministry of Infrastructure and the Environment)","","2021","For the assessment of existing slab-between-girder bridges, the shear capacity and failure mode are under discussion. Previous research showed that the static and fatigue punching capacity of the slabs is sufficient as a result of compressive membrane action. The girders then become the critical elements. This research studies the shear capacity of prestressed concrete bridge girders. For this purpose, four (half) girders were taken from an existing bridge that was scheduled for demolition and replacement and tested to failure in the laboratory. Two loading positions were studied. The results show that there should be a distinction between the mode of inclined cracking and the actual failure mode. In addition, the results show that for prestressed concrete girders, the influence of the shear span-depth ratio should be considered for shear span-depth ratios larger than 2.5. These insights can be used for the assessment of existing slab-between-girder bridges in the Netherlands.","bridge assessment; shear-tension; shear-compression; shear; prestressed concrete; large-scale testing; flexure-shear; concrete bridges","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:5a424d04-5ee1-4c33-95f3-947f40d61287","http://resolver.tudelft.nl/uuid:5a424d04-5ee1-4c33-95f3-947f40d61287","Methodological Framework for Modelling and Empirical Approaches (Deliverable D1.1 in the H2020 MSCA ITN project SHAPE-IT)","Figalová, Nikol (University of Ulm); Jokhio, Sarang (University of Ulm); Nasser, Mohamed (University of Ulm); Mbelekani, Naomi Yvonne (Technische Universität München); Zhang, Chi (University of Gothenburg); Yang, Yue (University of Leeds); Peng, Chen (University of Leeds); Berge, S.H. (TU Delft Transport and Planning); Tabone, W. (TU Delft Human-Robot Interaction)","","2021","The progress in technology development over the past decades, both with respect to software and hardware, offers the vision of automated vehicles as means of achieving zero fatalities in traffic. However, the promises of this new technology – an increase in road safety, traffic efficiency, and user comfort – can only be realized if this technology is smoothly introduced into the existing traffic system with all its complexities, constraints, and requirements. SHAPE- IT will contribute to this major undertaking by addressing research questions relevant for the development and introduction of automated vehicles in urban traffic scenarios. Previous research has pointed out several research areas that need more attention for a successful implementation and deployment of human-centred vehicle automation in urban environments.
In SHAPE-IT, for example, a better understanding of human behaviour and the underlying psychological mechanisms will lead to improved models of human behaviour that can help to predict the effects of automated systems on human behaviour already during system development. Such models can also be integrated into the algorithms of automated vehicles, enabling them to better understand the human interaction partners’ behaviours.
Further, the development of vehicle automation is much about technology (software and hardware), but the users will be humans and they will interact with humans both inside and outside of the vehicle. To be successful in the development of automated vehicles functionalities, research must be performed on a variety of aspects. Actually, a highly interdisciplinary team of researchers, bringing together expertise and background from various scientific fields related to traffic safety, human factors, human-machine interaction design and evaluation, automation, computational modelling, and artificial intelligence, is likely needed to consider the human-technology aspects of vehicle automation.
Accordingly, SHAPE-IT has recruited fifteen PhD candidates (Early Stage Researchers – ESRs), that work together to facilitate this integration of automated vehicles into complex urban traffic by performing research to support the development of transparent, cooperative, accepted, trustworthy, and safe automated vehicles. With their (and their supervisors’) different scientific background, the candidates bring different theoretical concepts and methodological approaches to the project. This interdisciplinarity of the project team offers the unique possibility for each PhD candidate to address research questions from a broad perspective – including theories and methodological approaches of other interrelated disciplines. This is the main reason why SHAPE-IT has been funded by the European Commission’s Marie Skłodowska-Curie Innovative Training Network (ITN) program that is aimed to train early state researchers in multidisciplinary aspects of research including transferable skills. With the unique scope of SHAPE-IT, including the human-vehicle perspective, considering different road-users (inside and outside of the vehicle), addressing for example trust, transparency, and safety, and including a wide range of methodological approaches, the project members can substantially contribute to the development and deployment of safe and appreciated vehicle automation in the cities of the future.
To achieve the goal of interdisciplinary research, it is necessary to provide the individual PhD candidate with a starting point, especially on the different and diverse methodological approaches of the different disciplines. The empirical, user-centred approach for the development and evaluation of innovative automated vehicle concepts is central to SHAPE- IT. This deliverable (D1.1 “Methodological Framework for Modelling and Empirical Approaches”) provides this starting point. That is, this document provides a broad overview of approaches and methodologies used and developed by the SHAPE-IT ESRs during their research. The SHAPE-IT PhD candidates, as well as other researchers and developers outside of SHAPE-IT, can use this document when searching for appropriate methodological approaches, or simply get a brief overview of research methodologies often employed in automated vehicle research.
The first chapter of the deliverable shortly describes the major methodological approaches to collect data relevant for investigating road user behaviour. Each subchapter describes one approach, ranging from naturalistic driving studies to controlled experiments in driving simulators, with the goal to provide the unfamiliar reader with a broad overview of the approach, including its scope, the type of data collected, and its limitations. Each subchapter ends with recommendations for further reading – literature that provide much more detail and examples.
The second chapter explains four different highly relevant tools for data collection, such as interviews, questionnaires, physiological measures, and as other current tools (the Wizard of Oz paradigm and Augmented and Virtual Reality). As in the first chapter this chapter provides the reader with information about advantages and disadvantages of the different tools and with proposed further readings.
The third chapter deals with computational models of human/agent interaction and presents in four subchapters different modelling approaches, ranging from models based on psychological mechanisms, rule-based and artificial intelligence models to simulation models of traffic interaction.
The fourth chapter is devoted to Requirements Engineering and the challenge of communicating knowledge (e.g., human factors) to developers of automated vehicles. When forming the SHAPE-IT proposal it was identified that there is a lack of communication of human factors knowledge about the highly technical development of automated vehicles. This is why it is highly important that the SHAPE-IT ESRs get training in requirement engineering. Regardless of the ESRs working in academia or industry after their studies it is important to learn how to communicate and disseminate the findings to engineers.
The deliverable ends with the chapter “Method Champions”. Here the expertise and association of the different PhD candidates with the different topics are made explicit to facilitate and encourage networking between PhDs with special expertise and those seeking support, especially with regards to methodological questions.","","en","report","SHAPE-IT Consortium","","","","","","","","","","Transport and Planning","","",""
"uuid:15f3be4a-182c-4449-b99f-6ee815983988","http://resolver.tudelft.nl/uuid:15f3be4a-182c-4449-b99f-6ee815983988","Research On ADCN Method For Damage Detection Of Mining Conveyor Belt","Qu, Dingran (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Yang, Yi (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology)","","2021","Belt conveyor is considered as a momentous component of modern coal mining transportation system, and thus it is an essential task to diagnose and monitor the damage of belt in real time and accurately. Based on the deep learning algorithm, this present study proposes a method of conveyor belt damage detection based on ADCN (Adaptive Deep Convolutional Network). A deep convolution network with unique adaptability is built to extract the different scale features of visible light image of conveyor belt damage, and the target is classified and located in the form of anchor boxes. A data set with data diversity is collected according to the actual working conditions of the conveyor belt. After training and regression, the ADCN model can perfectly capture and classify the damaged target in the video of the conveyor running. Compared with the SVM based method, the method based on ADCN can better meet the real-time and reliability requirements of belt damage detection, and it has the positioning function which SVM does not have.","ADCN; Belts; Conveyor belt; Convolution; Damage; Deep learning; Feature extraction; Kernel; Mathematical model; Neural networks; Sensors","en","journal article","","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:6e7a29af-9a29-4385-8f54-5a1ea51a19ab","http://resolver.tudelft.nl/uuid:6e7a29af-9a29-4385-8f54-5a1ea51a19ab","Acoustic Emission-based crack tracking for existing concrete structures: Influence of number of load cycles and loading speed","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","Yokota, Hiroshi (editor); Frangopol, Dan M. (editor)","2021","Acoustic Emission (AE)-based crack tracking is a promising approach to locate cracks in concrete structures. Different from conventional AE, this approach uses AE activities during crack closure. But, AE activities during crack closure may be influenced by the number of load cycles and loading speed. This may challenge the applicability of AE-based crack tracking, especially for existing concrete structures with many load cycles in the service life. This paper investigates the influence of the number of load cycles and loading speed on AE-based crack tracking. A total of 80 load cycles were applied on a pre-cracked concrete beam. The last 5 cycles had increasing loading speeds. AE-based crack tracking was carried out in each load cycle. For calibration, Digital Image Correlation (DIC) was performed to measure the crack opening and closure. We found that AE-based crack tracking can locate the cracks, while, with many load cycles, it cannot indicate the crack width. Loading speed had little influence on AE-based crack tracking. The results of this paper put forward the applicability of AE-based crack tracking for existing concrete structures.","","en","conference paper","CRC Press","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-10-18","","","Concrete Structures","","",""
"uuid:8f78a3df-1f60-4746-bf0b-e8d5b4922217","http://resolver.tudelft.nl/uuid:8f78a3df-1f60-4746-bf0b-e8d5b4922217","An Overview of Interfaces for Automated Vehicles (inside/outside) (Deliverable D2.1 in the H2020 MSCA ITN project SHAPE-IT)","Merat, Natasha (University of Leeds); Yang, Yue (University of Leeds); Lee, Yee Mun (University of Leeds); Berge, S.H. (TU Delft Transport and Planning); Figalová, Nikol (University of Ulm); Jokhio, Sarang (University of Ulm); Peng, Chen (University of Leeds); Mbelekani, Naomi Yvonne (Technische Universität München); Tabone, W. (TU Delft Human-Robot Interaction)","","2021","This Deliverable starts with a short overview of the design principles and guidelines developed for current Human Machine Interfaces (HMIs), which are predominantly developed for manually driven vehicles, or those with a number of Advanced Driver Assistance Systems (ADAS), at SAE Levels 0 and 1 (SAE, 2018). It then provides an overview of how the addition of more capable systems, and the move to higher levels of vehicle automation, is changing the role the human inside an Automated Vehicle (AV), and the ways in which future automated vehicles at higher levels of automation (SAE level 4 and 5) must communicate with other road users, in the absence of an “in charge” human driver.
It is argued that such changes in the role of the driver, and more transfer of control to the AV and its different functionalities, means that there will be more emphasis on the roles and responsibilities of HMIs for future AVs. In parallel, the multifaceted nature of these HMI, presented from different locations, both in and outside the vehicles, using a variety of modalities, and engaging drivers in a two-way interaction, means that a new set of design guidelines are required, to ensure that the humans interacting with AVs (inside and outside the vehicle) are not distracted and overloaded, that they remain situation aware and understand the capabilities and limitations of the system, having the right mental model of system capabilities and their responsibilities, as responsible road users, at all times
Following a summary of suggested frameworks and design principles which highlight the significant change needed for new AV HMIs, an overview of results from studies investigating human interaction with internal (or iHMIs), and external (or eHMIs), is provided, with examples of new and innovative methods of communication between humans and their vehicles.
The Deliverable then provides a summary of the innovative approaches that will be tackled by the ESRs of the project, which focus on factors such as use of AI and AR for future design of more intuitive and transparent HMI, studying how HMI can support the long term interaction of humans with AVs, and the use of neuroergonomic methods for developing safer HMIs. The Deliverable concludes by summarising how each ESR’s project contributes to the development of HMIs for future AVs.","","en","report","SHAPE-IT Consortium","","","","","","","","","","Transport and Planning","","",""
"uuid:e30ef7e1-1029-474d-93f0-33b42c675e9a","http://resolver.tudelft.nl/uuid:e30ef7e1-1029-474d-93f0-33b42c675e9a","A-DDPG: Attention Mechanism-based Deep Reinforcement Learning for NFV","He, Nan (Beijing Institute of Technology); Yang, S. (Beijing Institute of Technology); Li, Fan (Beijing Institute of Technology); Trajanovski, S. (Microsoft Corporation); Kuipers, F.A. (TU Delft Embedded Systems); Fu, Xiaoming (University of Göttingen)","","2021","The efficacy of Network Function Virtualization (NFV) depends critically on (1) where the virtual network functions (VNFs) are placed and (2) how the traffic is routed. Unfortunately, these aspects are not easily optimized, especially under time-varying network states with different quality of service (QoS) requirements. Given the importance of NFV, many approaches have been proposed to solve the VNF placement and traffic routing problem. However, those prior approaches mainly assume that the state of the network is static and known, disregarding real-time network variations. To bridge that gap, in this paper, we formulate the VNF placement and traffic routing problem as a Markov Decision Process model to capture the dynamic network state transitions. In order to jointly minimize the delay and cost of NFV providers and maximize the revenue, we devise a customized Deep Reinforcement Learning (DRL) algorithm, called A-DDPG, for VNF placement and traffic routing in a real-time network. A-DDPG uses the attention mechanism to ascertain smooth network behavior within the general framework of network utility maximization (NUM). The simulation results show that A-DDPG outperforms the state-of- the-art in terms of network utility, delay, and cost.","Network function virtualization; deep reinforcement learning; placement; routing","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Embedded Systems","","",""
"uuid:57d1e77f-17b1-435a-ab42-6b61ec581f60","http://resolver.tudelft.nl/uuid:57d1e77f-17b1-435a-ab42-6b61ec581f60","An LSTM Approach to Short-range personnel recognition using Radar Signals","Li, Zhenghui (University of Glasgow); Le Kernec, Julien (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (CY Cergy Paris University); Zhang, Lei (University of Glasgow); Yang, Shufan (University of Glasgow)","","2021","In personnel recognition based on radar, significant research exists on statistical features extracted from the micro-Doppler signatures, whereas research considering other domains and information such as phase is less developed. This paper presents the use of deep learning methods to integrate both phase and magnitude features from range profiles and spectrogram. The temporal features of both domains are separately extracted using a stack of Long Short Term Memory (LSTM) layers. Then, the extracted features are aggregated in the corresponding domains and pass through a series of dense layers with SoftMax classifier. Finally, the information from the two domains is fused with a soft fusion approach to improve the performance further. Preliminary results show that the proposed network with soft fusion can achieve 85.5% accuracy in personnel recognition with six subjects","Radar sensing; Personnel Recognition; LSTM network; Phase information; Micro-Doppler signatures; Range-time information","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-18","","","Microwave Sensing, Signals & Systems","","",""
"uuid:cc14b078-bba2-47d0-b0a5-6af8d3aeff51","http://resolver.tudelft.nl/uuid:cc14b078-bba2-47d0-b0a5-6af8d3aeff51","A Looseness Detection Method for Railway Catenary Fasteners based on Reinforcement Learning Refined Localization","Zhong, Junping (Southwest Jiaotong University); Liu, Zhigang (Southwest Jiaotong University); Wang, H. (TU Delft Railway Engineering); Liu, Wenqiang (Southwest Jiaotong University); Yang, Cheng (Southwest Jiaotong University); Han, Zhiwei (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering)","","2021","Brace sleeve (BS) fasteners, i.e., nut and bolt, are small components but play essential roles in fixing BS and cantilever in railway catenary system. They are commonly inspected by onboard cameras using computer vision to ensure the safety of railway operation. However, most BS fasteners cannot be directly localized because they are too small in the inspection images. Instead, the BS is first localized for detecting the BS fastener. This leads to a new problem that the localized BS boxes may not contain the complete BS fasteners due to low localization accuracy, making it infeasible to further diagnose the fastener conditions. To tackle this problem, this article proposes a novel pipeline for BS fastener looseness diagnosis. First, the competitive deep learning model Faster RCNN ResNet101 is used to coarsely localize BSs. Second, an action-driven reinforcement learning agent is adopted to refine the coarse-localized boxes through a dynamic position searching process. Then, BS fasteners are extracted from the refined localized BS image by the deep segmentation model YOLACT++, which is fast and interpretable. Finally, a looseness diagnosis criterion based on segmented information are proposed. We evaluate the performance of submodels independently and the overall performance of the whole model on a real-life catenary image dataset collected from a high-speed line in China. The test results show that the proposed method is effective for BS looseness detection in railway catenary.","Component segmentation; reinforcement learning (RL); railway catenary fasteners; looseness detection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-07","","","Railway Engineering","","",""
"uuid:418055b2-832e-4ccc-9a01-f8e02aa8e9a2","http://resolver.tudelft.nl/uuid:418055b2-832e-4ccc-9a01-f8e02aa8e9a2","SAGE: Intrusion Alert-driven Attack Graph Extractor","Nadeem, A. (TU Delft Cyber Security); Verwer, S.E. (TU Delft Cyber Security); Yang, Shanchieh Jay (Rochester Institute of Technology)","","2021","Attack graphs (AG) are used to assess pathways availed by cyber adversaries to penetrate a network. State-of-the-art approaches for AG generation focus mostly on deriving dependencies between system vulnerabilities based on network scans and expert knowledge. In real-world operations however, it is costly and ineffective to rely on constant vulnerability scanning and expert-crafted AGs.
We propose to automatically learn AGs based on actions observed through intrusion alerts, without prior expert knowledge. Specifically, we develop an unsupervised sequence learning system, SAGE, that leverages the temporal and probabilistic dependence between alerts in a suffix-based probabilistic deterministic finite automaton (S-PDFA) -- a model that accentuates infrequent severe alerts and summarizes paths leading to them. AGs are then derived from the S-PDFA on a per-objective, per-victim basis.
Tested with intrusion alerts collected through Collegiate Penetration Testing Competition, SAGE compresses over 330k alerts into 93 AGs. These AGs reflect the strategies used by the participating teams. The AGs are succinct, interpretable, and capture behavioral dynamics, e.g., that attackers will often follow shorter paths to re-exploit objectives.","","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-06-07","","","Cyber Security","","",""
"uuid:07cd678b-894b-47b9-b9d5-0e024a77aff1","http://resolver.tudelft.nl/uuid:07cd678b-894b-47b9-b9d5-0e024a77aff1","A dynamic stochastic methodology for quantifying HAZMAT storage resilience","Chen, C. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2021","A disruption to hazardous (flammable, explosive, and toxic) material (HAZMAT) storage plants may trigger escalation effects, resulting in more severe storage performance losses and making the performance restoration more difficult. The disruption, such as an intentional attack, may be difficult to predict and prevent, thus developing a resilient HAZMAT storage plant may be a practical and effective way to deal with these disruptions. This study develops a dynamic stochastic methodology to quantify the resilience of HAZMAT storage plants. In this methodology, resilience evolution scenarios are modeled as a dynamic process that consists of four stages: disruption, escalation, adaption, and restoration stages. The resistant capability in the disruption stage, mitigation capability in the escalation stage, adaption capability in the adaption stage, and restoration capability in the restoration stage are quantified to obtain the HAZMAT storage resilience. The uncertainties in the disruption stage and the mitigation stage are considered, and the dynamic Monte Carlo method is used to simulate possible resilience scenarios and thus quantify the storage resilience. A case study is used to illustrate the developed methodology, and a discussion based on the case study is provided to find out the critical parameters and resilience measures.","Dynamic evolution; Escalation effects; Hazardous material; Storage resilience; Uncertainty","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:e0dc858a-b811-4193-9abc-0f1d759c0fe0","http://resolver.tudelft.nl/uuid:e0dc858a-b811-4193-9abc-0f1d759c0fe0","Monitoring structural responses during proof load testing of reinforced concrete bridges: A review","Zarate Garnica, G.I. (TU Delft Concrete Structures); Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); van der Veen, C. (TU Delft Concrete Structures); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Naaktgeboren, M. (Rijkswaterstaat); Fennis, S.A.A.M. (Rijkswaterstaat)","Yokota, Hiroshi (editor); Frangopol, Dan M. (editor)","2021","Proof load testing can be an interesting method to assess existing bridges for which analytical methods are unable to provide an accurate assessment. In a proof load test, a load representative of the factored live load is applied to the bridge. If the bridge can carry this load without distress, the proof load test is success-ful, and the bridge proves it fulfils the code requirements. Since large loads are applied, the structure or element that is tested needs to be carefully monitored during the test. This paper reviews the literature on reported load tests and the measurement techniques used during these tests. It also includes the test goals these techniques can address, and the advantages and disadvantages of the contact and non-contact techniques. The result of this re-view is guidance for the selection of appropriate monitoring and measurement techniques during load tests. This practical recommendation can serve engineers during the preparation of a load test, and will be extended in the future with stop criteria validated with experimental results.","","en","conference paper","CRC Press","","","","","Accepted Author Manuscript","","2022-04-18","","","Concrete Structures","","",""
"uuid:6d5454df-83c6-497a-b6d7-b44d293eb224","http://resolver.tudelft.nl/uuid:6d5454df-83c6-497a-b6d7-b44d293eb224","Safety and security of oil and gas pipeline transportation: A systematic analysis of research trends and future needs using WoS","Chen, C. (TU Delft Safety and Security Science; Southwest Petroleum University); Li, Changjun (Southwest Petroleum University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, F. (TU Delft Safety and Security Science; Fuzhou University)","","2021","The terrorist attack on the Abqaiq oil plant in Saudi Arabia on September 14, 2019 attracted global attention to the significant role of safety and security in the sustainable oil and gas supply chain and the vulnerability of supply infrastructures subject to intentional and unintentional damages. Different from other oil and gas supply infrastructures, oil and gas pipelines may be more vulnerable to accidental, natural and intentional threats due to their widespread distribution. Therefore a systematic and thorough review is carried out to investigate safety and security of oil and gas pipelines based on bibliometric analysis. First, a total of 598 publications between 1970 and 2019 related to safety and security of oil and natural gas pipelines was retrieved and refined from the database of Web of Science (WoS). The 598 publications are analyzed by the bibliometric software VOSviewer to obtain the temporal and regional distribution of publications, to identify “productive institutions” and “productive authors”, and create the cooperation networks between institutions and authors. Besides, the evolution of research topics and research methods are identified based on keywords and bibliographic analysis. Moreover, the main research topics and research methods are analyzed to obtain insight into the research evolutions and trends. Risk assessment, leakage, and corrosion are the main topics while QRA, fuzzy theory and the Bayesian network are the most frequently used research methods. To further improve the sustainability of oil and gas pipelines, this study provides and discusses future research needs such as pipeline security, environmental sustainability, pipeline system resilience. According to these results, the research on risk assessment based on Bayesian network and consequence analysis using CFD may increase in the future. Besides, more research and guidelines on pipeline security, resilience, and environmental impacts to better protect pipelines, are expected.","Bibliometric analysis; Oil and gas pipelines; Research evolution; Safety and security; Sustainable transportation","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:22bf3de3-fa67-4ea1-9bf8-efbeaef805ca","http://resolver.tudelft.nl/uuid:22bf3de3-fa67-4ea1-9bf8-efbeaef805ca","Canada's oil sands industry from a sustainability perspective","Khakzad, N. (TU Delft Safety and Security Science; Toronto Metropolitan University); Dadashzadeh, Mohammad (Efectis); Abbassi, Rouzbeh (Macquarie University); Yang, M. (TU Delft Safety and Security Science)","","2021","The present study aims to investigate the impacts of oil sands development in Canada on the economy, society and the environment as the three pillars of sustainability. Factors such as aquatic ecosystems, land disturbance and reclamation, air quality, public health, safety, aboriginal and local communities, gross domestic product, employment rate and job creation, government revenues and demographic changes have been considered. Based on a review of the available literature, this study shows that the oil sands industry has so far fallen short in keeping a balance among the three pillars of sustainability, with the negative impacts on society (e.g. changing the lifestyle of Aboriginal people) and the environment (e.g. land disturbance) overweighing the relatively positive economic impacts. This, along with the current pace of remedies (e.g. land reclamation), makes it hard to conclude that the oil sands industry is sustainable.","energy; environment; sustainable development","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:7230080d-3df1-4408-952b-9f28a0a7fc2e","http://resolver.tudelft.nl/uuid:7230080d-3df1-4408-952b-9f28a0a7fc2e","A Multi-Sensor Information Fusion Method Based on Factor Graph for Integrated Navigation System","Xu, J. (TU Delft Interactive Intelligence); Yang, Gongliu (Beihang University); Sun, Yiding (Beihang University); Picek, S. (TU Delft Cyber Security)","","2021","The current navigation systems used in many autonomous mobile robotic applications, like unmanned vehicles, are always equipped with various sensors to get accurate navigation results. The key point is to fuse the information from different sensors efficiently. However, different sensors provide asynchronous measurements, some of which even appear to be nonlinear. Moreover, some sensors are vulnerable in specific environments, e.g., GPS signal is likely to work poorly in interior space, underground, and tall buildings. We propose a multi-sensor information fusion method based on a factor graph to fuse all available asynchronous sensor information and efficiently and accurately calculate a navigation solution. Assuming the sensor measurements and navigation states in a navigation system as factor nodes and variable nodes in a factor graph, respectively, the update of the states can be implemented in the framework of the factor graph. The proposed method is experimentally validated using two different datasets. A comparison with Federated Filter, which has been widely used in integrated navigation systems, demonstrates the proposed method’s effectiveness. Additionally, analyzing the navigation results with data loss verifies that the proposed method could achieve sensor plug and play in software.","Integrated navigation; multi-sensor; information fusion; factor graph; plug and play","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:c52674a9-bdc0-4508-86cb-fee8bc8d3c05","http://resolver.tudelft.nl/uuid:c52674a9-bdc0-4508-86cb-fee8bc8d3c05","Bridge Load Testing: State-of-The-Practice","Alampalli, Sreenivas (LLC); Frangopol, Dan M. (Lehigh University); Grimson, Jesse (Bdi); Halling, Marvin W. (Utah State University); Kosnik, David E. (CTL Group); Lantsoght, E.O.L. (TU Delft Concrete Structures); Yang, David (Portland State University); Zhou, Y. Edward (Aecom)","","2021","Bridge load testing can answer a variety of questions about bridge behavior that cannot be answered otherwise. The current governing codes and guidelines for bridge load testing in the United States are the 1998 NCHRP Manual for Bridge Rating through Load Testing and Chapter 8 of the AASHTO Manual for Bridge Evaluation. Over the last two decades, the practice of load testing has evolved, and its intersections with other fields have expanded. The outcomes of load tests have been used to keep bridges open cost-effectively without unnecessarily restricting legal loads, when theoretical analyses cannot yield insights representative of in-service performance. Load testing data can be further used to develop field-verified finite-element models of tested bridges to understand these structures better. In addition, structural reliability concepts can be used to estimate the probability of failure based on the results of load tests, and noncontact measurement techniques capturing large surfaces of bridges allow for better monitoring of structural responses. Given these developments, a new Transportation Research Board (TRB) Circular, Primer on Bridge Load Testing, has been developed. This document contains new proposals for interpreting the results of diagnostic load tests, loading protocols, and the determination of bridge load ratings based on the results of proof load tests. In addition, included provisions provide an estimation of the resulting reliability index and the remaining service life of a bridge based on load testing results. The benefit of load testing is illustrated based on a cost-benefit analysis. The current state-of-The-practice has demonstrated that load testing is an effective means for answering many important questions regarding bridge behavior that are critical to decisions on bridge maintenance or replacement. Load testing has evolved over its history, and the newly developed TRB Circular reflects this evolution in a practical way.","Bridge maintenance; Bridge tests; Codes and guidelines; Field tests; Instrumentation; Load testing","en","review","","","","","","Accepted Author Manuscript","","","","","Concrete Structures","","",""
"uuid:6ed1de6b-65ab-443a-9edb-e6e5ae840dc0","http://resolver.tudelft.nl/uuid:6ed1de6b-65ab-443a-9edb-e6e5ae840dc0","Analysis of Railway Ballasted Track Stiffness and Behavior with a Hybrid Discrete-Continuum Approach","Shi, Can (Southwest Jiaotong University); Zhao, Chunfa (Southwest Jiaotong University); Yang, Yang (China Railway Eryuan Engineering Group Co.); Guo, Y. (TU Delft Railway Engineering); Zhang, Xu (Guangdong University of Technology)","","2021","Railway ballasted track stiffness is an important indicator to identify supporting condition that ensures that the facility is well designed and functioned. Although many studies have been performed on track stiffness based on experimental tests and finite-element methods, the factors influencing the track stiffness have not been completely confirmed yet, especially the influences from ballast and subgrade layers at the mesoscopic level. To address this research gap, a combination of the discrete element method and the finite difference method model was utilized to study the factors influencing the track stiffness from the particle level. Factors (related to ballast layer properties) are bulk density, thickness, and stiffness, and another factor (related to subgrade properties) is elastic modulus. Additionally, the relationship between the track stiffness and the mechanical behavior of ballast was analyzed. This study quantified the influences of track components on the track stiffness and accordingly proposed how to improve it from the ballast and subgrade layers at the mesoscopic level, which can provide guidance for railway ballasted track design and maintenance.","Discrete element method; Finite difference method; Hybrid simulation; Railway ballasted track; Track stiffness","en","journal article","","","","","","Accepted Author Manuscript","","","","","Railway Engineering","","",""
"uuid:043c3099-b61a-41aa-8469-998854766824","http://resolver.tudelft.nl/uuid:043c3099-b61a-41aa-8469-998854766824","Development and Piezoelectric Properties of a Stack Units-Based Piezoelectric Device for Roadway Application","Li, Chenchen (Tongji University; Rheinisch-Westfälische Technische Hochschule); Yang, Fan (Tongji University); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Fu, Chaoliang (Rheinisch-Westfälische Technische Hochschule); Liu, Quan (Rheinisch-Westfälische Technische Hochschule); Zhao, Hongduo (Tongji University); Lin, P. (TU Delft Pavement Engineering)","","2021","To improve the energy harvesting efficiency of the piezoelectric device, a stack units-based structure was developed and verified. Factors such as stress distribution, load resistance, loads, and loading times influencing the piezoelectric properties were investigated using theoretical analysis and experimental tests. The results show that the unit number has a negative relationship with the generated energy and the stress distribution has no influence on the power generation of the piezoelectric unit array. However, with a small stress difference, units in a parallel connection can obtain high energy conversion efficiency. Additionally, loaded with the matched impedance of 275.0 kΩ at 10.0 kN and 10.0 Hz, the proposed device reached a maximum output power of 84.3 mW, which is enough to supply the low-power sensors. Moreover, the indoor load test illustrates that the electrical performance of the piezoelectric device was positively correlated with the simulated loads when loaded with matched resistance. Furthermore, the electrical property remained stable after the fatigue test of 100,000 cyclic loads. Subsequently, the field study confirmed that the developed piezoelectric device had novel piezoelectric properties with an open-circuit voltage of 190 V under an actual tire load, and the traffic parameters can be extracted from the voltage waveform","pavement engineering; energy harvesting; piezoelectric device; stacked piezoelectric unit; piezoelectric properties; roadway application","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:87315d6c-4be2-4f08-98ca-5f6c98b08188","http://resolver.tudelft.nl/uuid:87315d6c-4be2-4f08-98ca-5f6c98b08188","Finite Impulse Response Filters for Simplicial Complexes","Yang, M. (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing); Schaub, Michael T. (Rheinisch-Westfälische Technische Hochschule); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2021","In this paper, we study linear filters to process signals defined on simplicial complexes, i.e., signals defined on nodes, edges, triangles, etc. of a simplicial complex, thereby generalizing filtering operations for graph signals. We propose a finite impulse response filter based on the Hodge Laplacian, and demonstrate how this filter can be designed to amplify or attenuate certain spectral components of simplicial signals. Specifically, we discuss how, unlike in the case of node signals, the Fourier transform in the context of edge signals can be understood in terms of two orthogonal subspaces corresponding to the gradient-flow signals and curl-flow signals arising from the Hodge decomposition. By assigning different filter coefficients to the associated terms of the Hodge Laplacian, we develop a subspace-varying filter which enables more nuanced control over these signal types. Numerical experiments are conducted to show the potential of simplicial filters for sub-component extraction, denoising and model approximation.","Hodge decomposition; Hodge Laplacian; simplicial complexes; simplicial filters; Topological signal processing","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-06-08","","","Multimedia Computing","","",""
"uuid:1fd8a201-be6b-41b8-9f19-f72f683e250e","http://resolver.tudelft.nl/uuid:1fd8a201-be6b-41b8-9f19-f72f683e250e","Design and optimization of hole collectors based on nc-SiOx:H for high-efficiency silicon heterojunction solar cells","Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices; Shenzhen Institute of Wide-bandgap Semiconductors); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; TNO Energy Transition); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2021","Low activation energy (Ea) and wide bandgap (Eg) are essential for (p)-contacts to achieve effective hole collection in silicon heterojunction (SHJ) solar cells. In this work, we study Plasma-Enhanced Chemical Vapor Deposition p-type hydrogenated nanocrystalline silicon oxide, (p)nc-SiOx:H, combined with (p)nc-Si:H as (p)-contact in front/back-contacted SHJ solar cells. We firstly determine the effect of a plasma treatment at the (i)a-Si:H/(p)-contact interface on the thickness-dependent Ea of (p)-contacts. Notably, when the (p)nc-Si:H layer is thinner than 20 nm, the Ea decreases by applying a hydrogen plasma treatment and a very-high-frequency (i)nc-Si:H treatment. Such an interface treatment also significantly reduces the contact resistivity of the (p)-contact stacks (ρc,p), resulting in an improvement of 6.1%abs in fill factor (FF) of the completed cells. Thinning down the (i)a-Si:H passivating layer to 5 nm leads to a low ρc,p (144 mΩ⋅cm2) for (p)-contact stacks. Interestingly, we observe an increment of FF from 72.9% to 78.3% by using (p)nc-SiOx:H layers featuring larger differences between their optical gap (E04) and Ea, which tend to enhance the built-in potential at the c-Si/(i)a-Si:H interface. Furthermore, we observe clear impacts on ρc,p, open-circuit voltage, and FF by optimizing the thicknesses of (p)-contact that influence its Ea. In front junction cells, the vertical and lateral collection of holes is affected by ρc,p of (p)-contact stacks. This observation is also supported by TCAD simulations which reveal different components of lateral contributions. Lastly, we obtain both front and rear junction cells with certified FF well-above 80% and the best efficiency of 22.47%.","Activation energy (E); Contact resistivity (ρ); Hydrogenated nanocrystalline silicon oxide (nc-SiO:H); Interface treatment; Optoelectrical properties; Silicon heterojunction (SHJ)","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:864b9106-1ed4-4c11-a506-faf441c2fb4f","http://resolver.tudelft.nl/uuid:864b9106-1ed4-4c11-a506-faf441c2fb4f","Surface functionalized N-C-TiO2/C nanocomposites derived from metal-organic framework in water vapour for enhanced photocatalytic H2 generation","Hussain, Mian Zahid (University of Exeter; Technische Universität München); Yang, Zhuxian (University of Exeter); van der Linden, B. (TU Delft ChemE/O&O groep; TU Delft ChemE/Catalysis Engineering); Huang, Zheng (University of Exeter); Jia, Quanli (Zhengzhou University); Cerrato, Erik (University of Turin); Fischer, Roland A. (Technische Universität München); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Zhu, Yanqiu (University of Exeter); Xia, Yongde (University of Exeter)","","2021","Surface-functionalized nitrogen/carbon co-doped polymorphic TiO2 phase junction nanoparticles uniformly distributed in porous carbon matrix were synthesized by a simple one-step pyrolysis of titanium based metal–organic framework (MOF), NH2-MIL-125(Ti) at 700 °C under water vapour atmosphere. Introducing water vapour during the pyrolysis of NH2-MIL-125(Ti) not only functionalizes the derived porous carbon matrix with carboxyl groups but also forms additional oxygen-rich N like interstitial/intraband states lying above the valence band of TiO2 along with the self-doped carbon, which further narrows the energy band gaps of polymorphic TiO2 nanoparticles that enhance photocatalytic charge transfer efficiency. Without co-catalyst, sample N-C-TiO2/CArW demonstrates H2 evolution activity of 426 µmol gcat-1 h−1, which remarkably outperforms commercial TiO2 (P-25) and N-C-TiO2/CAr with a 5-fold and 3-fold H2 generation, respectively. This study clearly shows that water vapour atmosphere during the pyrolysis increases the hydrophilicity of the Ti-MOF derived composites by functionalizing porous carbon matrix with carboxylic groups, as well as enhancing the electrical conductivity and charge transfer efficiency due to the formation of additional localized oxygen-rich N like interstitial/intraband states. This work also demonstrates that by optimizing the anatase–rutile phase composition of the TiO2 polymorphs, tuning the energy band gaps by N/C co-doping and functionalizing the porous carbon matrix in the N-C-TiO2/C nanocomposites, the photocatalytic H2 generation activity can be further enhanced.","Hydrogen generation; Metal-organic framework; Nanocomposite; Photocatalysis; Porous carbon; TiO","en","journal article","","","","","","4/1/21 nog geen publishersversion","","2021-09-04","","","ChemE/O&O groep","","",""
"uuid:f59b5b31-f890-4d1f-9a2f-aa77bca15333","http://resolver.tudelft.nl/uuid:f59b5b31-f890-4d1f-9a2f-aa77bca15333","An attribute-based model to retrieve storm surge disaster cases","Wang, Ke (Tsinghua University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Yang, Yongsheng (Tsinghua University); Li, Jian (Tsinghua University); Huang, Quanyi (Tsinghua University)","Adrot, Anouck (editor); Grace, Rob (editor); Moore, Kathleen (editor); Zobel, Christopher W. (editor)","2021","In China, storm surge disasters cause severe damages in coastal regions. One of the most critical tasks is to predict affected regions and their relative damage levels to support decision-making. This study develops a two-stage retrieval model to search the most similar past disaster case to complete prediction. Based on spatial attributes of cases, the top-ranking past cases with a similar location to the target case are selected. Among these past cases, the most similar past case is selected by disaster attribute similarities. Three typical storm surge case studies have been used and implemented into this proposed model, and the results show that all the most affected regions can be predicted. The proposed model simplifies the prediction process and updates results quickly. This study provides valuable information for the government to make real-time response plans.","Affected region prediction; Multiple attributes; Retrieval model; Storm surge disaster","en","conference paper","Information Systems for Crisis Response and Management, ISCRAM","","","","","","","","","","Safety and Security Science","","",""
"uuid:cebd7423-e6fa-468e-b332-91bc75abaca1","http://resolver.tudelft.nl/uuid:cebd7423-e6fa-468e-b332-91bc75abaca1","FIB-milled plasmonic nanoapertures allow for long trapping times of individual proteins","Yang, W.W.W. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); van Dijk, M.C. (TU Delft Student Development; Kavli institute of nanoscience Delft); Primavera, Christian (Kavli institute of nanoscience Delft; Student TU Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2021","We have developed a fabrication methodology for label-free optical trapping of individual nanobeads and proteins in inverted-bowtie-shaped plasmonic gold nanopores. Arrays of these nanoapertures can be reliably produced using focused ion beam (FIB) milling with gap sizes of 10–20 nm, single-nanometer variation, and with a remarkable stability that allows for repeated use. We employ an optical readout where the presence of the protein entering the trap is marked by an increase in the transmission of light through the nanoaperture from the shift of the plasmonic resonance. In addition, the optical trapping force of the plasmonic nanopores allows 20-nm polystyrene beads and proteins, such as beta-amylase and Heat Shock Protein (HSP90), to be trapped for very long times (approximately minutes). On demand, we can release the trapped molecule for another protein to be interrogated. Our work opens up new routes to acquire information on the conformation and dynamics of individual proteins.","Biophysical chemistry; Materials science; Physical chemistry; Protein","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:1842d753-d002-4081-b7c6-2d51915d5e17","http://resolver.tudelft.nl/uuid:1842d753-d002-4081-b7c6-2d51915d5e17","High Indirect Energy Consumption in AEM-Based CO2Electrolyzers Demonstrates the Potential of Bipolar Membranes","Blommaert, M.A. (TU Delft ChemE/Materials for Energy Conversion and Storage); Subramanian, S.S. (TU Delft ChemE/Materials for Energy Conversion and Storage); Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage); Vermaas, D.A. (TU Delft ChemE/Transport Phenomena)","","2021","Typically, anion exchange membranes (AEMs) are used in CO2 electrolyzers, but those suffer from unwanted CO2 crossover, implying (indirect) energy consumption for generating an excess of CO2 feed and purification of the KOH anolyte. As an alternative, bipolar membranes (BPMs) have been suggested, which mitigate the reactant loss by dissociating water albeit requiring a higher cell voltage when operating at a near-neutral pH. Here, we assess the direct and indirect energy consumption required to produce CO in a membrane electrode assembly with BPMs or AEMs. More than 2/3 of the energy consumption for AEM-based cells concerns CO2 crossover and electrolyte refining. While the BPM-based cell had a high stability and almost no CO2 loss, the Faradaic efficiency to CO was low, making the energy requirement per mol of CO higher than for the AEM-based cell. Improving the cathode-BPM interface should be the future focus to make BPMs relevant to CO2 electrolyzers.","anion exchange membrane; bipolar membrane; COelectrolysis; COutilization; crossover; membrane electrode assembly","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:efd06fd5-b732-4de2-8bc5-af985d5c5236","http://resolver.tudelft.nl/uuid:efd06fd5-b732-4de2-8bc5-af985d5c5236","Peer grading the peer reviews: A dual-role approach for lightening the scholarly paper review process","Arous, Ines (University of Fribourg); Yang, J. (TU Delft Web Information Systems); Khayati, Mourad (University of Fribourg); Cudre-Mauroux, Philippe (University of Fribourg)","","2021","Scientific peer review is pivotal to maintain quality standards for academic publication. The effectiveness of the reviewing process is currently being challenged by the rapid increase of paper submissions in various conferences. Those venues need to recruit a large number of reviewers of different levels of expertise and background. The submitted reviews often do not meet the conformity standards of the conferences. Such a situation poses an ever-bigger burden on the meta-reviewers when trying to reach a final decision. In this work, we propose a human-AI approach that estimates the conformity of reviews to the conference standards. Specifically, we ask peers to grade each other's reviews anonymously with respect to important criteria of review conformity such as sufficient justification and objectivity. We introduce a Bayesian framework that learns the conformity of reviews from both the peer grading process, historical reviews and decisions of a conference, while taking into account grading reliability. Our approach helps meta-reviewers easily identify reviews that require clarification and detect submissions requiring discussions while not inducing additional overhead from reviewers. Through a large-scale crowdsourced study where crowd workers are recruited as graders, we show that the proposed approach outperforms machine learning or review grades alone and that it can be easily integrated into existing peer review systems.","Crowdsourcing; Human-AI collaboration; Peer grading; Peer review","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:2c178b45-c7f0-4c7b-840f-1753e1f28983","http://resolver.tudelft.nl/uuid:2c178b45-c7f0-4c7b-840f-1753e1f28983","Resilience-based approach to safety barrier performance assessment in process facilities","Sun, Hao (China University of Petroleum (East China)); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2021","The performance assessment of safety barriers is essential to find vulnerable elements in a safety barrier system. Traditional performance assessment approaches mainly focus on using several static indicators for quantifying the performance of safety barriers. However, with the increasing complexity of the system, emerging hazards are highly uncertain, making it challenging for the static indicators to assess the performance of safety barriers. This paper proposes a resilience−based performance assessment method for safety barriers to overcome this problem. Safety barriers are classified according to their functions first. The dynamic Bayesian network (DBN) is then introduced to calculate the availability function under normal and disruption conditions. The ratio of the system's availability, when affected by the disruption, to the initial availability, is used to determine the absorption capacity of the system. The ratio of the quantity of availability recovery to the total quantity of system represents the adaptation and restoration capacity of the system. The system's resilience is represented by the sum of absorption, adaptation, and restoration capacities. The wax oil hydrogenation process is used to demonstrate the applicability of the proposed methodology.","Availability; Bayesian network; Resilience; Safety barrier management","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-17","","","Safety and Security Science","","",""
"uuid:1487b2c7-b877-405c-a28c-d62d44a2ee99","http://resolver.tudelft.nl/uuid:1487b2c7-b877-405c-a28c-d62d44a2ee99","Reduction Kinetics of Fine Hematite Ore Particles in Suspension","Chen, Z. (TU Delft (OLD) MSE-3; Flemish Institute for Technological Research); Zeilstra, Christiaan (Tata Steel Europe Limited); van der Stel, Jan (Tata Steel Europe Limited); Sietsma, J. (TU Delft Team Kevin Rossi); Yang, Y. (TU Delft Team Yongxiang Yang)","","2021","Suspension reduction kinetics of hematite ore particles at 1710 K to 1785 K was described by the Johnson-Mehl-Avrami-Kolmogorov model with Avrami exponent of 1.405. The apparent activation energy is 105.5 kJ mol−1 with the rate determining step of nucleation and growth. The reduction degree of the hematite at the endpoint is a linear function of temperature and the logarithmic oxygen potential of the reacting gas. A peak function of reaction rate constant with particle size has been verified in this work, and the maximum value of the reaction rate is located at around 85 µm particle size. The influence of heat transfer on the reaction process has been evaluated. The results suggest that the heating-up process for large particles, 244 µm particles, for instance, cannot be ignored. It can retard the reaction rate compared to small particles. Normally, the reaction rate constant decreases linearly with the increase of ln[p(O2)] of the reacting gas mixture. However, 95 vol pct CO2 in the reacting gas can accelerate the reaction rate of thermal decomposition of hematite due to the emissivity of CO2 gas. It results in a higher reaction rate of 110 µm particles in 95 vol pct CO2-containing gas than that in other less CO2-containing gases.","","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:8121bb36-3124-47c6-a0e1-e902b49ef63f","http://resolver.tudelft.nl/uuid:8121bb36-3124-47c6-a0e1-e902b49ef63f","Maritime broadband communication: Wireless channel measurement and characteristic analysis for offshore waters","Li, Changzhen (Wuhan University of Technology); Yu, Junyi (Samsung Research China); Xue, J. (TU Delft Safety and Security Science); Chen, Wei (Wuhan University of Technology); Wang, Shoufeng (Samsung Research China); Yang, Kun (Zhejiang Ocean University; Super Radio AS)","","2021","For a long time, the development of maritime communication has been restricted by the low data rate, high-latency and high cost of the current communication systems. The upgrade of new generation mobile communication technologies is attracting more and more attention to conduct a shore-based broadband mobile communication network with high-latency and high reliability to serve the maritime industries. This paper presents a solution by means of building a ship-to-infrastructure (S2I) and a ship-to-ship (S2S) wireless communication networks for an offshore region. We characterize the S2I and S2S channels at 5.9 GHz band based on the channel measurements in realistic environments. The channel characteristics, including power delay profile, delay spread, propagation path loss, are extracted and analyzed. In view of the difference between marine and terrestrial communications, we analyze the influencing factors of the offshore water, including effective reflection, divergence and shadowing from the water surface, and diffraction loss caused by the earth curvature. We also predict the power coverage range and the channel capacity for S2I and S2S wireless communications. Finally, the communication performance is evaluated according to the channel measurement and characterization analysis. The research results can be a reference for the construction of maritime communication networks.","Channel measurement; Maritime safety; Performance evaluation; Shore-based maritime communications","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:bc1b853c-7e8c-4b55-b55d-571f3f3bbeb5","http://resolver.tudelft.nl/uuid:bc1b853c-7e8c-4b55-b55d-571f3f3bbeb5","How properties of low molecular weight model competitors impact organic micropollutant adsorption onto activated carbon at realistically asymmetric concentrations","Wang, Q. (TU Delft Computational Design and Mechanics; Chinese Academy of Sciences; University of Chinese Academy of Sciences); Mitchell, Raja Louisa (Technical University of Berlin); Hofman, Roberta (KWR Water Research Institute); Yu, Jianwei (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yang, Min (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Rietveld, L.C. (TU Delft Water Management); Zietzschmann, F.E. (TU Delft Sanitary Engineering; Technical University of Berlin; Berliner Wasserbetriebe)","","2021","Low molecular weight (LMW) dissolved organic matter (DOM) is the predominant competitor for adsorption sites against organic micropollutants (OMPs) in activated carbon adsorption. However, top-down approaches using highly complex mixtures of real water DOM do not allow to concisely examine the impacts of specific LMW DOM molecular properties on competitive adsorption. Therefore, we followed a bottom-up approach using fifteen model compounds (mDOM) to elucidate how important DOM characteristics, including hydrophobicity and unsaturated structures (ring, double/triple bond), impact competitiveness. Large concentration asymmetry (~500 μg DOC/μg OMP) made mDOM compounds, which were overall less preferentially adsorbed than OMPs, become competitive against OMPs and inhibit OMP adsorption kinetics by pre-occupation of adsorption sites. Our results revealed that both hydrophobicity interactions and π-interactions increased mDOM competitiveness, while π-interactions outweighed hydrophobic interactions. However, π-interactions could not be satisfactorily evaluated with a parameter such as specific ultraviolet absorbance (SUVA) due to interferences of carboxyl groups in aromatic mDOMs. Instead, mDOM adsorbability, described by mDOM adsorption capacity, proved to be a comprehensive indicator for mDOM competitiveness. To our knowledge, this is the first study that systematically clarifies the impacts of intricately interacting molecular properties on DOM adsorption and the related competition against OMP adsorption. DOM adsorbability may inspire a new fractionation, and assist the further isolation, identification and detailed characterization of LMW DOM competitors in real DOM-containing waters.","Activated carbon; Adsorbability; Competitive adsorption; Complementary adsorption; Dissolved organic matter (DOM); Model compounds","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-18","","Water Management","Computational Design and Mechanics","","",""
"uuid:a42f9b42-34f5-4d0b-a6ac-b68cc1bb21e1","http://resolver.tudelft.nl/uuid:a42f9b42-34f5-4d0b-a6ac-b68cc1bb21e1","Observing the impact of urban morphology and building geometry on thermal environment by high spatial resolution thermal images","Yang, Jinxin (Guangzhou University); Shi, Qian (Sun Yat-sen University); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Wong, Man Sing (The Hong Kong Polytechnic University); Wu, Zhifeng (Guangzhou University); Zhao, Qunshan (University of Glasgow); Abbas, Sawaid (The Hong Kong Polytechnic University); Xu, Yong (Guangzhou University)","","2021","Urban surface temperature is a very important variable in the observation and understanding of energy exchange. A comprehensive understanding of the urban thermal environment is of great significance towards the adaptability of urban areas to climate hazards. The heterogeneity of urban space increases the complexity of the urban surface temperature observations and the analyses of the energy exchange. To understand how the urban geometry affects the distribution of surface temperature, we used airborne thermal infrared remotely sensed images at very high spatial resolution (original spatial resolution is 0.2 m × 0.2 m after registration). We did this study in Hong Kong to analyze the effects of various geometric parameters on different facet surface temperatures (roof, road, wall and vegetation) in daytime and nighttime and in different seasons. Results show that the urban geometry has greater impacts on the road temperature than on building temperature, and the impact of the geometric parameters on road surface temperature changes with the time of the day and the season. The building height is a more effective driver of heat dissipation in daytime than nighttime for roof facets. A lower building density improves ground heat dissipation, while a higher building density improves heat dissipation by roof facets. Furthermore, the vegetation only limitedly affects the surface temperatures of facets that are lower than vegetation, but to an extent useful to mitigate urban temperature, which might be a feature relevant in urban design. This research can provide insights useful to city planners and policy makers to better understand the urban thermal environment and help design more livable and healthy cities in the near future.","High-resolution thermal imagery; Urban morphology; Urban surface temperature; Urban thermal environment","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:4fd26c10-5e25-42a5-afee-4eeca0a9168d","http://resolver.tudelft.nl/uuid:4fd26c10-5e25-42a5-afee-4eeca0a9168d","Wheel-rail rolling contact behavior induced by both rail surface irregularity and sleeper hanging defects on a high-speed railway line","Yang, Fei (China Academy of Railway Sciences); Wei, Zilong (China Academy of Railway Sciences); Sun, Xianfu (China Academy of Railway Sciences); Shen, C. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering)","","2021","In this study, a wheel-rail transient rolling contact model capable of accounting for the nonlinear displacement-force properties of hanging sleepers is proposed. The sleeper hanging status affected by rail irregularities is an input for an analysis of the wheel-rail contact behavior and related rail degradation in terms of plastic deformation and rolling contact fatigue. The results indicate that the severity of sleeper hanging is significantly affected by the geometric characteristics and the relative position with respect to the sleepers of the rail surface irregularity. The sleeper hanging defects aggravate the wheel-rail impact and increase the wheel-rail contact force amplitude, contact patch size, pressure, and von Mises stress, thus exacerbating the plastic deformation of the rail material. It was also found that the sleeper hanging defects rarely affect the distribution of the adhesion-slip states and rolling contact fatigue. The knowledge gained can serve as guidance for evaluating the condition and conducting the maintenance of ballasted railway tracks.","Rail degradation; Sleeper hanging; Uneven settlement; Wheel-rail rolling contact","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:acb4a549-4cc7-4a12-bbec-39f97ed9ec7e","http://resolver.tudelft.nl/uuid:acb4a549-4cc7-4a12-bbec-39f97ed9ec7e","A computationally efficient thermo-mechanical model for wire arc additive manufacturing","Yang, Y. (Sun Yat-sen University; Guangzhou Key Laboratory of Flexible Electronic Materials and Wearable Devices); Zhou, Xin (Air Force Engineering University China); Li, Quan (Capital Aerospace Machinery Corporation Limited); Ayas, C. (TU Delft Computational Design and Mechanics)","","2021","Residual stresses and distortions are major obstacles against the more widespread application of wire arc additive manufacturing. Since the steep temperature gradients due to a moving localised heat source are inevitable in this process, accurate prediction of the thermally induced residual stresses and distortions is of paramount importance. In the present study, a computationally efficient thermo-mechanical model based on a semi-analytical thermal approach incorporating Goldak heat sources is developed for the process modelling of wire arc additive manufacturing. The semi-analytical thermal model makes use of the superposition principle, and thereby decomposes the temperature field into an analytical temperature field to account for the heat sources in a semi-infinite space and a complementary temperature field to account for the boundary conditions. Since the steep temperature gradients are captured by the analytical solution, a coarse spatial discretisation can be used for the numerical solution of the complementary Tˆ field. Thermal evolution is coupled to an elasto-plastic mechanical boundary value problem that computes the thermal stresses and distortions. The accuracy of the proposed model is evaluated extensively by comparing the thermal and mechanical predictions with the corresponding experimental measurements as well as the simulation results obtained by a non-linear transient model from the literature. A thin wall structure with a length of 500 mm and consisting of 4 layers is modelled. The peak normal stress along the deposition direction can be predicted with less than 10% error. Furthermore, the simulations show that the part distortions are very sensitive to the boundary conditions.","Computationally efficiency; Goldak heat source; Thermo-mechanical modelling; Wire arc additive manufacturing","en","journal article","","","","","","Accepted Author Manuscript","","2023-06-15","","","Computational Design and Mechanics","","",""
"uuid:07c6551a-3e14-4a4e-9da2-509deb697bdf","http://resolver.tudelft.nl/uuid:07c6551a-3e14-4a4e-9da2-509deb697bdf","Room temperature ppt-level NO2 gas sensor based on SnO x/SnS nanostructures with rich oxygen vacancies","Tang, H. (TU Delft Electronic Components, Technology and Materials); Gao, Chenshan (Chongqing University; Sky Chip Interconnection Technology Co); Yang, Huiru (Harbin Institute of Technology; Southern University of Science and Technology); Sacco, L.N. (TU Delft Electronic Components, Technology and Materials); Sokolovskij, R. (Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Ye, H. (Southern University of Science and Technology; Shenzhen Institute of Wide-bandgap Semiconductors); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2021","In this paper, tin oxidation (SnO x )/tin-sulfide (SnS) heterostructures are synthesized by the post-oxidation of liquid-phase exfoliated SnS nanosheets in air. We comparatively analyzed the NO2 gas response of samples with different oxidation levels to study the gas sensing mechanisms. The results show that the samples oxidized at 325 °C are the most sensitive to NO2 gas molecules, followed by the samples oxidated at 350 °C, 400 °C and 450 °C. The repeatabilities of 350 °C samples are better than that of 325 °C, and there is almost no shift in the baseline. Thus this work systematically analyzed the gas sensing performance of SnO x/SnS-based sensor oxidized at 350 °C. It exhibits a high response of 171% towards 1 ppb NO2, a wide detecting range (from 1 ppb to 1 ppm), and an ultra-low theoretical detection limit of 5 ppt, and excellent repeatability at room temperature. The sensor also shows superior gas selectivity to NO2 in comparison to several other gas molecules, such as NO, H2, SO2, CO, NH3, and H2O. After X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscope, and electron paramagnetic resonance characterizations combining first principle analysis, it is found that the outstanding NO2 sensing behavior may be attributed to three factors: The Schottky contact between electrodes and SnO x/SnS; active charge transfer in the surface and the interface layer of SnO x/SnS heterostructures; and numerous oxygen vacancies generated during the post-oxidation process, which provides more adsorption sites and superior bandgap modulation. Such a heterostructure-based room-temperature sensor can be fabricated in miniaturized size with low cost, making it possible for large-scale applications.","NO2 sensor; oxygen vacancies; ppt-level; room temperature; SnOx/SnS heterostructures","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:3cdb1839-0b05-4359-acfb-3714104a6282","http://resolver.tudelft.nl/uuid:3cdb1839-0b05-4359-acfb-3714104a6282","Electrically Controllable Van der Waals Antiferromagnetic Spin Valve","Zhai, X. (TU Delft QN/Blanter Group; Nanjing University of Science and Technology; Kavli institute of nanoscience Delft); Xu, Ziming (Nanjing University of Posts and Telecommunications); Cui, Qirui (Chinese Academy of Sciences); Zhu, Yingmei (Chinese Academy of Sciences); Yang, Hongxin (University of Chinese Academy of Sciences; Chinese Academy of Sciences); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft)","","2021","We propose a spin valve that is based on van der Waals antiferromagnetism and is fully electrically controlled. The device is composed of two antiferromagnetic terminals that allow for vertical bias control and a linked central scattering potential region. The magnetoresistance varies significantly when the bias orientations in two terminals are switched from parallel to antiparallel, because this switch induces a mismatch of the bands for the same spin projection in different parts of the system. It is shown from density-functional calculations that bilayer graphene encapsulated by two atomic layers of Cr2Ge2Te6 provides a material platform to realize the antiferromagnetism, which is robust against the required vertical electric fields.","","en","journal article","","","","","","","","","","","QN/Blanter Group","","",""
"uuid:88768dd9-b7cc-43a2-81bb-3557f4d728b6","http://resolver.tudelft.nl/uuid:88768dd9-b7cc-43a2-81bb-3557f4d728b6","Risk assessment of offshore fire accidents caused by subsea gas release","Li, Xinhong (Xi'an University of Architecture and Technology; Dalian University of Technology); Khan, Faisal (Memorial University of Newfoundland); Yang, M. (TU Delft Safety and Security Science; TU Delft Technology, Policy and Management); Chen, C. (TU Delft Safety and Security Science); Chen, Guoming (China University of Petroleum (East China))","","2021","Subsea gas release is an industrial hazard that can impose fire hazards on offshore facilities near the gas surfacing area. However, risk assessment of the fire caused by subsea gas release is challenged due to inadequate recognition of the knowledge of subsea gas release mechanism and resulting hazards. At present, minimal researches involving risk assessment of offshore fire resulting from a subsea gas release were reported, and this paper is an extension of the previous works on subsea gas behavior. This paper focuses on modeling fire risk on offshore facilities due to subsea gas release. A numerical simulation is carried out using the Computational Fluid Dynamic technique of Fire Dynamics Simulator (FDS) to analyze fire propagation characteristics and assess the impact of fire on personnel and assets. A probit model is adopted to calculate the probabilities of injury or death caused by fire hazards. This study also investigates the effect of wind speed, gas release rate and the distance between gas pool and platform on fire impacts and casualty probabilities. The present study can support safety measure design to mitigate or avoid the impacts of offshore fire events from subsea gas release.","Casualty probability; Offshore facility; Offshore fire; Risk assessment; Subsea gas release","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-08","Technology, Policy and Management","","Safety and Security Science","","",""
"uuid:17e5e306-69bc-4582-8aa3-03ee63e2fac4","http://resolver.tudelft.nl/uuid:17e5e306-69bc-4582-8aa3-03ee63e2fac4","Protocol for multimodal analysis of human kidney tissue by imaging mass spectrometry and CODEX multiplexed immunofluorescence","Neumann, Elizabeth K. (VanderBilt University); Patterson, Nathan Heath (VanderBilt University); Allen, Jamie L. (VanderBilt University); Migas, L.G. (TU Delft Team Raf Van de Plas); Yang, Haichun (Vanderbilt University Medical Center); Brewer, Maya (Vanderbilt University Medical Center); Anderson, David M. (VanderBilt University); Harvey, Jennifer (VanderBilt University); Harris, Raymond C. (Vanderbilt University Medical Center); Van de Plas, Raf (TU Delft Team Raf Van de Plas; VanderBilt University)","","2021","Here, we describe the preservation and preparation of human kidney tissue for interrogation by histopathology, imaging mass spectrometry, and multiplexed immunofluorescence. Custom image registration and integration techniques are used to create cellular and molecular atlases of this organ system. Through careful optimization, we ensure high-quality and reproducible datasets suitable for cross-patient comparisons that are essential to understanding human health and disease. Moreover, each of these steps can be adapted to other organ systems or diseases, enabling additional atlas efforts.","Antibody; Chemistry; Health Sciences; Mass Spectrometry; Metabolomics; Microscopy","en","journal article","","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:8f670636-7473-40d1-bcfb-18a024707d3c","http://resolver.tudelft.nl/uuid:8f670636-7473-40d1-bcfb-18a024707d3c","Edge computing assisted autonomous flight for UAV: Synergies between vision and communications","Chen, Quan (Katholieke Universiteit Leuven; National University of Defense Technology); Zhu, H. (TU Delft Learning & Autonomous Control); Yang, Lei (National University of Defense Technology); Chen, Xiaoqian (National University of Defense Technology); Pollin, Sofie (Katholieke Universiteit Leuven); Vinogradov, Evgenii (Katholieke Universiteit Leuven)","","2021","Autonomous flight for UAVs relies on visual information for avoiding obstacles and ensuring safe collision-free flight. In addition to visual clues, safe UAVs often need connectivity with the ground station. In this article, we study the synergies between vision and communications for edge-computing-enabled UAV flight. By proposing a framework of edge computing assisted autonomous flight (ECAAF), we illustrate that vision and communications can interact with and assist each other with the aid of edge computing and offloading, and further speed up UAV mission completion. ECAAF consists of three functionalities that are discussed in detail: edge computing for 3D map acquisition, radio map construction from the 3D map, and online trajectory planning. During ECAAF, the interactions of communication capacity, video offloading, 3D map quality, and channel state of the trajectory form a positive feedback loop. Simulation results verify that the proposed method can improve mission performance by enhancing connectivity. Finally, we conclude with some future research directions.","","en","journal article","","","","","","Accepted Author Manuscript","","","","","Learning & Autonomous Control","","",""
"uuid:3c9afbad-a3ee-425f-b408-587a3a6d7fac","http://resolver.tudelft.nl/uuid:3c9afbad-a3ee-425f-b408-587a3a6d7fac","Longitudinal tear detection method of conveyor belt based on audio-visual fusion","Che, Jian (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Yang, Yi (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2021","Conveyor belt tear detection is a very important part of coal mine safety production. In this paper, a new method of detecting conveyor belt damage named audio-visual fusion (AVF) detection method is proposed. The AVF method uses both a visible light CCD and a microphone array to collect images and sounds of the conveyor belt in different running states. By processing and analyzing the collected images and sounds, the image and sound features of normal, tear and scratch can be extracted respectively. Then the extracted features of images and sounds are fused and classified by machine learning algorithm. The results show that the accuracy of AVF method for conveyor belt scratch is 93.66%, and the accuracy of longitudinal tear is higher than 96.23%. Compared with existing methods AVF method overcomes the limitation of visual detection condition, and is more accurate and reliable for conveyor belt tear detection.","Audio-visual feature extraction; Feature fusion; Longitudinal tear detection method; Machine learning","en","journal article","","","","","","Accepted Author Manuscript","","2023-02-19","","","Transport Engineering and Logistics","","",""
"uuid:3ca590bd-8204-4041-bb61-a4ebd31cc7a6","http://resolver.tudelft.nl/uuid:3ca590bd-8204-4041-bb61-a4ebd31cc7a6","A semi-quantitative methodology for risk assessment of university chemical laboratory","Li, Xinhong (Xi'an University of Architecture and Technology); Zhang, Luyao (Xi'an University of Architecture and Technology); Zhang, Renren (Xi'an University of Architecture and Technology); Yang, M. (TU Delft Safety and Security Science); Li, Hua (Xi'an University of Architecture and Technology)","","2021","University chemical laboratory is a high-risk place for teaching and scientific research due to the presence of various physical and chemical hazards. In recent years, university chemical laboratory accidents occur frequently. This urges the need to enhance university chemical lab safety. A semi-quantitative methodology comprising Matter-Element Extension Theory (MEET) implemented with Combination Ordered Weighted Averaging (C-OWA) operator is proposed to assess the risk of a university chemical laboratory. First, an index-based risk assessment system of university chemical laboratory is built by identifying various risk factors from a system perspective. Then, C-OWA operator is used to calculate the weight of assessment indices, whereas MEET is employed to determine the correlation degree of assessment indices. Finally, the comprehensive risk of university chemical laboratories is assessed, and some safety measures are proposed to reduce the risk of university chemical laboratories. The applicability of the proposed methodology is tested using a practical case. It is observed that the methodology can be a useful tool for risk assessment and management of university chemical laboratories.","C-OWA operator; MEET; Risk assessment; University chemical laboratories","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-21","","","Safety and Security Science","","",""
"uuid:de3c2151-673d-488a-8772-7e3a142bee4b","http://resolver.tudelft.nl/uuid:de3c2151-673d-488a-8772-7e3a142bee4b","Reduction Mechanism of Fine Hematite Ore Particles in Suspension","Chen, Z. (TU Delft (OLD) MSE-3; Flemish Institute for Technological Research); Zeilstra, Christiaan (Tata Steel); van der Stel, Jan (Tata Steel); Sietsma, J. (TU Delft Team Kevin Rossi); Yang, Y. (TU Delft Team Yongxiang Yang)","","2021","In order to understand the pre-reduction behaviour of fine hematite particles in the HIsarna process, change of morphology, phase and crystallography during the reduction were investigated in the high temperature drop tube furnace. Polycrystalline magnetite shell formed within 200 ms during the reduction. The grain size of the magnetite is in the order of magnitude of 10 µm. Lath magnetite was observed in the partly reduced samples. The grain boundary of magnetite was reduced to molten FeO firstly, and then the particle turned to be a droplet. The Johnson-Mehl-Avrami-Kolmogorov model is proposed to describe the kinetics of the reduction process. Both bulk and surface nucleation occurred during the reduction, which leads to the effect of size on the reduction rate in the nucleation and growth process. As a result, the reduction rate constant of hematite particles increases with the increasing particle size until 85 µm. It then decreases with a reciprocal relationship of the particle size above 85 µm.","","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:257c703b-ccd1-4bb8-aebc-ff39131a9af2","http://resolver.tudelft.nl/uuid:257c703b-ccd1-4bb8-aebc-ff39131a9af2","Bimetal-organic framework derived multi-heterostructured TiO2/CuxO/C nanocomposites with superior photocatalytic H2generation performance","Hussain, Mian Zahid (University of Exeter; Technische Universität München); van der Linden, B. (TU Delft ChemE/O&O groep; TU Delft ChemE/Catalysis Engineering); Yang, Zhuxian (University of Exeter); Jia, Quanli (Zhengzhou University); Chang, Hong (University of Exeter); Fischer, Roland A. (Technische Universität München); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Zhu, Yanqiu (University of Exeter); Xia, Yongde (University of Exeter)","","2021","In situformation of p-n heterojunctions between TiO2and CuxO in heteroatom-doped carbon nanocomposites and their applications in photocatalytic H2evolution were demonstrated. One-step pyrolysis of bimetal-organic-frameworks NH2-MIL-125(Ti/Cu) in steam at 700 °C forms a p-n heterojunction between TiO2and CuxO nanoparticles. Concurrently, a phasejunction between nitrogen/carbon co-doped anatase and rutile TiO2is formed, accompanied by the formation of CuxO heterostructures. These multi-heterostructures are embedded in N-containing and hydrophilic carboxyl functionalized carbon matrix. The optimized TiO2/CuxO/C composite multi-heterostructures offer multiple pathways for photoinduced electrons and holes migration, absorb more visible light, and provide an increased number of active sites for photocatalytic reactions. Without loading expensive noble metals, the TiO2/CuxO/C nanocomposite derived at 700 °C in steam exhibited a superior photocatalytic H2generation activity of 3298 μmol gcat−1h−1under UV-Visible light, 40 times higher than that of commercial TiO2. This work offers a simple approach to fabricate novel photocatalytic nanocomposites for efficient H2generation.","","en","journal article","","","","","","","","","","","ChemE/O&O groep","","",""
"uuid:416936bf-9906-4cdd-b29d-732e9cf5bc9a","http://resolver.tudelft.nl/uuid:416936bf-9906-4cdd-b29d-732e9cf5bc9a","Towards limiting potential domino effects from single flammable substance release in chemical complexes by risk-based shut down of critical nearby process units","Sun, Hao (China University of Petroleum (East China)); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2021","The explosion load is a significant escalation factor possibly influencing the potential occurrence of domino accidents in chemical plants. It is not economical to install explosion isolation systems (e.g., extinguishing barrier) for all equipment or process units across a chemical plant. Although shutting down all equipment or process unit can prevent an explosion, it may also cause further economic losses. To prevent domino accidents, the process unit that needs to be shut down accurately should be selected, and the normal operation of other units needs to be ensured. A method to select the process unit to be isolated based on the Dimensioning Accidental Load (DAL) is proposed. By calculating the occurrence probability and consequences of the accident scenarios, the DAL of the surrounding units is determined. DAL is used as the impact intensity of the accident unit on the surrounding units. The probit model is used to calculate the damage probability of surrounding units. The case analysis results show that the method of selecting the process unit to be isolated based on DAL quantifies the impact intensity of the exploded unit on surrounding units from probability and consequence. Under the premise of meeting the acceptable risk criteria, the method can determine which units should be shut down and which units can operate normally when a release accident occurs. While preventing domino accidents, economic losses caused by the shutdown of all process units are reduced and a theoretical basis for accident prevention and safe operation of the plant is provided.","Dimensioning accident load; Domino accident; Safety; Vapor cloud explosion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-23","","","Safety and Security Science","","",""
"uuid:5b19b7ff-0113-4c49-af30-303c22d43915","http://resolver.tudelft.nl/uuid:5b19b7ff-0113-4c49-af30-303c22d43915","The Game of Guwarra: A game theory-based decision-making framework for site selection of offshore wind farms in Australia","Golestani, Nima (University of Tasmania); Arzaghi, E. (TU Delft Wind Energy; University of Tasmania); Abbassi, Rouzbeh (University of Tasmania; Macquarie University); Garaniya, Vikram (University of Tasmania); Abdussamie, Nagi (University of Tasmania); Yang, M. (TU Delft Safety and Security Science)","","2021","Global concerns around climate change and the volatility of conventional fuel prices have prompted researchers and technologists to make significant efforts to identify and exploit alternative energy sources that are cleaner and more sustainable. Wind energy has seen considerable development among these alternative energy sources, mainly due to its abundance and global availability for extraction and the existing knowledge within the aviation and aerospace fields. Many nations, including European countries, already operate offshore wind farms (OWFs) and are progressively carrying out new projects and expanding on other projects. The Australian offshore environment provides unique opportunities for wind energy extraction, particularly along the southern coast of mainland Australia and the regions around Tasmania, where substantially strong winds blow most of the year. A significant challenge to establishing wind farms is the selection of site locations with optimal outputs. This can become a complex decision-making problem if there are numerous options and no information from previous projects. This paper aims to develop a decision-making framework to select the optimal location for installing OWFs while addressing financial, performance-related, and availability-related objectives. This paper adopts a game-theoretical approach to develop a decision-support tool to account for the interdependencies of influencing factors and possible conflicts amongst the parties. The game model is applied to an OWF development case study in the Bass Strait, known for its dominant and strong winds.","Conflict resolution; Game theory; Multi-criteria decision making; Offshore wind energy; Renewable energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-18","","","Wind Energy","","",""
"uuid:70c66d02-9aca-4ef3-9e8f-a3a90ae49f62","http://resolver.tudelft.nl/uuid:70c66d02-9aca-4ef3-9e8f-a3a90ae49f62","Fixation effects of different types of cannulated screws on vertical femoral neck fracture: A finite element analysis and experimental study","Zhan, Shi (Shanghai Jiao Tong University); Jiang, Dajun (Shanghai Jiao Tong University); Ling, Ming (Shanghai Jiao Tong University); Ding, Jian (Shanghai Jiao Tong University); Yang, Kai (Shanghai Jiao Tong University); Duan, Lei (Shanghai Jiao Tong University); Tsai, Tsung Yuan (Shanghai Jiao Tong University); Feng, Yong (Shanghai Jiao Tong University); van Trigt, B. (TU Delft Biomechanical Engineering); Jia, Weitao (Shanghai Jiao Tong University); Zhang, Changqing (Shanghai Jiao Tong University); Hu, Hai (Shanghai Jiao Tong University)","","2021","Femoral neck fractures (FNFs) in young patients usually result from high-energy violence, and the vertical transcervical type is typically challenging for its instability. FNFs are commonly treated with three cannulated screws (CS), but the role of screws type on fixation effects (FE) is unclear. The purpose of this study was to evaluate the FE of ten types of CS with different diameters, lengths, depths, and pitches of thread via finite element analysis which was validated by a biomechanical test. Ten vertical FNF models were grouped, fixed by ten types of CS, respectively, all in a parallel, inverted triangular configuration. Their FE were scored comprehensively from six aspects via an entropy evaluation method, as higher scores showed better results. For partial-thread screws, thread length and thread shape factor (TSF) are determinative factors on stability of FNF only if thread depth is not too thick, and they have less cut-out risk, better compression effects and better detached resistance of fracture than full-thread screws, whereas full-thread screws appear to have better shear and shortening resistance. A combination of two superior partial-thread screws and one inferior full-thread screw for vertical FNF may get optimal biomechanical outcomes. The type of cannulated screw is important to consider when treating vertical FNF.","Biomechanics; Finite element analysis; Types of screws; Vertical femoral neck fracture","en","journal article","","","","","","Accepted Author Manuscript","","2022-10-06","","Biomechanical Engineering","","","",""
"uuid:edd1bc98-1df5-4c44-b122-7854d6cc6843","http://resolver.tudelft.nl/uuid:edd1bc98-1df5-4c44-b122-7854d6cc6843","Utilizing Design of Experiments Approach to Assess Kinetic Parameters for a Mn Homogeneous Hydrogenation Catalyst","van Schendel, R.K.A. (TU Delft ChemE/Inorganic Systems Engineering); Yang, W. (TU Delft ChemE/Inorganic Systems Engineering); Uslamin, E. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Algemeen; TU Delft ChemE/Inorganic Systems Engineering)","","2021","Homogeneous hydrogenation catalysts based on metal complexes provide a diverse and highly tunable tool for the fine chemical industry. To fully unleash their potential, fast and effective methods for the evaluation of catalytic properties are needed. In turn, this requires changes in the experimental approaches to test and evaluate the performance of the catalytic processes. Design of experiment combined with statistical analysis can enable time- and resource-efficient experimentation. In this work, we employ a set of different statistical models to obtain the detailed kinetic description of a highly active homogeneous Mn (I) ketone hydrogenation catalyst as a representative model system. The reaction kinetics were analyzed using a full second order polynomial regression model, two models with eliminated parameters and finally a model which implements “chemical logic”. The coefficients obtained are compared with the corresponding high-quality kinetic parameters acquired using conventional kinetic experiments. We demonstrate that various kinetic effects can be well captured using different statistical models, providing important insights into the reaction kinetics and mechanism of a complex catalytic reaction.","Catalytic hydrogenation; Design of experiments; High-throughput experimentation; Reaction kinetics; Statistical analysis","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:09fbae4d-0804-47a6-b62e-ae6bc65e9735","http://resolver.tudelft.nl/uuid:09fbae4d-0804-47a6-b62e-ae6bc65e9735","Ultra-thin electron collectors based on nc-Si:H for high-efficiency silicon heterojunction solar cells","Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; University San Francisco de Quito); Han, C. (TU Delft Photovoltaic Materials and Devices; Shenzhen Institute of Wide-bandgap Semiconductors); Tichelaar, F.D. (TU Delft QN/Afdelingsbureau; Kavli institute of nanoscience Delft); Yang, G. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy; TNO Energy Transition); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2021","Low parasitic absorption and high conductivity enable (n)-type hydrogenated nanocrystalline silicon [(n)nc-Si:H], eventually alloyed with oxygen [(n)nc-SiOx:H], to be deployed as window layer in high-efficiency silicon heterojunction (SHJ) solar cells. Besides the appropriate opto-electrical properties of these nanocrystalline films, reduction of their thickness is sought for minimizing parasitic absorption losses. Many strategies proposed so far reveal practical limits of the minimum (n)-layer thickness that we address and overcome in this manuscript. We demonstrated the successful application of an ultra-thin layer of only 3-nm-thick based on (n)nc-Si:H PECVD plasma growth conditions without the use of additional contact or buffer layers. For simplicity, we still name (n)nc-Si:H this ultra-thin layer and the solar cell endowed with it delivers a certified efficiency η of 22.20%. This cell shows a 0.61 mA/cm2 overall JSC gain over the (n)a-Si:H counterpart mainly owing to the higher transparency of (n)nc-Si:H, while maintaining comparable VOC > 714 mV and FF > 80%. Our optimized (n)nc-Si:H layer yields low absorption losses that are commonly measured for (n)nc-SiOx:H films. In this way, we are able to avoid the detrimental effect that oxygen incorporation has on the electrical parameters of these functional layers. Further, by applying a MgF2/ITO double-layer anti-reflection coating, a cell with 3-nm-thick (n)nc-Si:H exhibits a JSC,EQE up to 40.0 mA/cm2. By means of EDX elemental mapping, we additionally identified the (n)nc-Si:H/ITO interface as critical for electron transport due to unexpected oxidation. To avoid this interfacial oxidation, insertion of a 2-nm-thick (n)a-Si:H on the 3-nm-thick (n)nc-Si:H contributes to FF gains of 1.4%abs. (FF increased from 78.6% to 80.0%), and showing further room for improvements.","(n)-type window layers; hydrogenated nanocrystalline silicon; hydrogenated nanocrystalline silicon oxide; opto-electrical properties; ultra-thin (n)-contact","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:c62ea45a-a54d-4f40-8ee7-5af4bd4d5af1","http://resolver.tudelft.nl/uuid:c62ea45a-a54d-4f40-8ee7-5af4bd4d5af1","Cation-Driven Increases of CO2Utilization in a Bipolar Membrane Electrode Assembly for CO2Electrolysis","Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Li, Mengran (TU Delft ChemE/Materials for Energy Conversion and Storage); Subramanian, S.S. (TU Delft ChemE/Materials for Energy Conversion and Storage); Blommaert, M.A. (TU Delft ChemE/Materials for Energy Conversion and Storage); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage); Burdyny, T.E. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2021","Advancing reaction rates for electrochemical CO2 reduction in membrane electrode assemblies (MEAs) have boosted the promise of the technology while exposing new shortcomings. Among these is the maximum utilization of CO2, which is capped at 50% (CO as targeted product) due to unwanted homogeneous reactions. Using bipolar membranes in an MEA (BPMEA) has the capability of preventing parasitic CO2 losses, but their promise is dampened by poor CO2 activity and selectivity. In this work, we enable a 3-fold increase in the CO2 reduction selectivity of a BPMEA system by promoting alkali cation (K+) concentrations on the catalyst's surface, achieving a CO Faradaic efficiency of 68%. When compared to an anion exchange membrane, the cation-infused bipolar membrane (BPM) system shows a 5-fold reduction in CO2 loss at similar current densities, while breaking the 50% CO2 utilization mark. The work provides a combined cation and BPM strategy for overcoming CO2 utilization issues in CO2 electrolyzers.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:b0ea116a-f52e-4694-b3b0-be5caa9dcdb5","http://resolver.tudelft.nl/uuid:b0ea116a-f52e-4694-b3b0-be5caa9dcdb5","Extended CSDT model for shear capacity assessments of bridge deck slabs","de Sousa, Alex M.D. (Universidade de São Paulo); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Yang, Y. (TU Delft Concrete Structures); El Debs, Mounir K. (Universidade de São Paulo)","","2021","The shear strength evaluation of reinforced concrete bridge deck slabs by accurate models can indicate strength reserves and avoid costly operations necessary for the extension of their lifetime. This article introduces an approach that extends the Critical Shear Displacement Theory model (CSDT) for reaching higher levels of approximation of the shear strength for slabs subjected to concentrated loads close to the support. A database with 141 tests of wide reinforced concrete members under concentrated loads close to the support failing in one-way shear was built. The tests represented typical loads in bridge slabs and were assessed through a combination of CSDT with different models of effective shear width. In other analyses, the entire database with 214 test results of slabs failing by different mechanisms was evaluated and a general effective shear width model was proposed (GESW). The best results, which are a function of the effective shear width model used, reached a mean ratio between experimental and predicted shear capacities of 1.06 with a coefficient of variation of 14%, which is similar to that reported by some studies including linear and non-linear finite element analyses. Furthermore, this level of accuracy was insensitive to the shear slenderness and support conditions of the tests. The extended CSDT predicted the shear capacity of bridge deck slabs in preliminary analyses more precise than semi-empirical models provided in the current design codes, and the level of accuracy is comparable to methods using Linear Elastic Finite Element Analyses (LEFEA). Moreover, our proposed combination of the CSDT with a general effective shear width model (GESW) provides reasonable levels of accuracy for slabs under concentrated loads regardless of the failure mode of the tests. Therefore, the proposed approaches can be applied to bridge deck slabs, which are subjected to a variety of loading and support conditions.","Bridge deck slabs; Critical shear displacement theory; Database; Effective shear width; Reinforced concrete; Shear strength","en","journal article","","","","","","Accepted author manuscript","","2023-02-17","","","Concrete Structures","","",""
"uuid:4845bd0a-2ce9-4625-b8f9-b53e3537c8a0","http://resolver.tudelft.nl/uuid:4845bd0a-2ce9-4625-b8f9-b53e3537c8a0","Practical Investigation of a MIMO radar system capabilities for small drones detection","Yang, Fawei (Beijing Institute of Technology); Xu, Feng (Beijing Institute of Technology); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Le Kernec, Julien (University of Glasgow); Chang, Shaoqiang (Beijing Institute of Technology); Long, Teng (Beijing Institute of Technology)","","2021","The latest progress of the multiple-input multiple-output (MIMO) radar system developed for small drones detection at Beijing Institute of Technology is presented herein. A low-cost S-band MIMO scanning radar system is designed for the detection of small drones. A practical design optimisation and implementation of a sparse array covering the whole airspace, and a target-based MIMO radar array calibration method to improve the signal-to-noise ratio of the target are proposed. An experiment in the microwave chamber was conducted to verify the designed sparse-array beam-pattern, and measurement campaigns for small drones were performed to test the system performance where the radar achieved detection and tracking of a small DJI-Phantom 4 drone at a range of up to 5 km. Target features, including micro-Doppler and radar cross-section signatures were extracted to assist target classification. The experimental results indicate that the system has promising capability in small drones detection and tracking, despite the challenges of low dwell time on targets due to the scanning nature of the system.","","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:df10ab0c-6bf5-4d4b-9fa3-091e74280e05","http://resolver.tudelft.nl/uuid:df10ab0c-6bf5-4d4b-9fa3-091e74280e05","Effects of cryogenic temperature on tensile and impact properties in a medium-entropy VCoNi alloy","Yang, Dae Cheol (Korea University); Jo, Yong Hee (Korea Institute of Materials Science); Ikeda, Yuji (University of Stuttgart); Körmann, F.H.W. (TU Delft Team Marcel Sluiter; Max-Planck-Institut für Eisenforschung); Sohn, Seok Su (Korea University)","","2021","Multi-principal element alloys usually exhibit outstanding strength and toughness at cryogenic temperatures, especially in CrMnFeCoNi and CrCoNi alloys. These remarkable cryogenic properties are attributed to the occurrence of deformation twins, and it is envisaged that a reduced stacking fault energy (SFE) transforms the deformation mechanisms into advantageous properties at cryogenic temperatures. A recently reported high-strength VCoNi alloy is expected to exhibit further notable cryogenic properties. However, no attempt has been made to investigate the cryogenic properties in detail as well as the underlying deformation mechanisms. Here, the effects of cryogenic temperature on the tensile and impact properties are investigated, and the underlying mechanisms determining those properties are revealed in terms of the temperature dependence of the yield strength and deformation mechanism. Both the strength and ductility were enhanced at 77 K compared to 298 K, while the Charpy impact toughness gradually decreased with temperature. The planar dislocation glides remained unchanged at 77 K in contrast to the CrMnFeCoNi and CrCoNi alloys resulting in a relatively constant and slightly increasing SFE as the temperature decreased, which is confirmed via ab initio simulations. However, the deformation localization near the grain boundaries at 298 K changed into a homogeneous distribution throughout the whole grains at 77 K, leading to a highly sustained strain hardening rate. The reduced impact toughness is directly related to the decreased plastic zone size, which is due to the reduced dislocation width and significant temperature dependence of the yield strength.","Charpy impact property; Cryogenic temperature; Medium-entropy alloy; Stacking fault energy; Tensile property","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-10-28","","","Team Marcel Sluiter","","",""
"uuid:0674b38c-2a47-4b38-9895-97af8ae7d0d8","http://resolver.tudelft.nl/uuid:0674b38c-2a47-4b38-9895-97af8ae7d0d8","Numerical simulation on reflective cracking behavior of asphalt pavement","Wang, Houzhi (Southeast University); Wu, You (Southeast University); Yang, Jun (Southeast University); Wang, H. (TU Delft Pavement Engineering)","","2021","Cracks are one of the main problems that plague road workers. A correct understanding of the internal crack propagation mechanism of asphalt pavement will help road workers evaluate the road’s working status more comprehensively and make more reasonable decisions in design, construction, and maintenance work. This paper established a three-dimensional asphalt pavement layered model using the software ABAQUS and fracture mechanics theory and the extended finite element method were used to explore the mechanical response of the pavement base layer’s preset reflective cracks. This paper investigated the influence of the modulus of each layer, vehicle load on the principal stress, shear stress, J-integral, and two stress intensity factors (K1, K2) during the pre-determined crack propagation process of the pavement base layer, and the entropy method was used to analyze the above-mentioned mechanical response. The results show that the main factor affecting the propagation of reflective cracks on asphalt pavements is the modulus of the bottom surface layer. However, from a modeling perspective, the effect of increasing load on crack growth is obvious. Therefore, in terms of technical feasibility, the prevention of reflective cracks should still be achieved by controlling the driving load and prohibiting overloading.","Extended finite element method; J-integral; Numerical analysis; Reflection crack; Stress intensity factors","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:b4767c42-4c22-45d3-9ac6-a14d5dc39a78","http://resolver.tudelft.nl/uuid:b4767c42-4c22-45d3-9ac6-a14d5dc39a78","Exploring User Concerns about Disclosing Location and Emotion Information in Group Recommendations","Najafian, S. (TU Delft Web Information Systems); Draws, T.A. (TU Delft Web Information Systems); Barile, Francesco (Universiteit Maastricht); Tkalcic, Marko (University of Primorska, Koper); Yang, J. (TU Delft Web Information Systems); Tintarev, N. (Universiteit Maastricht)","","2021","Recent research has shown that explanations serve as an important means to increase transparency in group recommendations while also increasing users' privacy concerns. However, it is currently unclear what personal and contextual factors affect users' privacy concerns about various types of personal information. This paper studies the effect of users' personality traits and preference scenarios-having a majority or minority preference-on their privacy concerns regarding location and emotion information. To create natural scenarios of group decision-making where users can control the amount of information disclosed, we develop TouryBot, a chat-bot agent that generates natural language explanations to help group members explain their arguments for suggestions to the group in the tourism domain. We conducted a user study in which we instructed 541 participants to convince the group to either visit or skip a recommended place. Our results show that users generally have a larger concern regarding the disclosure of emotion compared to location information. However, we found no evidence that personality traits or preference scenarios affect privacy concerns in our task. Further analyses revealed that task design (i.e., the pressure on users to convince the group) had an effect on participants' emotion-related privacy concerns. Our study also highlights the utility of providing users with the option of partial disclosure of personal information, which appeared to be popular among the participants.","explanation; group recommendation; information privacy; privacy concern","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:513e4f13-1627-455a-89fa-0e53e0133892","http://resolver.tudelft.nl/uuid:513e4f13-1627-455a-89fa-0e53e0133892","A Tailor-Made Deazaflavin-Mediated Recycling System for Artificial Nicotinamide Cofactor Biomimetics","Drenth, Jeroen (Rijksuniversiteit Groningen); Yang, Guang (Rijksuniversiteit Groningen); Paul, C.E. (TU Delft BT/Biocatalysis); Fraaije, Marco W. (University Medical Center Groningen; Rijksuniversiteit Groningen)","","2021","Nicotinamide adenine dinucleotide (NAD) and its 2′-phosphorylated form NADP are crucial cofactors for a large array of biocatalytically important redox enzymes. Their high cost and relatively poor stability, however, make them less attractive electron mediators for industrial processes. Nicotinamide cofactor biomimetics (NCBs) are easily synthesized, are inexpensive, and are also generally more stable than their natural counterparts. A bottleneck for the application of these artificial hydride carriers is the lack of efficient cofactor recycling methods. Therefore, we engineered the thermostable F420:NADPH oxidoreductase from Thermobifida fusca (Tfu-FNO), by structure-inspired site-directed mutagenesis, to accommodate the unnatural N1 substituents of eight NCBs. The extraordinarily low redox potential of the natural cofactor F420H2 was then exploited to reduce these NCBs. Wild-type enzyme had detectable activity toward all selected NCBs, with Km values in the millimolar range and kcat values ranging from 0.09 to 1.4 min-1. Saturation mutagenesis at positions Gly-29 and Pro-89 resulted in mutants with up to 139 times higher catalytic efficiencies. Mutant G29W showed a kcat value of 4.2 s-1 toward 1-benzyl-3-acetylpyridine (BAP+), which is similar to the kcat value for the natural substrate NADP+. The best Tfu-FNO variants for a specific NCB were then used for the recycling of catalytic amounts of these nicotinamides in conversion experiments with the thermostable ene-reductase from Thermus scotoductus (TsOYE). We were able to fully convert 10 mM ketoisophorone with BAP+ within 16 h, using F420 or its artificial biomimetic FOP (FO-2′-phosphate) as an efficient electron mediator and glucose-6-phosphate as an electron donor. The generated toolbox of thermostable and NCB-dependent Tfu-FNO variants offers powerful cofactor regeneration biocatalysts for the reduction of several artificial nicotinamide biomimetics at both ambient and high temperatures. In fact, to our knowledge, this enzymatic method seems to be the best-performing NCB-recycling system for BNAH and BAPH thus far.","artificial cofactors; deazaflavins; enzymatic recycling system; enzyme engineering; F; nicotinamide biomimetics; redox enzymology","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:e490df08-a4fa-4ca2-868b-5c3b37706e5b","http://resolver.tudelft.nl/uuid:e490df08-a4fa-4ca2-868b-5c3b37706e5b","Understanding spatiotemporal patterns of typhoon storm surge disasters based on their tropical cyclone track clusters in China","Wang, K. (TU Delft Electrical Engineering, Mathematics and Computer Science; Tsinghua University; Katholieke Universiteit Leuven); Yang, Yongsheng (Tsinghua University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Huang, Quanyi (Tsinghua University)","","2021","Typhoon storm surge disasters have garnered much attention because of their catastrophic damages. We investigated spatiotemporal patterns of typhoon storm surge disasters based on their tropical cyclone track clusters to support disaster mitigation in China. We aggregated 172 typhoon storm surge disasters in the entire cluster. Then, we used the extended Finite-Mixture-Model to categorize these 172 disasters into three clusters according to their track clusters (westward, northward, and westward shift at the coastline). In general, not all temporal distributions of the frequency and damage showed significant trends in the entire cluster and three clusters from 1983–2018. Between 1983‒2000 and 2001‒2018, the average annual frequency increased, and average annual direct economic loss and average annual fatalities decreased in the entire cluster. Although most temporal patterns in the three clusters were similar to those in the entire cluster, a positive growth ratio in the average annual direct economic loss was apparent between 1983‒2000 and 2001‒2018 in Cluster 3. For spatial patterns, southern and eastern regions were more affected by typhoon storm surge disasters than northern regions. In northern regions, Cluster 2 recorded the most disaster occurrences, direct economic losses, and fatalities. Track characteristics and mitigation measures were introduced to help understand disaster spatiotemporal patterns in the entire cluster and three clusters.","mitigation measures; spatiotemporal patterns; track clustering; tropical cyclone tracks; Typhoon storm surge disaster","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Safety and Security Science","","",""
"uuid:231389c9-4e9f-4997-b472-d014ec37fb28","http://resolver.tudelft.nl/uuid:231389c9-4e9f-4997-b472-d014ec37fb28","Recovery of rare earths from glass polishing waste for the production of aluminium-rare earth alloys","Borra, C.R. (TU Delft (OLD) MSE-1; Indian Institute of Technology Madras); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Yang, Y. (TU Delft Team Yongxiang Yang); Spooren, Jeroen (Flemish Institute for Technological Research); Nielsen, Peter (Flemish Institute for Technological Research); Amirthalingam, Murugaiyan (Indian Institute of Technology Madras); Offerman, S.E. (TU Delft Team Erik Offerman)","","2021","The circular economy demands waste utilization for the production of high-value products, and this requires the development of novel processing routes. In this study, rare earth (La and Ce) oxides were completely (>99%) recovered from polishing waste by a combined novel reductive acid leaching and alkali treatment process. About 70% of rare earths were dissolved during the first leaching step. The undissolved rare earth compounds are converted to oxides/hydroxides by alkali treatment and dissolved in the acid solution – the 2nd leaching step – for the complete recovery of rare earths. The recovered rare earth oxides were used for producing in-situ high-value Al-La-Ce alloys with fused salt electrolysis. Mechanical properties of our Al-La-Ce alloys are similar to the known high temperature Al-Ce alloys. This development of new alloys by our novel process helps in utilization of both overproduced primary La and Ce oxides as well as La and Ce recovered from polishing waste.","Aluminium-rare earth alloy; Glass polishing waste; Leaching; Rare earths; Waste utilization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-01-05","","","(OLD) MSE-1","","",""
"uuid:606fb001-61e5-4044-b7b5-1d36d516a47d","http://resolver.tudelft.nl/uuid:606fb001-61e5-4044-b7b5-1d36d516a47d","A method for identifying the boundary of regions in welded coupon specimens using digital image correlation","Yan, R. (TU Delft Steel & Composite Structures); El Bamby, H. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures); Xin, Haohui (Xi’an Jiaotong University); Yang, F. (TU Delft Steel & Composite Structures; Tongji University)","","2021","The coupon specimen with a transverse butt weld in the middle could be used for determining local constitutive properties of the heat-affected zone (HAZ) and the weld metal (WM) based on the digital image correlation (DIC). However, limited research is reported to demonstrate how to identify the boundary of each region in DIC results. Accordingly, it is difficult to determine the adequate gauge length for measuring the strain of each region and establishing a stress–strain curve for Finite Element Analysis (FEA) of structural problems. In this paper, a method for identifying the region's boundary is proposed based on coupon tests using three steel grades, S355, S500, and S700, corresponding to three weld matching types, match, overmatch, and undermatch, respectively. First, the hardness and the microstructure investigation were conducted to determine the region's boundary. Then, the boundary was identified based on the DIC result using the proposed method. Finally, the identified HAZ regions were verified against hardness results. Using the proposed method, the gauge length for measuring the strain of a single region, such as HAZ and WM, are determined based on the DIC results. The primary purpose is to establish the stress–strain relationship for FEA of welded joints.","Digital image correlation; Heat-affected zone; Transverse butt weld; Welded coupon test","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:867583c1-7189-4240-89b3-19ee021ccac0","http://resolver.tudelft.nl/uuid:867583c1-7189-4240-89b3-19ee021ccac0","New innovations in pavement materials and engineering: A review on pavement engineering research 2021","Chen, Jiaqi (Central South University China); Dan, Hancheng (Central South University China); Ding, Yongjie (Chongqing Jiaotong University); Gao, Y. (TU Delft Pavement Engineering); Guo, Meng (Beijing University of Technology); Guo, Shuaicheng (Hunan University); Han, Bingye (Beijing University of Civil Engineering & Architecture); Hong, Bin (Harbin Institute of Technology); Hou, Yue (Beijing University of Technology); Hu, Chichun (South China University of Technology); Hu, Jing (Southeast University); Huyan, Ju (Southeast University; University of Waterloo); Jiang, Jiwang (The Hong Kong Polytechnic University); Jiang, Wei (Chang'an University); Li, Cheng (Chang'an University); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Liu, Yu (Chang'an University); Liu, Zhuangzhuang (Chang'an University); Lu, Guoyang (The Hong Kong Polytechnic University); Ouyang, Jian (Dalian University of Technology); Qu, Xin (Chang'an University); Ren, Dongya (Southwest Jiaotong University); Wang, Chao (Beijing University of Technology); Wang, Chaohui (Chang'an University); Wang, Dawei (Harbin Institute of Technology); Wang, Di (Aalto University); Wang, Hainian (Chang'an University); Wang, Haopeng (University of Nottingham); Xiao, Yue (Wuhan University; Wuhan University of Technology); Xing, Chao (Harbin Institute of Technology); Xu, Huining (Harbin Institute of Technology); Yan, Yu (Tongji University); Yang, Xu (Chang'an University); You, Lingyun (Huazhong University of Science and Technology); You, Zhanping (Michigan Technological University); Yu, Bin (Southeast University); Yu, Huayang (South China University of Technology); Yu, Huanan (Changsha University of Science and Technology); Zhang, Henglong (Hunan University); Zhang, Jizhe (Shandong University); Zhou, Changhong (Guilin University of Electronic Technology); Zhou, Changjun (Dalian University of Technology); Zhu, Xingyi (Tongji University)","","2021","Sustainable and resilient pavement infrastructure is critical for current economic and environmental challenges. In the past 10 years, the pavement infrastructure strongly supports the rapid development of the global social economy. New theories, new methods, new technologies and new materials related to pavement engineering are emerging. Deterioration of pavement infrastructure is a typical multi-physics problem. Because of actual coupled behaviors of traffic and environmental conditions, predictions of pavement service life become more and more complicated and require a deep knowledge of pavement material analysis. In order to summarize the current and determine the future research of pavement engineering, Journal of Traffic and Transportation Engineering (English Edition) has launched a review paper on the topic of “New innovations in pavement materials and engineering: A review on pavement engineering research 2021”. Based on the joint-effort of 43 scholars from 24 well-known universities in highway engineering, this review paper systematically analyzes the research status and future development direction of 5 major fields of pavement engineering in the world. The content includes asphalt binder performance and modeling, mixture performance and modeling of pavement materials, multi-scale mechanics, green and sustainable pavement, and intelligent pavement. Overall, this review paper is able to provide references and insights for researchers and engineers in the field of pavement engineering.","Asphalt binder; Asphalt mixture; Green and sustainable pavement; Intelligent pavement; Modeling of pavement materials; Multi-scale mechanics","en","review","","","","","","","","","","","Pavement Engineering","","",""
"uuid:15e5b365-9a71-4713-b5be-5f35147f486b","http://resolver.tudelft.nl/uuid:15e5b365-9a71-4713-b5be-5f35147f486b","Roadmap on quantum nanotechnologies","Laucht, Arne (University of New South Wales); Hohls, Frank (Physikalisch-Technische Bundesanstalt); Ubbelohde, Niels (Physikalisch-Technische Bundesanstalt); Gonzalez-Zalba, M. Fernando (Quantum Motion Technologies); Scarlino, Pasquale (ETH Zürich); Koski, J.V. (ETH Zürich); Yang, Chih Hwan (University of New South Wales); Geresdi, A. (TU Delft QRD/Geresdi Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Mol, Jan A. (Queen Mary University of London)","","2021","Quantum phenomena are typically observable at length and time scales smaller than those of our everyday experience, often involving individual particles or excitations. The past few decades have seen a revolution in the ability to structure matter at the nanoscale, and experiments at the single particle level have become commonplace. This has opened wide new avenues for exploring and harnessing quantum mechanical effects in condensed matter. These quantum phenomena, in turn, have the potential to revolutionize the way we communicate, compute and probe the nanoscale world. Here, we review developments in key areas of quantum research in light of the nanotechnologies that enable them, with a view to what the future holds. Materials and devices with nanoscale features are used for quantum metrology and sensing, as building blocks for quantum computing, and as sources and detectors for quantum communication. They enable explorations of quantum behaviour and unconventional states in nano- and opto-mechanical systems, low-dimensional systems, molecular devices, nano-plasmonics, quantum electrodynamics, scanning tunnelling microscopy, and more. This rapidly expanding intersection of nanotechnology and quantum science/technology is mutually beneficial to both fields, laying claim to some of the most exciting scientific leaps of the last decade, with more on the horizon.","Nanotechnology; Quantum computing; Quantum electrodynamics; Quantum phenomena","en","review","","","","","","","","","","","QRD/Geresdi Lab","","",""
"uuid:3952e0f6-e5bd-4e7b-b9db-48d19d23b05e","http://resolver.tudelft.nl/uuid:3952e0f6-e5bd-4e7b-b9db-48d19d23b05e","Environmental impacts of key metals' supply and low-carbon technologies are likely to decrease in the future","Harpprecht, Carina (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR); Universiteit Leiden); van Oers, Lauran (Universiteit Leiden); Northey, Stephen A. (University of Technology Sydney); Yang, Y. (TU Delft Team Yongxiang Yang); Steubing, Bernhard (Universiteit Leiden)","","2021","The environmental benefits of low-carbon technologies, such as photovoltaic modules, have been under debate because their large-scale deployment will require a drastic increase in metal production. This is of concern because higher metal demand may induce ore grade decline and can thereby further intensify the environmental footprint of metal supply. To account for this interlinkage known as the “energy-resource nexus”, energy and metal supply scenarios need to be assessed in conjunction. We investigate the trends of future impacts of metal supplies and low-carbon technologies, considering both metal and electricity supply scenarios. We develop metal supply scenarios for copper, nickel, zinc, and lead, extending previous work. Our scenarios consider developments such as ore grade decline, energy-efficiency improvements, and secondary production shares. We also include two future electricity supply scenarios from the IMAGE model using a recently published methodology. Both scenarios are incorporated into the background database of ecoinvent to realize an integrated modeling approach, that is, future metal supply chains make use of future electricity and vice versa. We find that impacts of the modeled metal supplies and low-carbon technologies may decrease in the future. Key drivers for impact reductions are the electricity transition and increasing secondary production shares. Considering both metal and electricity scenarios has proven valuable because they drive impact reductions in different categories, namely human toxicity (up to −43%) and climate change (up to −63%), respectively. Thus, compensating for lower ore grades and reducing impacts beyond climate change requires both greener electricity and also sustainable metal supply. This article met the requirements for a Gold-Gold JIE data openness badge described at http://jie.click/badges.","background changes; industrial ecology; life cycle assessment (LCA); prospective life cycle assessment; resources; scenarios","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:5f7df05f-d237-4e2e-8260-88a9e4d365fc","http://resolver.tudelft.nl/uuid:5f7df05f-d237-4e2e-8260-88a9e4d365fc","The Mechanical Properties and Elastic Anisotropy of η’-Cu6Sn5 and Cu3Sn Intermetallic Compounds","Ding, Chao (Guilin University of Electronic Technology); Wang, Jian (Guilin University of Electronic Technology); Liu, Tianhan (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2021","Full intermetallic compound (IMC) solder joints present fascinating advantages in high-temperature applications. In this study, the mechanical properties and elastic anisotropy of η’-Cu6Sn5 and Cu3Sn intermetallic compounds were investigated using first-principles calculations. The values of single-crystal elastic constants, the elastic (E), shear (G), and bulk (B) moduli, and Poisson’s ratio (ν) were identified. In addition, the two values of G/B and ν indicated that the two IMCs were ductile materials. The elastic anisotropy of η’-Cu6Sn5 was found to be higher than Cu3Sn by calculating the universal anisotropic index. Furthermore, an interesting discovery was that the above two types of monocrystalline IMC exhibited mechanical anisotropic behavior. Specifically, the anisotropic degree of E and B complied with the following relationship: η’-Cu6Sn5 > Cu3Sn; however, the relationship was Cu3Sn > η’-Cu6Sn5 for the G. It is noted that the anisotropic degree of E and G was similar for the two IMCs. In addition, the anisotropy of the B was higher than the G and E, respectively, for η’-Cu6Sn5; however, in the case of Cu3Sn, the anisotropic degree of B, G, and E was similar.","Elastic anisotropic; First-principles calculates; Intermetallic compounds; Mechanical properties","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7544cd5e-5474-4b64-87ac-c73c504e4d41","http://resolver.tudelft.nl/uuid:7544cd5e-5474-4b64-87ac-c73c504e4d41","Through-Screen Visible Light Sensing Empowered by Embedded Deep Learning","Liu, Hao (Student TU Delft); Ye, Hanting (TU Delft Embedded Systems); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","","2021","Motivated by the trend of realizing full screens on devices such as smartphones, in this work we propose through-screen sensing with visible light for the application of fingertip air-writing. The system can recognize handwritten digits with under-screen photodiodes as the receiver. The key idea is to recognize the weak light reflected by the finger when the finger writes the digits on top of a screen. The proposed air-writing system has immunity to scene changes because it has a fixed screen light source. However, the screen is a double-edged sword as both a signal source and a noise source. We propose a data preprocessing method to reduce the interference of the screen as a noise source. We design an embedded deep learning model, a customized model ConvRNN, to model the spatial and temporal patterns in the dynamic and weak reflected signal for air-writing digits recognition. The evaluation results show that our through-screen fingertip air-writing system with visible light can achieve accuracy up to 91%. Results further show that the size of the customized ConvRNN model can be reduced by 94% with less than a 10% drop in performance.","embedded AI; embedded deep learning; Through-screen sensing","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Embedded Systems","","",""
"uuid:c076d5d4-8cd9-45e6-874c-30b0f197e97b","http://resolver.tudelft.nl/uuid:c076d5d4-8cd9-45e6-874c-30b0f197e97b","Operational safety economics: Foundations, current approaches and paths for future research","Chen, C. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Khakzad, Nima (Toronto Metropolitan University); Yang, M. (TU Delft Safety and Security Science)","","2021","Due to the COVID-19 pandemic in 2020, the trade-off between economics and epidemic prevention (safety) has become painfully clear worldwide. This situation thus highlights the significance of balancing the economy with safety and health. Safety economics, considering the interdependencies between safety and micro-economics, is ideal for supporting this kind of decision-making. Although economic approaches such as cost-benefit analysis and cost-effectiveness analysis have been used in safety management, little attention has been paid to the fundamental issues and the primary methodologies in safety economics. Therefore, this paper presents a systematic study on safety economics to analyze the foundational issues and explore the possible approaches. Firstly, safety economics is defined as a transdisciplinary and interdisciplinary field of academic research focusing on the interdependencies and coevolution of micro-economies and safety. Then we explore the role of safety economics in safety management and production investment. Furthermore, to make decisions more profitable, economic approaches are summarized and analyzed for decision-making about prevention investments and/or safety strategies. Finally, we discuss some open issues in safety economics and possible pathways to improve this research field, such as security economics, risk perception, and multi-criteria analysis.","Approaches; Decision-making; Foundational issues; Safety economics; Safety management","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:8b90ccb4-2d61-4a06-8f98-5c20f54e1985","http://resolver.tudelft.nl/uuid:8b90ccb4-2d61-4a06-8f98-5c20f54e1985","Quantitative resilience assessment of chemical process systems using functional resonance analysis method and Dynamic Bayesian network","Zinetullina, Altyngul (Nazarbayev University); Yang, M. (TU Delft Safety and Security Science; Nazarbayev University); Khakzad, Nima (Toronto Metropolitan University); Golman, Boris (Nazarbayev University); Li, Xinhong (Xi'an University of Architecture and Technology)","","2021","The emergent hazards of chemical process systems cannot be wholly identified and are highly uncertain due to the complicated technical-human-organizational interactions. Under uncertain and unpredictable circumstances, resilience becomes an essential property of a chemical process system that helps it better adapt to disruptions and restore from surprising damages. The resilience assessment needs to be enhanced to identify the accident's root causes on the level of technical-human-organizational interactions, and development of the specific resilience attributes to withstand or recover from the disruptions. The outcomes of resilience assessment are valuable to identify potential design or operational improvements to ensure complex process system functionality and safety. The current study integrates the Functional Resonance Analysis Method and dynamic Bayesian Network for quantitative resilience assessment. The method is demonstrated through a two-phase separator of an acid gas sweetening unit. Aspen Hysys simulator is applied to estimate the failure probabilities needed in the resilience assessment model. The study provides a useful tool for rigorous quantitative resilience analysis of complex process systems on the level of technical-human-organizational interactions.","Chemical process systems; Dynamic Bayesian network; FRAM; Resilience assessment","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:5b92679e-1ead-400e-bc06-402491cc6d54","http://resolver.tudelft.nl/uuid:5b92679e-1ead-400e-bc06-402491cc6d54","Special issue: Risk-based approaches to design and operation of process systems","Khakzad, Nima (Toronto Metropolitan University); Yang, M. (TU Delft Safety and Security Science)","","2021","","","en","contribution to periodical","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-27","","","Safety and Security Science","","",""
"uuid:fb65e570-a5b4-4b3d-90e8-c806fe236f34","http://resolver.tudelft.nl/uuid:fb65e570-a5b4-4b3d-90e8-c806fe236f34","Fundamentals and application of solid-state phase transformations for advanced high strength steels containing metastable retained austenite","Dai, Zongbiao (Tsinghua University); Chen, Hao (School of Materials Science and Engineering; Tsinghua University); Ding, Ran (Tsinghua University); Lu, Qi (China Science Lab; General Motors); Zhang, Chi (School of Materials Science and Engineering; Tsinghua University); Yang, Zhigang (Tsinghua University); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2021","Over many decades, significant efforts have been made to improve the strength-elongation product of advanced high strength steels (AHSSs) by creating tailored multi-phase microstructures. Successive solid-state phase transformations for steels with a well selected chemical composition turned out to be the key instrument in the realisation of such microstructures. In this contribution, we first provide a brief review of the desired microstructures for Transformation-induced plasticity (TRIP), Carbide-free Bainitic (CFB), Quenching & Partitioning (Q&P) and Medium Manganese steels followed by comprehensive discussions on the phase transformations to be used in their creation. The implications for the steel composition to be selected are addressed too. As the presence of the right amount and type of metastable retained austenite (RA) is of crucial importance for the mechanical performance of these AHSSs, special attention is paid to the important role of successive solid-state phase transformations in creating the desired fraction and composition of RA by suitable element partitioning (in particular C and Mn). This critical partitioning not only takes place during final cooling (austenite decomposition) but also during the back transformation (austenite reversion) during reheating. This review aims to be more than just descriptive of the various findings, but to present them from a coherent thermodynamic / thermo-kinetic perspective, such that it provides the academic and industrial community with a rather complete conceptual and theoretical framework to accelerate the further development of this important class of steels. The detailed stepwise treatment makes the review relevant not only for experts but also metallurgists entering the field.","Alloy design; Automotive steels; Microstructures; Retained austenite; Solid-state phase transformations; Steel chemistry","en","review","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-30","","","Novel Aerospace Materials","","",""
"uuid:8504e311-60f1-4fc7-96e1-921884e0900c","http://resolver.tudelft.nl/uuid:8504e311-60f1-4fc7-96e1-921884e0900c","WCSAC: Worst-Case Soft Actor Critic for Safety-Constrained Reinforcement Learning","Yang, Q. (TU Delft Algorithmics); Simão, T. D. (TU Delft Algorithmics); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Spaan, M.T.J. (TU Delft Algorithmics)","","2021","Safe exploration is regarded as a key priority area for reinforcement learning research. With separate reward and safety signals, it is natural to cast it as constrained reinforcement learning, where expected long-term costs of policies are constrained. However, it can be hazardous to set constraints on the expected safety signal without considering the tail of the distribution. For instance, in safety-critical domains, worst-case analysis is required to avoid disastrous results. We present a novel reinforcement learning algorithm called Worst-Case Soft Actor Critic, which extends the Soft Actor Critic algorithm with a safety critic to achieve risk control. More specifically, a certain level of conditional Value-at- Risk from the distribution is regarded as a safety measure to judge the constraint satisfaction, which guides the change of adaptive safety weights to achieve a trade-off between reward and safety. As a result, we can optimize policies under the premise that their worst-case performance satisfies the constraints. The empirical analysis shows that our algorithm attains better risk control compared to expectation-based methods.","Reinforcement Learning","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-11-15","","","Algorithmics","","",""
"uuid:b5acef2b-6f87-4d59-9d28-902fd63fea2a","http://resolver.tudelft.nl/uuid:b5acef2b-6f87-4d59-9d28-902fd63fea2a","Energy Self-Sustainability in Full-Spectrum 6G","Hu, Jie (University of Electronic Science and Technology of China); Wang, Q. (TU Delft Embedded Systems); Yang, Kun (University of Essex)","","2021","Full-spectrum ranging from sub-6 GHz to THz and visible light will be exploited in 6G in order to reach unprecedented key-performance-indica-tors. However, an extraordinary amount of energy will be consumed by network infrastructure, while functions of massively deployed Internet of Everything (IoE) devices are limited by embedded batteries. Therefore, energy self-sustainable (ESS) 6G is proposed in this article. First of all, it may achieve network-wide energy efficiency by exploiting cell-free and airborne access networks as well as by implementing intelligent reflecting surfaces (IRSs). Second, by exploiting radio-frequency/visible-light signals for on-demand wireless information and energy provision (WIEP) and for enabling passive backscatter communication, 'zero-energy' IoE devices may become a reality. Furthermore, IoE devices actively adapt their transceivers for better performance to a dynamic environment. Case studies of cell-free and IRS based WIEP are provided for demonstrating the advantage of our proposed architecture in terms of energy self-sustainability. This article aims to provide a first glance at primary designing principles of ESS-6G.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-08-31","","","Embedded Systems","","",""
"uuid:c24d9ed0-c9e9-4e87-bf44-c2815a468950","http://resolver.tudelft.nl/uuid:c24d9ed0-c9e9-4e87-bf44-c2815a468950","DNA sequence-directed cooperation between nucleoid-associated proteins","Japaridze, A. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Yang, W.W.W. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Nasser, William (INSA Lyon); Muskhelishvili, Georgi (Agricultural University of Georgia, Tbilisi)","","2021","Nucleoid-associated proteins (NAPs) are a class of highly abundant DNA-binding proteins in bacteria and archaea. While both the composition and relative abundance of the NAPs change during the bacterial growth cycle, surprisingly little is known about their crosstalk in mutually binding and stabilizing higher-order nucleoprotein complexes in the bacterial chromosome. Here, we use atomic force microscopy and solid-state nanopores to investigate long-range nucleoprotein structures formed by the binding of two major NAPs, FIS and H-NS, to DNA molecules with distinct binding site arrangements. We find that spatial organization of the protein binding sites can govern the higher-order architecture of the nucleoprotein complexes. Based on sequence arrangement the complexes differed in their global shape and compaction as well as the extent of FIS and H-NS binding. Our observations highlight the important role the DNA sequence plays in driving structural differentiation within the bacterial chromosome.","Organizational Aspects of Cell Biology; Structural Biology","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:888982c7-9758-4c6a-9e1f-c5b16374bad1","http://resolver.tudelft.nl/uuid:888982c7-9758-4c6a-9e1f-c5b16374bad1","Anisotropy parameterization development and evaluation for glacier surface albedo retrieval from satellite observations","Ren, Shaoting (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Swiss Federal Institute for Forest, Snow and Landscape Research); Miles, Evan S. (Swiss Federal Institute for Forest, Snow and Landscape Research); Jia, Li (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Kneib, Marin (Swiss Federal Institute for Forest, Snow and Landscape Research; ETH Zürich); Buri, Pascal (Swiss Federal Institute for Forest, Snow and Landscape Research); McCarthy, Michael J. (Swiss Federal Institute for Forest, Snow and Landscape Research; British Antarctic Survey); Shaw, Thomas E. (Swiss Federal Institute for Forest, Snow and Landscape Research); Yang, Wei (Chinese Academy of Sciences); Pellicciotti, Francesca (Swiss Federal Institute for Forest, Snow and Landscape Research; University of Northumbria)","","2021","Glacier albedo determines the net shortwave radiation absorbed at the glacier surface and plays a crucial role in glacier energy and mass balance. Remote sensing techniques are efficient means to retrieve glacier surface albedo over large and inaccessible areas and to study its variability. However, corrections of anisotropic reflectance of glacier surface have been established for specific shortwave bands only, such as Landsat 5 Thematic Mapper (L5/TM) band 2 and band 4, which is a major limitation of current retrievals of glacier broadband albedo. In this study, we calibrated and evaluated four anisotropy correction models for glacier snow and ice, applicable to visible, near-infrared and shortwave-infrared wavelengths using airborne datasets of Bidirectional Reflectance Distribution Function (BRDF). We then tested the ability of the best-performing anisotropy correction model, referred to from here on as the ‘updated model’, to retrieve albedo from L5/TM, Landsat 8 Operational Land Imager (L8/OLI) and Moderate Resolution Imaging Spectroradiometer (MODIS) imagery, and evaluated these results with field measurements collected on eight glaciers around the world. Our results show that the updated model: (1) can accurately estimate anisotropic factors of reflectance for snow and ice surfaces; (2) generally performs better than prior approaches for L8/OLI albedo retrieval but is not appropriate for L5/TM; (3) generally retrieves MODIS albedo better than the MODIS standard albedo product (MCD43A3) in both absolute values and glacier albedo temporal evolution, i.e., exhibiting both fewer gaps and better agreement with field obser-vations. As the updated model enables anisotropy correction of a maximum of 10 multispectral bands and is implemented in Google Earth Engine (GEE), it is promising for observing and analyz-ing glacier albedo at large spatial scales.","Albedo retrieval; Anisotropy correction; Glacier surface albedo; Remote sensing","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:1a6841e8-0b02-4573-b2a9-6b6c38c6879e","http://resolver.tudelft.nl/uuid:1a6841e8-0b02-4573-b2a9-6b6c38c6879e","A bibliometric and visualized overview for the evolution of process safety and environmental protection","Xue, J. (TU Delft Safety and Security Science; Wuhan University of Technology); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Li, Jie (Liaoning Technical University; Beijing Institute of Technology); Yang, M. (TU Delft Safety and Security Science); Wu, Chaozhong (Wuhan University of Technology); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2021","This paper presents a bibliometric overview of the publications in the principal international journal Process Safety and Environmental Protection (PSEP) from 1990 to 2020 retrieved in the Web of Science (WoS) database to explore the evolution in safety and environmental engineering design and practice, as well as experimental or theoretical innovative research. Therefore, based on the WoS database and the visualization of similarities (VOS) viewer software, the bibliometric analysis and scientometric mapping of the literature have been performed from the perspectives of doc-ument types, publication and citation distribution over time, leading authors, countries (regions), institutions, the corresponding collaboration networks, most cited publications and references, fo-cused research fields and topics, research trend evolution over time, etc. The paper provides a comprehensive and quantitative overview and significant picture representation for the journal’s leading and evolutionary trends by employing specific aforementioned bibliometric analysis factors. In addition, by reviewing the evolutionary trends of the journal and the proposed investigated factors, such as the influential works, main research topics, and the research frontiers, this paper reveals the scientific literature production’s main research objectives and directions that could be addressed and explored in future studies.","Bibliometrics; Environmental protection; Evolutionary trends; Scientometric mapping; VOSviewer; Web of Science","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:da2b2b42-900a-4c87-88f3-62fdcbe44d24","http://resolver.tudelft.nl/uuid:da2b2b42-900a-4c87-88f3-62fdcbe44d24","A review and experimental analysis of active learning over crowdsourced data","Sayin, Burcu (Università di Trento); Krivosheev, Evgeny (Università di Trento); Yang, J. (TU Delft Web Information Systems); Passerini, Andrea (Università di Trento); Casati, Fabio (Università di Trento)","","2021","Training data creation is increasingly a key bottleneck for developing machine learning, especially for deep learning systems. Active learning provides a cost-effective means for creating training data by selecting the most informative instances for labeling. Labels in real applications are often collected from crowdsourcing, which engages online crowds for data labeling at scale. Despite the importance of using crowdsourced data in the active learning process, an analysis of how the existing active learning approaches behave over crowdsourced data is currently missing. This paper aims to fill this gap by reviewing the existing active learning approaches and then testing a set of benchmarking ones on crowdsourced datasets. We provide a comprehensive and systematic survey of the recent research on active learning in the hybrid human–machine classification setting, where crowd workers contribute labels (often noisy) to either directly classify data instances or to train machine learning models. We identify three categories of state of the art active learning methods according to whether and how predefined queries employed for data sampling, namely fixed-strategy approaches, dynamic-strategy approaches, and strategy-free approaches. We then conduct an empirical study on their cost-effectiveness, showing that the performance of the existing active learning approaches is affected by many factors in hybrid classification contexts, such as the noise level of data, label fusion technique used, and the specific characteristics of the task. Finally, we discuss challenges and identify potential directions to design active learning strategies for hybrid classification problems.","Active learning; Classification; Crowdsourcing; Human in the loop","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:7ca74203-05fd-4b96-9cd5-7b291aef981a","http://resolver.tudelft.nl/uuid:7ca74203-05fd-4b96-9cd5-7b291aef981a","Robust and efficient hydrogenation of carbonyl compounds catalysed by mixed donor Mn(I) pincer complexes","Yang, W. (TU Delft ChemE/Inorganic Systems Engineering); Chernyshov, I. (ITMO University); van Schendel, R.K.A. (TU Delft ChemE/Inorganic Systems Engineering); Weber, Manuela (Freie Universität Berlin); Müller, Christian (Freie Universität Berlin); Filonenko, G.A. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Algemeen; TU Delft ChemE/Inorganic Systems Engineering)","","2021","Any catalyst should be efficient and stable to be implemented in practice. This requirement is particularly valid for manganese hydrogenation catalysts. While representing a more sustainable alternative to conventional noble metal-based systems, manganese hydrogenation catalysts are prone to degrade under catalytic conditions once operation temperatures are high. Herein, we report a highly efficient Mn(I)-CNP pre-catalyst which gives rise to the excellent productivity (TOF° up to 41 000 h−1) and stability (TON up to 200 000) in hydrogenation catalysis. This system enables near-quantitative hydrogenation of ketones, imines, aldehydes and formate esters at the catalyst loadings as low as 5–200 p.p.m. Our analysis points to the crucial role of the catalyst activation step for the catalytic performance and stability of the system. While conventional activation employing alkoxide bases can ultimately provide catalytically competent species under hydrogen atmosphere, activation of Mn(I) pre-catalyst with hydride donor promoters, e.g. KHBEt3, dramatically improves catalytic performance of the system and eliminates induction times associated with slow catalyst activation.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:fbc14986-0614-4c96-b27a-4e02a3d6bfa1","http://resolver.tudelft.nl/uuid:fbc14986-0614-4c96-b27a-4e02a3d6bfa1","A sequence-based method for dynamic reliability assessment of MPD systems","Zhu, Jingyu (China University of Petroleum (East China)); Chen, Guoming (China University of Petroleum (East China)); Khan, Faisal (Memorial University of Newfoundland); Yang, M. (TU Delft Safety and Security Science); Li, Xinhong (Xi'an University of Architecture and Technology); Meng, Xiangkun (Dalian Maritime University); He, Rui (China University of Petroleum (East China))","","2021","Managed Pressure Drilling (MPD) system is widely used in the deepwater drilling operation. Reliability assessment plays a critical role in the MPD system in the management of drilling operation risk and the prevention of blowouts. However, the reliability assessment of the MPD system is challenged due to its sequential operations and multiple processes. Consequently, the present work proposes a sequence-based dynamic reliability assessment method, which focuses on the dynamic modeling of sequential operations for the MPD system by integrating GO-FLOW and dynamic Bayesian Network (DBN). GO-FLOW models are firstly used to define the time interaction between multiple phases for complex systems. A sequence-based mapping method is also proposed for the DBN to construct the reliability model of the MPD system throughout the entire drilling cycle. In the end, the case study analyzed by the proposed framework indicates that the reliability of the MPD system decreases with increasing drilling depth, and the reliability of “tripping in” is highest among four different phases, while the “drilling process” is the lowest. The method provides an important technique that can be implemented with online condition monitoring tools to assess and monitor the reliability of the MPD operation in real-time.","Deepwater drilling; Dynamic bayesian network; GO-FLOW method; MPD system; Reliability assessment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-06-15","","","Safety and Security Science","","",""
"uuid:d4dd9d96-b508-4e6c-856e-8ebfb5c0c180","http://resolver.tudelft.nl/uuid:d4dd9d96-b508-4e6c-856e-8ebfb5c0c180","Along the Channel Gradients Impact on the Spatioactivity of Gas Diffusion Electrodes at High Conversions during CO2Electroreduction","Kas, Recep (National Renewable Energy Laboratory; University of Colorado); Star, Andrew G. (National Renewable Energy Laboratory); Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Van Cleve, Tim (National Renewable Energy Laboratory); Neyerlin, Kenneth C. (National Renewable Energy Laboratory); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage; National Renewable Energy Laboratory; University of Colorado)","","2021","Results of a 2-D transport model for a gas diffusion electrode performing CO2 reduction to CO with a flowing catholyte are presented, including the concentration gradients along the flow cell, spatial distribution of the current density and local pH in the catalyst layer. The model predicts that both the concentration of CO2 and the buffer electrolyte gradually diminish along the channels for a parallel flow of gas and electrolyte as a result of electrochemical conversion and nonelectrochemical consumption. At high single-pass conversions, significant concentration gradients exist along the flow channels leading to large local variations in the current density (>150 mA/cm2), which becomes prominent when compared to ohmic losses. In addition, concentration overpotentials change dramatically with CO2 flow rate, which results in significant differences in outlet concentrations at high conversions. The outlet concentration of CO attains a maximum of 80% along with 5% CO2 and 15% H2, although the maximum single-pass conversion is limited to below 60% due to homogeneous consumption by the electrolyte. Fundamental and practical implications of our findings on electrochemical CO2 reduction are discussed with a focus on the trade-off between high current density operation and high single-pass conversion efficiency.","Concentration overpotential; Electrochemical COreduction; Local pH; Modeling study; Single pass conversion","en","journal article","","","","","","Accepted Author Manuscript","","2022-01-14","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:d772a0e9-c8f2-4f95-99bd-4cddceea0bc6","http://resolver.tudelft.nl/uuid:d772a0e9-c8f2-4f95-99bd-4cddceea0bc6","Translocation of DNA through Ultrathin Nanoslits","Yang, W.W.W. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Radha, Boya (The University of Manchester); Choudhary, Adnan (University of Illinois at Urbana-Champaign); You, Yi (The University of Manchester); Mettela, Gangaiah (The University of Manchester); Geim, Andre K. (The University of Manchester); Aksimentiev, Aleksei (University of Illinois at Urbana-Champaign); Keerthi, Ashok (The University of Manchester); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2021","2D nanoslit devices, where two crystals with atomically flat surfaces are separated by only a few nanometers, have attracted considerable attention because their tunable control over the confinement allows for the discovery of unusual transport behavior of gas, water, and ions. Here, the passage of double-stranded DNA molecules is studied through nanoslits fabricated from exfoliated 2D materials, such as graphene or hexagonal boron nitride, and the DNA polymer behavior is examined in this tight confinement. Two types of events are observed in the ionic current: long current blockades that signal DNA translocation and short spikes where DNA enters the slits but withdraws. DNA translocation events exhibit three distinct phases in their current-blockade traces—loading, translation, and exit. Coarse-grained molecular dynamics simulation allows the different polymer configurations of these phases to be identified. DNA molecules, including folds and knots in their polymer structure, are observed to slide through the slits with near-uniform velocity without noticeable frictional interactions of DNA with the confining graphene surfaces. It is anticipated that this new class of 2D-nanoslit devices will provide unique ways to study polymer physics and enable lab-on-a-chip biotechnology.","2D nanoslits; biopolymers; DNA translocation; graphene; nanofluidics","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:8abdbcdc-eb51-43f7-b5db-5a8169d7bdfa","http://resolver.tudelft.nl/uuid:8abdbcdc-eb51-43f7-b5db-5a8169d7bdfa","Accretion-erosion conversion in the subaqueous Yangtze Delta in response to fluvial sediment decline","Luan, Hua Long (East China Normal University; Changjiang River Scientific Research Institute (CRSRI)); Ding, Ping Xing (East China Normal University); Yang, Shi Lun (East China Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; East China Normal University; Deltares)","","2021","Identifying the pattern of delta morphological change under decreasing sediment flux due to dam construction is essential for sustainable management in such densely populated coastal areas. In this study, we investigated the morphological processes of the Yangtze mouth bar and prodelta based on bathymetric data on a decadal-interannual scale (1958, 1978, 1997, 2002, 2007, 2010, 2013 and 2015). We found that strong accretion (205.1 Mm3 yr−1) occurred during 1958–1978, when a high sediment load (465 Mt yr−1) was supplied by the Yangtze. Afterwards, the net accumulation rate decreased to 31.9 Mm3 yr−1 in 1978–1997 and 114.6 Mm3 yr−1 in 1997–2002 as a result of riverine sediment loads decreasing to 390 Mt yr−1 and 314 Mt yr−1, respectively. Surprisingly, the net accumulation rate increased to 130.8 Mm3 yr−1 in 2002–2007, though the sediment load sharply decreased to 177 Mt yr−1. This anomaly was attributed to the construction of training walls within the mouth bar area, which induced significant accretion in groyne-sheltered areas and nearby regions. Along with a further decrease in sediment load, the entire study area converted to net erosion of −200.4 Mm3 yr−1 in 2007–2010 and −152.2 Mm3 yr−1 in 2010–2013. Stronger erosion in the former period was partly caused by intensive dredging activities in the mouth bar area. The critical sediment discharge for the Yangtze mouth bar and prodelta to retain net accretion was estimated to be ca. 218 Mt yr−1. If deducting the impacts of estuarine engineering projects on accretion/erosion during 1997–2010, the critical sediment discharge is adjusted to ca. 234 Mt yr−1. In combination with previously reported accretion-erosion conversion elsewhere in the Yangtze Delta, we inferred that most portion of the subaqueous delta has most likely converted from net accretion to net erosion in response to fluvial sediment decline, and the mouth bar area showed the latest conversion among portions of the delta. Integrated assessment and adaptive strategies are urgently required for the Yangtze Delta to survive the coming erosional stage.","Accretion-erosion conversion; Estuarine engineering projects; Fluvial sediment decline; Yangtze Delta","en","journal article","","","","","","Accepted author manuscript","","2023-03-13","","","Coastal Engineering","","",""
"uuid:2bd94465-9670-4e15-ade7-449e674d71cd","http://resolver.tudelft.nl/uuid:2bd94465-9670-4e15-ade7-449e674d71cd","Role of the Carbon-Based Gas Diffusion Layer on Flooding in a Gas Diffusion Electrode Cell for Electrochemical CO2 Reduction","Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Kas, Recep (University of Colorado); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage; University of Colorado); Burdyny, T.E. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2021","The deployment of gas diffusion electrodes (GDEs) for the electrochemical CO2 reduction reaction (CO2RR) has enabled current densities an order of magnitude greater than those of aqueous H cells. The gains in production, however, have come with stability challenges due to rapid flooding of GDEs, which frustrate both laboratory experiments and scale-up prospects. Here, we investigate the role of carbon gas diffusion layers (GDLs) in the advent of flooding during CO2RR, finding that applied potential plays a central role in the observed instabilities. Electrochemical characterization of carbon GDLs with and without catalysts suggests that the high overpotential required during electrochemical CO2RR initiates hydrogen evolution on the carbon GDL support. These potentials impact the wetting characteristics of the hydrophobic GDL, resulting in flooding that is independent of CO2RR. Findings from this work can be extended to any electrochemical reduction reaction using carbon-based GDEs (CORR or N2RR) with cathodic overpotentials of less than -0.65 V versus a reversible hydrogen electrode.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:2b31641a-f76f-410c-9bfa-5965a33494ef","http://resolver.tudelft.nl/uuid:2b31641a-f76f-410c-9bfa-5965a33494ef","A rapid and high-precision mountain vertex extraction method based on hotspot analysis clustering and improved eight-connected extraction algorithms for digital elevation models","Zheng, Zhenqi (Wuhan University; Wuhan Headquarters of Xiaomi Co., LTD); Xiao, Xiongwu (Wuhan University; Collaborative Innovation Center for Geospatial Technology); Zhong, Zhi Chao (Wuhan University); Zang, Y. (TU Delft Optical and Laser Remote Sensing; Nanjing University of Information Sciences and Technology); Yang, Nan (Harbin Institute of Technology); Tu, Jianguang (Wuhan University); Li, Deren (Wuhan University; Collaborative Innovation Center for Geospatial Technology)","","2021","Digital Elevation Model (DEM)-based mountain vertex extraction is one of the most useful DEM applications, providing important information to properly characterize topographic features. Current vertex-extraction techniques have considerable limitations, such as yielding low-accuracy results and generating false mountain vertices. To overcome these limitations, a new approach is proposed that combines Hotspot Analysis Clustering and the Improved Eight-Connected Extraction algorithms that would quickly and accurately provide the location and elevation of mountain vertices. The use of the elevation-based Hotspot Analysis Clustering Algorithm allows the fast partitioning of the mountain vertex area, which significantly reduces data and considerably improves the efficiency of mountain vertex extraction. The algorithm also minimizes false mountain vertices, which can be problematic in valleys, ridges, and other rugged terrains. The Eight-Connected Extraction Algorithm also hastens the precise determination of vertex location and elevation, providing a better balance between accuracy and efficiency in vertex extraction. The proposed approach was used and tested on seven different datasets and was compared against traditional vertex extraction methods. The results of the quantitative evaluation show that the proposed approach yielded higher efficiency, considerably minimized the occurrence of invalid points, and generated higher vertex extraction accuracy compared to other traditional methods.","Contour Line and Neighborhood Analysis Overlay Method; Contour Line Method; Digital Elevation Model (DEM); Hotspot Analysis Clustering; Improved Eight-Connected Algorithm; Mountain Vertex Extraction","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:d0aade8a-d81b-4376-a670-0a4e1e410ae3","http://resolver.tudelft.nl/uuid:d0aade8a-d81b-4376-a670-0a4e1e410ae3","Fracture simulation of partially threaded bolts under tensile loading","Yang, F. (TU Delft Steel & Composite Structures; Tongji University); Veljkovic, M. (TU Delft Steel & Composite Structures); Liu, Yuqing (Tongji University)","","2021","Failure of bolts exposed to tension is generally avoided in the design of bolted connections due to the smaller deformation capacity of bolts than the connected plates. This is one of the reasons why few studies focus on the tensile failure behaviour of bolts. However, failure behaviour of bolts is essential for the advanced finite element analysis especially relevant to the deformation capacity and failure mode of bolted connections. This paper presents a numerical study on the fracture of partially threaded bolts under tension incorporating damage models, with which the failure mechanism of bolts can be better understood. The post-necking stress–strain relation is firstly calibrated to describe the behaviour of bolt threaded parts at large deformation. Then, direct tension tests on partially threaded bolts with different threaded lengths within the grip are modelled using ABAQUS with the explicit solver. Two criteria for fracture are investigated: the void growth model (VGM) and a model proposed by Bao and Wierzbicki (BW). The former is adopted to simulate the tensile fracture of bolts and the latter is used to predict the thread stripping failure. Results indicate that bolt failure modes of tensile fracture and thread stripping can be well predicted by combining the calibrated post-necking stress–strain relation and a suitable fracture criterion in the analysis. It is revealed that the large plastic strain in the threads introduced by thread rolling process is a major reason for the thread stripping failure.","Bolted connection; Partially threaded bolt; Post-necking stress–strain; Thread rolling; Thread stripping; Void grow model (VGM)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-17","","","Steel & Composite Structures","","",""
"uuid:86176eb3-dcec-4391-865a-15885c22d752","http://resolver.tudelft.nl/uuid:86176eb3-dcec-4391-865a-15885c22d752","Dynamic probability assessment of urban natural gas pipeline accidents considering integrated external activities","Li, Xinhong (Xi'an University of Architecture and Technology); Zhang, Yi (Xi'an University of Architecture and Technology); Abbassi, Rouzbeh (Macquarie University); Yang, M. (TU Delft Safety and Security Science); Zhang, Renren (Xi'an University of Architecture and Technology); Chen, Guoming (China University of Petroleum (East China))","","2021","Urban gas pipelines usually have high structural vulnerability due to long service time. The locations across urban areas with high population density make the gas pipelines easily exposed to external activities. Recently, urban pipelines may also have been the target of terrorist attacks. Nevertheless, the intentional damage, i.e. terrorist attack, was seldom considered in previous risk analysis of urban gas pipelines. This work presents a dynamic risk analysis of external activities to urban gas pipelines, which integrates unintentional and intentional damage to pipelines in a unified framework. A Bayesian network mapping from the Bow-tie model is used to represent the evolution process of pipeline accidents initiating from intentional and unintentional hazards. The probabilities of basic events and safety barriers are estimated by adopting the Fuzzy set theory and hierarchical Bayesian analysis (HBA). The developed model enables assessment of the dynamic probabilities of consequences and identifies the most credible contributing factors to the risk, given observed evidence. It also captures both data and model uncertainties. Eventually, an industrial case is presented to illustrate the applicability and effectiveness of the developed methodology. It is observed that the proposed methodology helps to more accurately conduct risk assessment and management of urban natural gas pipelines.","Bayesian network; External activities; Integrated risk assessment; Urban gas pipeline","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Safety and Security Science","","",""
"uuid:997232b0-d64d-4af9-901c-a95f4d19b382","http://resolver.tudelft.nl/uuid:997232b0-d64d-4af9-901c-a95f4d19b382","Fracture simulation of a demountable steel-concrete bolted connector in push-out tests","Yang, F. (TU Delft Steel & Composite Structures; Tongji University); Liu, Yuqing (Tongji University); Xin, H. (TU Delft Concrete Structures; Xi’an Jiaotong University); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2021","Many bolted connectors were proposed for use in steel-concrete composite structures over the past few decades. This is because using bolted connectors could make the assembly and disassembly of steel-concrete composite structures more convenient and reusing the dismantled structural components could also improve structural sustainability. Lots of static and fatigue experimental tests on steel-concrete bolted connectors were conducted but detailed finite element simulations including fracture of bolted connectors were rarely reported. This paper presents a series of simulations of a demountable steel-concrete bolted connector in push-out tests, which was proposed and reported by the authors of this paper before. Damage models of bolt and concrete materials are incorporated in the simulations to better understand the behaviour of the bolted connector and the failure mode of the push-out tests. Direct tension tests on bolts are simulated to calibrate the stress-strain relationship of bolt material and assumed pure shear tests on bolts are modelled to validate the fracture criterion used in the simulations. Results of modelling push-out tests indicate that the friction force at the steel-concrete interface accounts for a part of shear resistance of the bolted connector in push-out tests conducted. The load-slip curves and the fracture of bolts in push-out tests can be approximately predicted by incorporating damage models of bolt and concrete materials and considering an appropriate friction coefficient. The effects of concrete damage model, clearance in bolt hole, and pretension of short bolt on the shear performance of the bolted connector are discussed at last.","Bolt pretension; Bolt shear fracture; Bolted connector; Damage model; Demountable connector; Fracture criterion; Push-out test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-10-10","","","Steel & Composite Structures","","",""
"uuid:1ef398e5-ee81-460a-9e38-c9c7d99c86ac","http://resolver.tudelft.nl/uuid:1ef398e5-ee81-460a-9e38-c9c7d99c86ac","Moisture source variations for summer rainfall in different intensity classes over Huaihe River Valley, China","Liu, Yubo (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zhang, Chi (Chinese Academy of Sciences); Tang, Qiuhong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Hosseini-Moghari, Seyed Mohammad (Chinese Academy of Sciences); Haile, Gebremedhin Gebremeskel (Chinese Academy of Sciences); Li, Laifang (The Pennsylvania State University); Li, Wenhong (Duke University); Yang, Kun (Tsinghua University); van der Ent, R.J. (TU Delft Water Resources); Chen, Deliang (University of Gothenburg)","","2021","Rainfall is one of the most influential climatic factors on regional development and environment, and changes in rainfall intensity are of specific concern. In the Huaihe River Valley (HRV), heavy rainfall is a primary trigger of floods. However, the difference in the origin of moisture contributed to heavy rainfall and light rainfall is rarely studied and not entirely understood. This study analyzes the rainfall moisture sources in association with different categories of rainfall intensity over the HRV during 1980–2018 using the Water Accounting Model with ERA-Interim reanalysis and precipitation observations from China Meteorological Administration. The results show that the moisture for the HRV summer rainfall is mainly from terrestrial subregion (40%), the Indian Ocean (27%), the Pacific Ocean (25%), and the local HRV (8%). In addition, moisture sources differ substantially between light and heavy rainfall. Specifically, the local HRV contributes more moisture to light rainfall (12%) compared to heavy rainfall (4%), whereas the Indian Ocean contributes more to heavy rainfall (33%) than to light rainfall (20%). The grids located in the southern source region make higher contribution ratio in heavy rainfall than in light rainfall. These results suggest that moisture from distant oceanic areas, especially the Indian Ocean, plays a crucial role in intense summer rainfall, whereas moisture from the land sources covering local grids plays a dominant role in light rainfall in the HRV.","Heavy rainfall; Huaihe River; Indian Ocean; Moisture source; Water Accounting Model","en","journal article","","","","","","","","2021-10-10","","","Water Resources","","",""
"uuid:addfbe63-cee2-4ac1-87da-a50492cb63c8","http://resolver.tudelft.nl/uuid:addfbe63-cee2-4ac1-87da-a50492cb63c8","Marchenko inversion of gpr data for a 1d dissipative medium","Yang, B. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2021","Radar data collected on two sides of a horizontally dissipative layered medium are required to invert for the medium parameters. The two-sided reflection and transmission responses are reduced to two single-sided reflection responses. One is the measured dissipative medium response, and the other is the reflection response of the corresponding effectual medium, which has negative dissipation. Marchenko-type equations are solved using these two reflection responses. The obtained focusing functions in the dissipative and effectual media are used to invert for the permittivity and the permeability under the assumption of weak dissipation in reflection. Once these parameters are known, the travel times are used to estimate the layer thicknesses. Finally, the focusing functions are used to estimate the conductivity in each layer. The method does not require any model information and runs as a fully automated process. A numerical example shows that the method works well for a horizontally dissipative layered medium. Statistical analysis for several noise models shows that the method is robust at least up to 40 dB additive and multiplicative white noise.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:03602775-903c-45fa-b7b7-578f6bd8e678","http://resolver.tudelft.nl/uuid:03602775-903c-45fa-b7b7-578f6bd8e678","Impacts of the Silk Road pattern on the interdecadal variations of the atmospheric heat source over the Tibetan Plateau","Han, Yizhe (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ma, Weiqiang (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yang, Yaoxian (Chinese Academy of Science); Ma, Yaoming (Chinese Academy of Sciences; University of Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth Sciences); Xie, Zhipeng (Chinese Academy of Sciences); Sun, Genhou (Sun Yat-sen University; Southern Marine Science and Engineering Guangdong Laboratory); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Su, Bob (University of Twente; Chang'an University)","","2021","This study aimed to investigate the relationship between the boreal summer Silk Road Pattern (SRP) and the atmospheric heat (<Q1>) over the Tibetan Plateau (TP) region, using 5 reanalysis datasets over the period 1979–2019. Our results indicate an interdecadal change of boreal summer SRP over the Eurasian region, with a regime shift in the spatial structure at around 1997. Meanwhile, the summer <Q1> anomaly also shows a clear interdecadal increasing trend over the TP region, which is highly correlated with the interdecadal variation of the SRP. The impact of the SRP on the summer <Q1> was also investigated. The regime shift of the SRP would have generated circulation anomalies over the Lake Baikal region in 500 hPa, which would have inhibited moisture transport across the eastern boundary of the TP. Meanwhile, Indian Summer Monsoon (ISM) would also transport water vapor through the southern boundary of the TP and increased the contents of water vapor in the TP. Associated with this increase in moisture, the change of vertical motion would result in large plenty of precipitation, which released latent heat and enhanced <Q1> in summer. Thus, the regime shift in summer SRP was an important factor contributing to changes in summer <Q1> over the TP in recent decades.","Atmospheric heat source; Interdecadal variability; Reanalysis datasets; Silk Road Pattern (SRP); Tibetan Plateau","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:2b68249b-ea1d-44c7-8d74-a98bc1b80a78","http://resolver.tudelft.nl/uuid:2b68249b-ea1d-44c7-8d74-a98bc1b80a78","Identify dominant dimensions of 3D hand shapes using statistical shape model and deep neural network","Yang, Y. (TU Delft Mechatronic Design; Shanghai University); Zhou, H. (TU Delft Robot Dynamics); Song, Y. (TU Delft Mechatronic Design); Vink, P. (TU Delft Materials and Manufacturing)","","2021","Hand anthropometry is one of the fundamentals of ergonomic research and product design. Many studies have been conducted to analyze the hand dimensions among different populations, however, the definitions and the numbers of those dimensions were usually selected based on the experience of the researchers and the available equipment. Few studies explored the importance of each hand dimension regarding the 3D shape of the hand. In this paper, we aim to identify the dominant dimensions that influence the hand shape variability while considering the stability of the measurements in practice. A novel four-step research method was proposed where in the first step, based on literature study, we defined 58 landmarks and 53 dimensions for the exploration. In the second step, 80,000 virtual hand models, each had the associated 53 dimensions, were augmented by changing the weights of Principle Components (PCs) of a statistical shape model (SSM). Deep neural networks (DNNs) were used to establish the inverse relationships from the dimensions to the weight of each PC of the hand SSM. Using the structured sparsity learning method, we identified 21 dominant dimensions that represent 90% of the variance of the hand shape. In the third step, two different manual measuring methods were used to evaluate the stability of the measurements in practice. Finally, we selected 16 dominant dimensions with lower measurement variance by synthesizing the findings in Step 2 and 3. It was concluded that the recognized 21 dominant dimensions can be treated as the reference dimensions for anthropometric study and using the selected 16 dominant dimensions with lower measurement variance, ergonomists are able to generate a 3D hand model based on simple measurement tools with an accuracy of 5.9 mm. Though the accuracy is limited, the efforts are minimum, and the results can be used as an indicator in the early stage of research/design.","Dominant hand dimensions; Measurement stability; Structured sparsity learning","en","journal article","","","","","","","","","","","Mechatronic Design","","",""
"uuid:041e7a1c-a7aa-4024-8986-edefe0354e60","http://resolver.tudelft.nl/uuid:041e7a1c-a7aa-4024-8986-edefe0354e60","The development of a low-cost photogrammetry-based 3D hand scanner","Yang, Y. (TU Delft Mechatronic Design; Shanghai University); Xu, J. (TU Delft Mechatronic Design); Elkhuizen, W.S. (TU Delft Mechatronic Design); Song, Y. (TU Delft Mechatronic Design)","","2021","Acquiring an accurate 3D scan of the human hand is a challenging task, mainly due to the complicated geometry and the instability of the hand. In this paper, we present a low-cost photogrammetry-based scanner that is designed for scanning the human hand. The scanner has fifty modules, each has a Raspberry Pi with an 8-megapixels camera. They are uniformly positioned in two parallel frames and 96% of a hand surface can be viewed by at least 3 cameras. Using the timestamp method, we synchronize the shutters of the 50 cameras within the range of 80 ms to minimize the influence of the instability of the hand. Moreover, the scanner is easy to build with its modular design, and easy to operate with a laptop that is connected to the system by WiFi. Using a 3D printed prosthetic hand, we compared the 3D scanning accuracy of the proposed scanner with the Artec Spider® scanner. The mean absolute error between the two scans is 0.62 ± 0.28 mm. It is concluded that the proposed hand scanner can be used as a low-cost yet accurate tool in many applications, such as personalized product design.","3D scan; Photogrammetry; Raspberry Pi","en","journal article","","","","","","","","","","","Mechatronic Design","","",""
"uuid:39f7d5f7-7ecc-43c2-956e-046bf3b2a289","http://resolver.tudelft.nl/uuid:39f7d5f7-7ecc-43c2-956e-046bf3b2a289","A One-Class Classification Method for Human Gait Authentication Using Micro-Doppler Signatures","Ji, Haoran (Tianjin University); Hou, Chunping (Tianjin University); Yang, Yang (Tianjin University); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Lang, Yue (Hebei University of Technology)","","2021","In this letter, a radar-based gait authentication method is proposed. We focus on the overfitting problem on the target category caused by limited training data in authentication models and propose a one-class classification model to alleviate this problem. The effectiveness of such model is verified by establishing a radar-based gait dataset, which is composed of gait micro-Doppler spectrograms derived from nine human subjects. The experimental results demonstrate that, under the condition of limited training data, the performances of an authentication model degrade because misclassification of the non-target samples easily occurs. The proposed method effectively avoids this risk, performing the other existing authentication and one-class classification methods on the metric Equal Error Rate.","Gait authentication; micro-Doppler radar; one class classification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-04-26","","","Microwave Sensing, Signals & Systems","","",""
"uuid:1e3cedbf-acf7-412f-9927-25ad5f5f1de3","http://resolver.tudelft.nl/uuid:1e3cedbf-acf7-412f-9927-25ad5f5f1de3","From Radar to Reality.Associating persistent scatterers to corresponding objects","Yang, M. (TU Delft Mathematical Geodesy and Positioning)","Hanssen, R.F. (promotor); Lopez Dekker, F.J. (copromotor); Delft University of Technology (degree granting institution)","2020","Multi-epoch Synthetic Aperture Radar Interferometry (InSAR) is widely used to estimate displacements of selected scatterers from phase observations. However, their interpretation needs a connection to objects in the real world.
To associate InSAR scatterers to their corresponding geo-objects, it is necessary to (i) accurately estimate the phase center of radar scatterers in radar coordinates, (ii) precisely position the scatterers in 3D geographic coordinates, and (iii) satisfy the constraint that these positions need to be physically realistic. This study addresses these three requirements.
The effective phase center of a scatterer is not situated at the nominal position of the pixel. As a result, scatterers are evaluated at the wrong position and the reference phase calculated at that location will be biased. We evaluate the influence of this sub-pixel position on the geolocation of the scatterer and its deformation quality for various satellite platforms. A method to locate the phase center of the dominant scatterer is developed and is applied to a stack of TerraSAR-X, Radarsat-2, and Sentinel-1 images. The sub-pixel correction shows to be significant for improving the geolocation, up to a few meters—especially for planar (horizontal) precision. It is only of limited influence for the displacement estimation and more relevant in the case of large orbital baselines.
Even after sub-pixel correction, the position of scatterers in an earth-centered, earth-fixed geodetic datum is often in order of a few meters, which is not always sufficient to physically link the scatterer to a geo-object. We evaluate four approaches for correcting this positioning bias,i.e., (i) an advanced geophysical correction, (ii) the single-epoch deployment of a corner reflector (CR), (iii) a multi-epoch deployment of a CR, and (iv) a correction using a high-precision digital surface model (DSM). The positioning performance of these approaches is analyzed from the aspects of practicability, reliability, and precision with TerraSAR-X and Sentinel-1 data. We show that while the multi-epoch CR approach achieves the best positioning results, the DSM-assisted correction is able to obtain comparable results if a high precision DSM is available, better than DTED-4.
The position of the estimated geometric phase center may differ from the position of the physical phase center. We use ray-tracing to predict the position of point scatterers using generic 3D models, and match them with the detected point scatterers from a stack of TerraSAR-X images. We find that the majority of detected scatterers appears to be positioned at their correct physical location. Moreover, many point scatterers correspond to multiple scattering mechanisms—more than half of the identified scatterers correspond to double- or triple-bounce scatterers. The mismatch between the geometrically estimated position and the signal source occurs mainly for multiple scattering: fourfold and more. This shows that the bounce levels of the scatterers are a relevant attribute to understand and interpret the displacements of persistent scatterers.
In general, we conclude that sub-pixel correction and positioning bias correction should be included in default InSAR data processing, and that the majority of detected scatterers are positioned at physically realistic locations.","satellite radar interferometry; time series InSAR technique; sub-pixel correction; precise point positioning; corner reflectors; digital surface model; multiple scattering; ray-tracing","en","doctoral thesis","","978-94-6384-128-3","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:0480bdb8-d4e6-4a55-b1e9-b5d965c0f062","http://resolver.tudelft.nl/uuid:0480bdb8-d4e6-4a55-b1e9-b5d965c0f062","Entropy generation methodology for defect analysis of electronic and mechanical components-A review","Cai, Miao (Guilin University of Electronic Technology); Cui, Peng (Guilin University of Electronic Technology); Qin, Yikang (Guilin University of Electronic Technology); Geng, Daoshuang (Guilin University of Electronic Technology); Wei, Qiqin (Guilin University of Electronic Technology); Wang, Xiyou (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2020","Understanding the defect characterization of electronic and mechanical components is a crucial step in diagnosing component lifetime. Technologies for determining reliability, such as thermal modeling, cohesion modeling, statistical distribution, and entropy generation analysis, have been developed widely. Defect analysis based on the irreversibility entropy generation methodology is favorable for electronic and mechanical components because the second law of thermodynamics plays a unique role in the analysis of various damage assessment problems encountered in the engineering field. In recent years, numerical and theoretical studies involving entropy generation methodologies have been carried out to predict and diagnose the lifetime of electronic and mechanical components. This work aimed to review previous defect analysis studies that used entropy generation methodologies for electronic and mechanical components. The methodologies are classified into two categories, namely, damage analysis for electronic devices and defect diagnosis for mechanical components. Entropy generation formulations are also divided into two detailed derivations and are summarized and discussed by combining their applications. This work is expected to clarify the relationship among entropy generation methodologies, and benefit the research and development of reliable engineering components.","Defect; Diagnosis; Electronic and mechanical components; Entropy generation; Methodology; Reliability","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:ceef34e2-d057-444d-9f5c-207549014de9","http://resolver.tudelft.nl/uuid:ceef34e2-d057-444d-9f5c-207549014de9","Evolving parsec-scale radio structure in the most distant blazar known","An, Tao (Key Laboratory of Radio Astronomy); Mohan, Prashanth (Chinese Academy of Sciences); Zhang, Yingkang (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Frey, Sándor (Konkoly Observatory Hungarian Academy of Sciences); Yang, J. (TU Delft Architecture and the Built Environment; Onsala Space Observatory); Gabányi, Krisztina (Konkoly Observatory Hungarian Academy of Sciences; MTA-ELTE Extragalactic Astrophysics Research Group; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Paragi, Zsolt (Joint Institute for VLBI ERIC); Perger, Krisztina (Konkoly Observatory Hungarian Academy of Sciences; Eötvös University); Zheng, Zhenya (Chinese Academy of Sciences)","","2020","Blazars are a sub-class of quasars with Doppler boosted jets oriented close to the line of sight, and thus efficient probes of supermassive black hole growth and their environment, especially at high redshifts. Here we report on Very Long Baseline Interferometry observations of a blazar J0906 + 6930 at z = 5.47, which enabled the detection of polarised emission and measurement of jet proper motion at parsec scales. The observations suggest a less powerful jet compared with the general blazar population, including lower proper motion and bulk Lorentz factor. This coupled with a previously inferred high accretion rate indicate a transition from an accretion radiative power to a jet mechanical power based transfer of energy and momentum to the surrounding gas. While alternative scenarios could not be fully ruled out, our results indicate a possibly nascent jet embedded in and interacting with a dense medium resulting in a jet bending.","","en","journal article","","","","","","","","","Architecture and the Built Environment","","Astrodynamics & Space Missions","","",""
"uuid:34ee1c5b-8f44-490a-b6a7-d81d72e18cf6","http://resolver.tudelft.nl/uuid:34ee1c5b-8f44-490a-b6a7-d81d72e18cf6","The Impact of Gate Recess on the H2 Detection Properties of Pt-AlGaN/GaN HEMT Sensors","Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials); Zhang, Jian (Fudan University); Zheng, Hongze; Li, Wenmao; Jiang, Y. (TU Delft BT/Bioprocess Engineering); Yang, Gaiying; Yu, H. (TU Delft Applied Sciences); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","The present work reports on the hydrogen gas detection properties of Pt-AlGaN/GaN high electron mobility transistor (HEMT) sensors with recessed gate structure. Devices with gate recess depths from 5 to 15 nm were fabricated using a precision cyclic etching method, examined with AFM, STEM and EDS, and tested towards H 2 response at high temperature. With increasing recess depth, the threshold voltage (VTH) shifted from -1.57 to 1.49 V. A shallow recess (5 nm) resulted in a 1.03 mA increase in signal variation (AIDS), while a deep recess (15 nm) resulted in the highest sensing response (S) of 145.8% towards 300 ppm H 2 as compared to reference sensors without gate recess. Transient measurements demonstrated reversible H 2 response for all tested devices. The response and recovery time towards 250 ppm gradually decreased from 7.3 to 2.5 min and from 29.2 to 8.85 min going from 0 nm to 15 nm recess depth. The power consumption of the sensors reduced with increasing recess depth from 146.6 to 2.95 mW.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-09-15","Applied Sciences","","Electronic Components, Technology and Materials","","",""
"uuid:71e81747-fd05-4522-a81a-4a4919c47c61","http://resolver.tudelft.nl/uuid:71e81747-fd05-4522-a81a-4a4919c47c61","Thermal decomposition reaction kinetics of hematite ore","Chen, Z. (TU Delft (OLD) MSE-3); Zeilstra, Christiaan (Tata Steel Europe Limited); van der Stel, Jan (Tata Steel Europe Limited); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2020","In order to understand the thermal decomposition kinetics of hematite particles in inert atmosphere, thermogravimetriy was employed for isoconversional analysis. The kinetic triplet was estimated from the experimental data and the isothermal reaction kinetics was predicted. The results indicated that the thermal decomposition could be divided into two stages, of which the activation energies were 636 kJ/mol and 325 kJ/mol, respectively. The exponential form of pre-exponential factor, ln(A/s−1), for the two stages were estimated to be 42.9±6.6 and 14.1±3.08. At last, the kinetic mechanism of the first stage was suggested to match Sestak-Berggren model as f(α)=(1−α)1.38. The relatively slow reaction rate of the second stage was due to the slag formation during the reaction.","Hematite; HIsarna; Iron oxide; Isoconversional kinetics; Thermal decomposition","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:7b3e9902-a3ed-49ca-ba13-6b06cddb9b8b","http://resolver.tudelft.nl/uuid:7b3e9902-a3ed-49ca-ba13-6b06cddb9b8b","Lowering iron losses during slag removal in hot metal desulphurisation without using fluoride","Schrama, F.N.H. (TU Delft (OLD) MSE-3; Tata Steel); Ji, Fuzhong (Materials Processing Institute); Hunt, Adam (Materials Processing Institute); Beunder, Elisabeth M. (Tata Steel); Woolf, Rhian (Tata Steel); Tuling, Alison (Tata Steel Europe Limited); Warren, Peter (Materials Processing Institute); Sietsma, J. (TU Delft Materials Science and Engineering); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2020","To lower the iron losses of the hot metal desulphurisation (HMD) process, slag modifiers can be added to the slag. Slag modifiers decrease the apparent viscosity of the HMD slag. Most common slag modifiers in industry contain fluoride as a fluidiser. However, fluoride leads to a higher magnesium consumption and has health, safety and environment issues. Fluoride-free alternatives like nepheline syenite (NS) and fly ash (or pulverised fuel ash, PFA) can decrease the slag’s apparent viscosity. Experiments with HMD slags containing CaF2, NS and PFA and without slag modifier were performed for slags with a high and an average basicity. The melting points of the slags and their viscosities 1250–1600°C were measured. The experimental results are compared with FactSage calculations. PFA and NS are viable alternatives in the industrial HMD process, as reasonable amounts are sufficient to reach the same lower apparent viscosities and melting points as with CaF2.","fluoride; fly ash; hot metal desulphurisation; nepheline syenite; Slag modifier","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:dc344f94-d920-4f06-b9fa-99fc1d9a1297","http://resolver.tudelft.nl/uuid:dc344f94-d920-4f06-b9fa-99fc1d9a1297","Macro-meso dynamic analysis of railway transition zone: Hybrid DEM/FDM simulation and experimental validation","Shi, Can (Southwest Jiaotong University); Zhao, Chunfa (Southwest Jiaotong University); Yang, Yang (China Railway Eryuan Engineering Group Co.); Guo, Y. (TU Delft Railway Engineering); Zhang, Xu (Guangdong University of Technology); Feng, Yang (Southwest Jiaotong University)","","2020","To probe into the mechanical behaviour of railway transition zone from the macro-meso aspects, a numerical model of transition zone is built that hybrids the Discrete Element Method (DEM) and Finite Difference Method (FDM). The DEM is utilised to simulate the ballast bed and sleeper, because it can consider the realistic ballast shapes and complex contacts between them. The FDM based on the continuum theory is utilised to simulate the track substructure according to a real structural form. Afterwards, the coupling algorithm is used to achieve the hybrid DEM-FDM simulation. The engineering practicality of this model is validated using the dynamic responses of the transition zone from a field measurement, and the macro-meso mechanical behaviour of the transition zone is analysed with or without the wedge-shape backfill. The numerical results indicate that applying the wedge-shape backfill can considerably reduce the sudden changes of track vibration and substructure surface stresses at the vicinity of the connection between the structure and embankment. Moreover, the mesoscopic results show that the acceleration vector of the soil near the rigid structure fluctuates towards the embankment and the velocity responses of track components and substructure increase gradually when the train travels from the rigid structure to the soft embankment.","Coupled modelling; Discrete element method; Dynamic response; Field measurement; Finite difference method; Transition zone","en","journal article","","","","","","Accepted Author Manuscript","","2022-05-05","","","Railway Engineering","","",""
"uuid:8feac643-002d-435d-8971-f8e0b4c6cb68","http://resolver.tudelft.nl/uuid:8feac643-002d-435d-8971-f8e0b4c6cb68","The role of attributes in product quality comparisons","Moraes Gomes, F. (TU Delft Web Information Systems); Yang, J. (Amazon); Zhang, Rongting (Amazon); Murdock, Vanessa (Amazon)","","2020","In online shopping quality is a key consideration when purchasing an item. Since customers cannot physically touch or try out an item before buying it, they must assess its quality from information gathered online. In a typical eCommerce setting, the customer is presented with seller-generated content from the product catalog, such as an image of the product, a textual description, and lists or comparisons of attributes. In addition to catalog attributes, customers often have access to customer-generated content such as reviews and product questions and answers. In a crowdsourced study, we asked crowd workers to compare product pairs from kitchen, electronics, home, beauty and office categories. In a side-by-side comparison, we asked them to choose the product that is higher quality, and further to identify the attributes that contributed to their judgment, where the attributes were both seller-generated and customer-generated. We find that customers tend to perceive more expensive items as higher quality but that their purchase decisions are uncorrelated with quality, suggesting that customers seek a trade-off between price and quality when making purchase decisions. Crowd workers placed a higher value on attributes derived from customer-generated content such as reviews than on catalog attributes. Among the catalog attributes, brand, item material and pack size were most often selected. Finally, attributes with a low correlation with perceived quality are nonetheless useful in predicting purchases in a machine-learned system.","Attribute comparison; Online reviews; Product quality","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:3f16f4bd-2c5f-4f12-ae5d-ad3c928b4e67","http://resolver.tudelft.nl/uuid:3f16f4bd-2c5f-4f12-ae5d-ad3c928b4e67","Evaluation of acoustic emission source localization accuracy in concrete structures","Zhang, F. (TU Delft Concrete Structures); Pahlavan, Lotfollah (TU Delft Ship Hydromechanics and Structures); Yang, Y. (TU Delft Concrete Structures)","","2020","Acoustic emission source localization is a promising monitoring technique for concrete structures. However, the accuracy of acoustic emission source localization is influenced by many factors, such as the presence of cracks, which are commonly observed in existing reinforced concrete structures. In this article, the acoustic emission source localization is evaluated using a numerical model with a total number of 11,827,200 independent simulated tests. In this work, the investigated influential factors include the presence of cracks, arrival time picking error, and senor layout. The accuracy of source localization is quantified by the characteristic error defined in this article. Using the proposed wave propagation properties, a relatively stable characteristic error of 150 mm is estimated in the detection zone with the maximum sensor spacing less than 1 m. The evaluation approach and simulated characteristic error are validated experimentally by comparing the 200 manually generated signals using hammer hits on a cracked concrete beam.","accuracy; Acoustic emission source localization; arrival time picking error; concrete structures; crack","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:aef87d8c-c476-4ffa-bd4d-8b48e68e6e93","http://resolver.tudelft.nl/uuid:aef87d8c-c476-4ffa-bd4d-8b48e68e6e93","A computationally efficient thermal model for selective laser melting","Yang, Y. (Sun Yat-sen University); van Keulen, A. (TU Delft Computational Design and Mechanics); Ayas, C. (TU Delft Computational Design and Mechanics)","","2020","Selective laser melting (SLM) is a widely used additive manufacturing method for building metal parts in a layer-by-layer manner thereby imposing almost no limitations on the geometrical layout of the part. The SLM process has a crucial impact on the microstructure, strength, surface quality and even the shape of the part, all of which depend on the thermal history of material points within the part. In this paper, we present a computationally tractable thermal model for the SLM process which accounts for individual laser scanning vectors. First, a closed form solution of a line heat source is calculated to represent the laser scanning vectors in a semi-infinite space. The thermal boundary conditions are accounted for by a complimentary correction field, which is computed numerically. The total temperature field is obtained by the superposition of the two. The proposed semi-analytical model can be used to simulate manufacturing geometrically complex parts and allows spatial discretisation to be much coarser than the characteristic length scale of the process: laser spot size, except in the vicinity of boundaries. The underlying assumption of linearity of the heat equation in the proposed model is justified by comparisons with a fully non-linear model and experiments. The accuracy of the proposed boundary correction scheme is demonstrated by a dedicated numerical example on a simple cubic part. The influence of the part design and scanning strategy on the temperature transients are subsequently analysed on a geometrically complex part. The results show that overhanging features of a part obstruct the heat flow towards the base-plate thereby creating local overheating which in turn decrease local cooling rate. Finally, a real SLM process for a part with an overhanging feature is modelled for validation of the proposed model. Reasonable agreement between the model predictions and the experimentally measured values can be observed.","Powder bed fusion; Process modelling; Thermal modelling; Semi-analytical model; Superposition","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:641f564c-8189-4f57-958c-d542a9d25d7c","http://resolver.tudelft.nl/uuid:641f564c-8189-4f57-958c-d542a9d25d7c","Implantation-based passivating contacts for crystalline silicon front/rear contacted solar cells","Limodio, G. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); De Groot, Yvar (Student TU Delft); Procel, Paul; Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Weber, Arthur W.; Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2020","In this work, we develop SiOx/poly-Si carrier-selective contacts grown by low-pressure chemical vapor deposition and boron or phosphorus doped by ion implantation. We investigate their passivation properties on symmetric structures while varying the thickness of poly-Si in a wide range (20-250 nm). Dose and energy of implantation as well as temperature and time of annealing were optimized, achieving implied open-circuit voltage well above 700 mV for electron-selective contacts regardless the poly-Si layer thickness. In case of hole-selective contacts, the passivation quality decreases by thinning the poly-Si layer. For both poly-Si doping types, forming gas annealing helps to augment the passivation quality. The optimized doped poly-Si layers are then implemented in c-Si solar cells featuring SiO2/poly-Si contacts with different polarities on both front and rear sides in a lean manufacturing process free from transparent conductive oxide (TCO). At cell level, open-circuit voltage degrades when thinner p-type poly-Si layer is employed, while a consistent gain in short circuit current is measured when front poly-Si thickness is thinned down from 250 to 35 nm (up to +4 mA/cm2). We circumvent this limitation by decoupling front and rear layer thickness obtaining, on one hand, reasonably high current (JSC-EQE = 38.2 mA/cm2) and, on the other hand, relatively high VOC of approximately 690 mV. The best TCO-free device using Ti-seeded Cu-plated front contact exhibits a fill factor of 75.2% and conversion efficiency of 19.6%.","doping; ion implantation; passivating contacts; poly-silicon; silicon solar cells","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:dee3bd33-466b-4c42-9110-704abe4c0c60","http://resolver.tudelft.nl/uuid:dee3bd33-466b-4c42-9110-704abe4c0c60","A Novel Defect Diagnosis Method for Kyropoulos Process Based Sapphire Growth","Zhang, Wei (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Yang, Yi (Taiyuan University of Technology); Chen, Hong (Shanxi Zhongjujingke Semiconductor Co.); Hao, Guirong (Shanxi Zhongjujingke Semiconductor Co.)","","2020","When sapphire crystal is prepared with Kyropoulos method, the necking-down growth process is a key stage. Sapphire growth defect is a big problem in this stage. However, diagnosing growth defects is subject to the interference of workers subjectivity and accuracy always goes down. To address the problem, a novel defect diagnosis method is proposed for necking-down growth process in this paper. Industrial CCD sensors replace eyes of skilled workers to observe in this method. A new Defect-Diagnosing Siamese network (DDSN) is used in this method. We use Siamese architecture to learn similarity through pairs of images. We use the deep separable convolution (DSC) into the DDSN to optimize running speed and model size. In experiment, dataset is acquired by industrial CCD sensors in the necking-down growth process. The accuracy of defect diagnosis can reach up to 94.5%. The method significantly improves the traditional way.","CCD sensor; Defect-Diagnosing Siamese network; Necking-down process; Sapphire Growth Defects","en","journal article","","","","","","Accepted Author Manuscript","","","","","Transport Engineering and Logistics","","",""
"uuid:e37bb565-b331-48e5-a935-e1d82ece3931","http://resolver.tudelft.nl/uuid:e37bb565-b331-48e5-a935-e1d82ece3931","Sensitivity analysis of generalised eigenproblems and application to wave and finite element models","Cicirello, A. (TU Delft Mechanics and Physics of Structures; University of Oxford); Mace, Brian (The University of Auckland); Kingan, Michael (The University of Auckland); Yang, Yi (The University of Auckland)","","2020","The first and second order sensitivity analysis of the eigenvalue problem of generalised, nonsymmetric matrices using perturbation theory is developed. These results are then applied to sensitivity analysis of wave propagation in structures modelled using the wave and finite element (WFE) method. Three formulations of the WFE eigenvalue problem are considered: the transfer matrix method, the projection method and Zhong’s method. The sensitivities with respect to system parameters of wavenumbers and wave mode shapes are derived. Expressions for the group velocity are presented. Numerical results for a thin beam, a foam core panel and a cross-laminated timber panel are used to demonstrate the proposed approach. It is shown that sensitivities can be calculated at negligible computational cost.","Generalised eigenproblems; Perturbation theory; Sensitivity analysis; Wave propagation; WFE","en","journal article","","","","","","","","","","","Mechanics and Physics of Structures","","",""
"uuid:90ec1e0d-ebd1-4255-9443-c9188c88a64c","http://resolver.tudelft.nl/uuid:90ec1e0d-ebd1-4255-9443-c9188c88a64c","Learning connectivity and higher-order interactions in radial distribution grids","Yang, Qiuling (Beijing Institute of Technology); Coutino, Mario (TU Delft Signal Processing Systems); Wang, Gang (University of Minnesota); Giannakis, Georgios B. (University of Minnesota); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2020","To perform any meaningful optimization task, distribution grid operators need to know the topology of their grids. Although power grid topology identification and verification has been recently studied, discovering instantaneous interplay among subsets of buses, also known as higher-order interactions in recent literature, has not yet been addressed. The system operator can benefit from having this knowledge when re-configuring the grid in real time, to minimize power losses, balance loads, alleviate faults, or for scheduled maintenance. Establishing a connection between the celebrated exact distribution flow equations and the so-called self-driven graph Volterra model, this paper puts forth a nonlinear topology identification algorithm, that is able to reveal both the edge connections as well as their higher-order interactions. Preliminary numerical tests using real data on a 47-bus distribution grid showcase the merits of the proposed scheme relative to existing alternatives.","Distribution microgrid; Graph; Higher-order interaction; Topology identification; Volterra model","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-11-14","","","Signal Processing Systems","","",""
"uuid:8e512997-74f7-483c-86a0-ea3e81329126","http://resolver.tudelft.nl/uuid:8e512997-74f7-483c-86a0-ea3e81329126","The role of heterointerfaces and subgap energy states on transport mechanisms in silicon heterojunction solar cells","Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Xu, Haiyuan (Student TU Delft); Saez, Aurora (Student TU Delft); Ruiz Tobon, C.M. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2020","The contact resistivity is a key parameter to reach high conversion efficiency in solar cells, especially in architectures based on the so-called carrier-selective contacts. The importance of contact resistivity relies on the evaluation of the quality of charge collection from the absorber bulk through adjacent electrodes. The electrode usually consists of a stack of layers entailing complex charge transport processes. This is especially the case of silicon heterojunction (SHJ) contacts. Although it is known that in thin-film silicon, the transport is based on subgap energy states, the mechanisms of charge collection in SHJ systems is not fully understood yet. Here, we analyse the physical mechanisms driving the exchange of charge among SHJ layers with the support of rigorous numerical simulations that reasonably replicate experimental results. We observe a connection between recombination and collection of carriers. Simulation results reveal that charge transport depends on the alignment and the nature of energy states at heterointerfaces. Our results demonstrate that transport based on direct energy transitions is more efficient than transport based on subgap energy states. Particularly, for positive charge collection, energy states associated to dangling bonds support the charge exchange more efficiently than tail states. The conditions for optimal carrier collection rely on the Fermi energy of the layers, in terms of activation energy of doped layers and carrier concentration of transparent conductive oxide. We observe that fill factor (FF) above 86% concurrently with 750-mV open circuit voltage can be attained in SHJ solar cells with ρc lower than 45 mΩ·cm2 for p-contact and 20 mΩ·cm2 for the n-contact. Furthermore, for achieving optimal contact resistivity, we provide engineering guidelines that are valid for a wide range of silicon materials from amorphous to nanocrystalline layers.","charge collection; contact resistivity; interdigitated back contact (IBC); optoelectrical simulations; silicon heterojunction solar cells; trap-assisted tunnelling; tunnelling","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:6af964f2-b33d-4af6-9b41-4e641550bee6","http://resolver.tudelft.nl/uuid:6af964f2-b33d-4af6-9b41-4e641550bee6","Reliability of a Damaged RC Slab Structure using Model Code 2010 Safety Formats for NLFEA","De Boer, Ane (Ane de Boer Technisch Advies); Lantsoght, E.O.L. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures)","","2020","The Dutch Ministry of Infrastructure and the Environment is concerned with the safety of exist-ing infrastructure and assessment thereof, which can be done with nonlinear finite element analysis. The 2017 updated version of the Dutch NLFEA Guidelines can be used for reinforced and prestressed beams and slabs. The purpose of the guidelines is twofold: 1) advice analysists on NLFEA of concrete structures, and 2) ex-plain choices and model uncertainty. This paper introduces the latest version of the guideline, and shows the application for a reinforced concrete slab on top of a concrete beam grid. An overheight vehicle caused dam-age to the concrete cover and the reinforcement of the beams. The analysis shows the results of modeling the bridge without and with damage. The outcome of the assessment is that the structure fulfils the code require-ments. This case study shows that the Dutch NLFEA Guidelines can be used for the assessment of damaged concrete bridges.","","en","conference paper","","","","","","Accepted Author Manuscript","","2022-04-18","","","Concrete Structures","","",""
"uuid:5b99f171-2fb8-4d7a-88ed-7d19646bd714","http://resolver.tudelft.nl/uuid:5b99f171-2fb8-4d7a-88ed-7d19646bd714","Stability Evaluation of Concrete Structure Considering the Local Damage Using Nondestructive Detection and Numerical Analysis","Tan, Xuyan (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Chen, Weizhong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Wang, L. (TU Delft Numerical Analysis; Chinese Academy of Sciences); Yang, Jianping (Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2020","Shield tunneling is one of the most important technologies for building of underground engineering. Many grouting holes were prefabricated for the requirement of backfill grouting, which is easy to induce local damages and potential disasters, such as leakage and cracking. Accordingly, an integrated workflow for damage detection and stability evaluation was performed based on nondestructive testing (NDT) and numerical simulation. As a case study, this method was applied to an underwater shield tunnel. Firstly, Ground Penetrating Radar (GPR) was used to detect the conditions in grouting holes. Then, the infrared camera was used to determine the damaged positions induced by grouting holes. According to NDT results, the numerical models were developed to analyze the mechanical behaviors of structure. It indicated the geophysical inversion results are consistent with field conditions. The influence area increases with a significant value of water pressure, and stress magnitude would increase to 45KPa if the increment of water pressure reaches to 10KPa. As a promising application, structure stability was evaluated in the light of analytical results.","Mechanical behaviors; Nondestructive testing; Numerical analysis; Stability","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:be9aebdf-f535-4b12-b3d4-acaf01cd9c81","http://resolver.tudelft.nl/uuid:be9aebdf-f535-4b12-b3d4-acaf01cd9c81","On the application of the window of opportunity and complex network to risk analysis of process plants operations during a pandemic","Sun, Hao (China University of Petroleum (East China)); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2020","To quantify the pandemic specific impact with respect to the risk related to the chemical industry, a novel risk analysis method is proposed. The method includes three parts. Firstly, the two types of “window of opportunity” (WO) theory is proposed to divide an accident life cycle into two parts. Then, a qualitative risk analysis is conducted based on WO theory to determine possible risk factors, evolution paths and consequences. The third part is a quantitative risk analysis based on a complex network model, integrating two types of WO. The Fuzzy set theory is introduced to calculate the failure probabilities of risk factors and the concept of risk entropy is used to represent the uncertainty. Then the Dijkstra algorithm is used to calculate the shortest path and the corresponding probability of the accident. The proposed method is applied to the SCR denitrition liquid ammonia storage and transportation system. The results show that it is a comprehensive method of quantitative risk analysis and it is applicable to risk analysis during the pandemic.","Accident evolution; Complex network; Pandemic; Risk analysis; Risk entropy; Window of opportunity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-04-13","","","Safety and Security Science","","",""
"uuid:f56e6fde-ff75-4f03-b2e0-c20820b9ec5d","http://resolver.tudelft.nl/uuid:f56e6fde-ff75-4f03-b2e0-c20820b9ec5d","Observation of Vanishing Charge Dispersion of a Nearly Open Superconducting Island","Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Uilhoorn, W. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Yang, C. (TU Delft QRD/Kouwenhoven Lab; Microsoft Quantum Lab Delft); Krogstrup, Peter (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); de Lange, G. (TU Delft QRD/Kouwenhoven Lab; Microsoft Quantum Lab Delft); van Heck, B. (TU Delft QRD/Kouwenhoven Lab; Microsoft Quantum Lab Delft); Kou, A. (TU Delft QN/vanderSarlab; Microsoft Quantum Lab Delft)","","2020","Isolation from the environment determines the extent to which charge is confined on an island, which manifests as Coulomb oscillations, such as charge dispersion. We investigate the charge dispersion of a nanowire transmon hosting a quantum dot in the junction. We observe rapid suppression of the charge dispersion with increasing junction transparency, consistent with the predicted scaling law, which incorporates two branches of the Josephson potential. We find improved qubit coherence times at the point of highest suppression, suggesting novel approaches for building charge-insensitive qubits.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:532fadc8-f135-44e4-b8b8-a711db2c77f5","http://resolver.tudelft.nl/uuid:532fadc8-f135-44e4-b8b8-a711db2c77f5","Modified Voronoi Analysis of Spontaneous Formation of Interfacial Droplets on Immersed Oil-Solid Substrates","Zhang, Ran (Tsinghua University); Mei, Ran Andy (Tsinghua University); Botto, L. (TU Delft Energy Technology); Yang, Zhongqiang (Tsinghua University)","","2020","The nucleation and growth of liquid droplets on solid substrates have received much attention because of the significant relevance of these multiphase processes to both nature and practical applications. There have been extensive studies on the condensation of water from the air phase on solid substrates. Here, we focus on water diffusion through the oil phase and subsequent settlement on solid substrates because such interfacial droplets are formed. Voronoi diagram analysis is proposed to statistically characterize the size distribution of the growing droplets. It is found that modification of the standard Voronoi diagram is required for systems of interfacial droplets which have a noncircular shape and/or whose centers change with time. The modified Voronoi analysis of the growing droplets provides an automatic quantification of the droplet distribution and reveals that (i) during the nucleation stage, the interfacial droplets do not nucleate at the same time because the nucleation of newly formed droplets competes with the growth of the existing ones; (ii) the growth of interfacial droplets comes from water diffusion from the bulk water layer, and/or from adjacent interfacial droplets, and/or from coalescence of interfacial droplets; and (iii) the sizes of interfacial droplets become more polydispersed on P-glass but more monodispersed on OTS-glass as time goes. This work opens a new perspective on the formation of interfacial droplets at the interface between oil and the solid substrate and demonstrates the capability of an automatic analysis method, which can be potentially applied to similar interfacial multiphase systems.","Lipids; Hydrophilicity; Liquids; Diffusion; Layers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-10-26","","","Energy Technology","","",""
"uuid:c4ff1783-0199-46d2-9d30-3e38275af95b","http://resolver.tudelft.nl/uuid:c4ff1783-0199-46d2-9d30-3e38275af95b","Human Motion Recognition With Limited Radar Micro-Doppler Signatures","Li, X. (Beijing University of Posts and Telecommunications); He, Y. (Beijing University of Posts and Telecommunications); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Jing, X. (Beijing University of Posts and Telecommunications); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Yang, Y. (Tianjin University)","","2020","The performance of deep learning (DL) algorithms for radar-based human motion recognition (HMR) is hindered by the diversity and volume of the available training data. In this article, to tackle the issue of insufficient training data for HMR, we propose an instance-based transfer learning (ITL) method with limited radar micro-Doppler (MD) signatures, alleviating the burden of collecting and annotating a large number of radar samples. ITL is a unique algorithm that consists of three interconnected parts, including DL model pretraining, correlated source data selection, and adaptive collaborative fine-tuning (FT). Any of the three components cannot be excluded; otherwise, the performance of the entire algorithm decreases. The experiments with a radar data set of six human motions show that ITL achieves state-of-the-art performance for HMR with limited training samples, outperforming several existing transfer learning approaches. Especially, when there are only 100 samples per person per class, ITL yields an F1 score of 96.7%. Last but not least, ITL is more generalized to human motion differences. Though adapted to recognize the persons' motions in a small-scale target data set, ITL can also classify the persons' motion data used for pretraining, achieving up to 11.0% F1 score enhancement over the conventional FT method.","Deep learning (DL); human motion recognition (HMR); radar micro-Doppler (MD); transfer learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-12-23","","","Microwave Sensing, Signals & Systems","","",""
"uuid:45bc18ea-62b1-4888-965e-641cbc461712","http://resolver.tudelft.nl/uuid:45bc18ea-62b1-4888-965e-641cbc461712","What can crowd computing do for the next generation of AI systems?","Gadiraju, Ujwal (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems)","Ustalov, D. (editor); Casati, F. (editor); Drutsa, A. (editor); Baidakova, D. (editor)","2020","The unprecedented rise in the adoption of artificial intelligence techniques and automation in many contexts is concomitant with shortcomings of such technology with respect to robustness, interpretability, usability, and trustworthiness. Crowd computing offers a viable means to leverage human intelligence at scale for data creation, enrichment, and interpretation, demonstrating a great potential to improve the performance of AI systems and increase the adoption of AI in general. Existing research and practice has mainly focused on leveraging crowd computing for training data creation. However, this perspective is rather limiting in terms of how AI can fully benefit from crowd computing. In this vision paper, we identify opportunities in crowd computing to propel better AI technology, and argue that to make such progress, fundamental problems need to be tackled from both computation and interaction standpoints. We discuss important research questions in both these themes, with an aim to shed light on the research needed to pave a future where humans and AI can work together seamlessly, while benefiting from each other.","","en","conference paper","CEUR-WS","","","","","","","","","","Web Information Systems","","",""
"uuid:de118076-3f38-4e40-a32a-03379aad26cc","http://resolver.tudelft.nl/uuid:de118076-3f38-4e40-a32a-03379aad26cc","Quantifying the nonlinear interaction in the nervous system based on phase-locked amplitude relationship","Yang, Y. (TU Delft Biomechatronics & Human-Machine Control); Yao, Jun (Northwestern University Feinberg School of Medicine); Dewald, Julius (Northwestern University Feinberg School of Medicine); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control); Schouten, A.C. (TU Delft Biomechatronics & Human-Machine Control)","","2020","This paper introduces the Cross-frequency Amplitude Transfer Function (CATF), a model-free method for quantifying nonlinear stimulus-response interaction based on phase-locked amplitude relationship. The CATF estimates the amplitude transfer from input frequencies at stimulation signal to their harmonics/intermodulation at the response signal. We first verified the performance of CATF in simulation tests with systems containing a static nonlinear function and a linear dynamic, i.e., Hammerstein and Wiener systems. We then applied the CATF to investigate the second-order nonlinear amplitude transfer in the human proprioceptive system from the periphery to the cortex. The simulation demonstrated that the CATF is a general method which can well quantify nonlinear stimulus-response amplitude transfer for different orders of nonlinearity in Wiener or Hammerstein system configurations. Applied to the human proprioceptive system, we found a complicated nonlinear system behavior with substantial amplitude transfer from the periphery stimulation to cortical response signals in the alpha band. This complicated system behavior may be associated with the nonlinear behavior of the muscle spindle and the dynamic interaction in the thalamocortical radiation. This paper provides a new tool to identify nonlinear interaction in the nervous system. The results provide novel insight of nonlinear dynamics in the human proprioceptive system","Cross-frequency Interaction; Nonlinear System; EEG; Nervous System; Human Proprioceptive System; Frequency Domain Analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-16","","","Biomechatronics & Human-Machine Control","","",""
"uuid:3053e617-d6af-494b-b6d2-f7708e1fb7e7","http://resolver.tudelft.nl/uuid:3053e617-d6af-494b-b6d2-f7708e1fb7e7","Interactions between a magnon mode and a cavity photon mode mediated by traveling photons","Rao, J. W. (University of Manitoba; Lanzhou University); Wang, Y. P. (University of Manitoba); Yang, Y. (University of Manitoba); Yu, T. (TU Delft QN/Bauer Group; Kavli institute of nanoscience Delft); Gui, Y. S. (University of Manitoba); Fan, X. (Lanzhou University); Xue, D. S. (Lanzhou University); Hu, C. M. (University of Manitoba)","","2020","We systematically study the indirect interaction between a magnon mode and a cavity photon mode mediated by traveling photons of a waveguide. From a general Hamiltonian, we derive the effective coupling strength between two separated modes, and obtain the theoretical expression of the system's transmission. Accordingly, we design an experimental setup consisting of a shield cavity photon mode, a microstrip line, and a magnon system to test our theoretical predictions. From measured transmission spectra, indirect interaction, as well as mode hybridization, between two modes can be observed. All experimental observations support our theoretical predictions. In this work we clarify the mechanism of traveling photon mediated interactions between two separate modes. Even without spatial mode overlap, two separated modes can still couple with each other through their correlated dissipations into a mutual traveling photon bus. This conclusion may help us understand the recently discovered dissipative coupling effect in cavity magnonics systems. Additionally, the physics and technique developed in this work may benefit us in designing new hybrid systems based on the waveguide magnonics.","","en","journal article","","","","","","","","","","","QN/Bauer Group","","",""
"uuid:f6b71db8-d0db-41d4-9bda-ba3cb02c3936","http://resolver.tudelft.nl/uuid:f6b71db8-d0db-41d4-9bda-ba3cb02c3936","Doped hydrogenated nanocrystalline silicon oxide layers for high-efficiency c-Si heterojunction solar cells","Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices; Nankai University; Shenzhen Institute of Wide-bandgap Semiconductors); Yang, G. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; ECN Solar Energy); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2020","Hydrogenated nanocrystalline silicon oxide (nc-SiOx:H) layers exhibit promising optoelectrical properties for carrier-selective-contacts in silicon heterojunction (SHJ) solar cells. However, achieving high conductivity while preserving crystalline silicon (c-Si) passivation quality is technologically challenging for growing thin layers (less than 20 nm) on the intrinsic hydrogenated amorphous silicon ((i)a-Si:H) layer. Here, we present an evaluation of different strategies to improve optoelectrical parameters of SHJ contact stacks founded on highly transparent nc-SiOx:H layers. Using plasma-enhanced chemical vapor deposition, we firstly investigate the evolution of optoelectrical parameters by varying the main deposition conditions to achieve layers with refractive index below 2.2 and dark conductivity above 1.00 S/cm. Afterwards, we assess the electrical properties with the application of different surface treatments before and after doped layer deposition. Noticeably, we drastically improve the dark conductivity from 0.79 to 2.03 S/cm and 0.02 to 0.07 S/cm for n- and p-contact, respectively. We observe that interface treatments after (i)a-Si:H deposition not only induce prompt nucleation of nanocrystals but also improve c-Si passivation quality. Accordingly, we demonstrate fill factor improvement of 13.5%abs from 65.6% to 79.1% in front/back-contacted solar cells. We achieve conversion efficiency of 21.8% and 22.0% for front and rear junction configurations, respectively. The optical effectiveness of contact stacks based on nc-SiOx:H is demonstrated by averagely 1.5 mA/cm2 higher short-circuit current density thus nearly 1%abs higher cell efficiency as compared with the (n)a-Si:H.","carrier-selective-contacts (CSCs); hydrogenated nanocrystalline silicon oxide (nc-SiO:H); interface treatments; optoelectrical properties; silicon heterojunction (SHJ)","en","journal article","","","","","","green","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:69c83e64-14fe-4d48-b4ec-726bd54f546b","http://resolver.tudelft.nl/uuid:69c83e64-14fe-4d48-b4ec-726bd54f546b","Monitoring Shear Behavior of Prestressed Concrete Bridge Girders Using Acoustic Emission and Digital Image Correlation","Zhang, F. (TU Delft Concrete Structures); Zarate Garnica, G.I. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Sliedrecht, Henk (Rijkswaterstaat)","","2020","In the Netherlands, many prestressed concrete bridge girders are found to have insufficient shear–tension capacity. We tested four girders taken from a demolished bridge and instrumented these with traditional displacement sensors and acoustic emission (AE) sensors, and used cameras for digital image correlation (DIC). The results show that AE can detect cracking before the traditional displacement sensors, and DIC can identify the cracks with detailed crack kinematics. Both AE and DIC methods provide additional information for the structural analysis, as compared to the conventional measurements: more accurate cracking load, the contribution of aggregate interlock, and the angle of the compression field. These results suggest that both AE and DIC are suitable options that warrant further research on their use in lab tests and field testing of prestressed bridges.","Acoustic emission measurements; Crack identification; Cracking; Digital image correlation; Prestressed concrete bridge girders; Shear","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:f3929bc3-7dd2-4d5e-b28a-bb49155545c4","http://resolver.tudelft.nl/uuid:f3929bc3-7dd2-4d5e-b28a-bb49155545c4","Human activity classification with radar signal processing and machine learning","Jia, Mu (University of Glasgow); Li, Shaoxuan (University of Glasgow); Le Kernec, Julien (University of Glasgow); Yang, Shufan (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (University of Cergy-Pontoise)","","2020","As the number of older adults increases worldwide, new paradigms for indoor activity monitoring are required to keep people living at home independently longer. Radar-based human activity recognition has been identified as a sensing modality of choice because it is privacy-preserving and does not require end-users compliance or manipulation. In this paper, we explore the robustness of machine learning algorithms for human activity recognition using six different activities from the University of Glasgow dataset recorded with an FMCW radar. The raw radar data is pre-processed and represented using four different domains, namely, range-time, range-Doppler amplitude and phase diagrams, and Cadence Velocity Diagram. From those, salient features can be extracted and classified using Support Vector Machine, Stacked AutoEncoder, and Convolutional Neural Networks. The fusion of handcrafted features and features from CNN is applied to get the best scheme of classification with over 96% accuracy.","Radar; signal processing; Machine Learning; deep learning; classification; healthcare; assisted living","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-03-29","","","Microwave Sensing, Signals & Systems","","",""
"uuid:56520932-a44d-4192-8728-ca74237c8bf6","http://resolver.tudelft.nl/uuid:56520932-a44d-4192-8728-ca74237c8bf6","Elderly Care: Using Deep Learning for Multi-Domain Activity Classification","Li, Shaoxuan (University of Glasgow); Jia, Mu (University of Glasgow); Le Kernec, Julien (University of Glasgow); Yang, Shufan (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Romain, Olivier (University of Cergy-Pontoise)","","2020","Nowadays, health monitoring issues are increasing as the worldwide population is aging. In this paper, the radar modality is used to classify with radar signature automatically. The classic approach is to extract features from micro-Doppler signatures for classification. This data representation domain has its limitations for activities presenting similar accelerations like a frontal fall and picking up an object from the floor that lead to wrongly labeled activities. In this work, we propose to combine multiple radar data domains with deep learning. Features are extracted from four domains, namely, Range-Time, Range-Doppler, Doppler-Time, and Cadence Velocity Diagram. The extracted features are set as the input of a Convolutional Neural Network, yielding 91% accuracy with 10-fold cross-validation based on the University of Glasgow “Radar signatures of human activities” open dataset.","Machine Learning; Radar; Assisted Living; Human Activity Recognition; Multi-domain","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-03-29","","","Microwave Sensing, Signals & Systems","","",""
"uuid:2350d6dc-a45b-444a-a6ea-0f22bc3eea69","http://resolver.tudelft.nl/uuid:2350d6dc-a45b-444a-a6ea-0f22bc3eea69","Node varying regularization for graph signals","Yang, M. (TU Delft Electrical Engineering, Mathematics and Computer Science); Coutino, Mario (TU Delft Signal Processing Systems); Isufi, E. (TU Delft Multimedia Computing); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2020","While regularization on graphs has been successful for signal reconstruction, strategies for controlling the bias-variance trade-off of such methods have not been completely explored. In this work, we put forth a node varying regularizer for graph signal reconstruction and develop a minmax approach to design the vector of regularization parameters. The proposed design only requires as prior information an upper bound on the underlying signal energy; a reasonable assumption in practice. With such formulation, an iterative method is introduced to obtain a solution meeting global equilibrium. The approach is numerically efficient and has convergence guarantees. Numerical simulations using real data support the proposed design scheme.","Bias-variance trade-off; Graph regularization; Graph signal denoising; Graph signal processing; Minmax problems","en","conference paper","Eurasip","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public. Date change due to COVID-19 (former date August 24-28 2020)","","2021-08-29","Electrical Engineering, Mathematics and Computer Science","","Signal Processing Systems","","",""
"uuid:8c128824-0743-4a0c-8663-8ee6572ac9ba","http://resolver.tudelft.nl/uuid:8c128824-0743-4a0c-8663-8ee6572ac9ba","LBSN2Vec++: Heterogeneous Hypergraph Embedding for Location-Based Social Networks","Yang, Dingqi (University of Fribourg; University of Macau); Qu, Bingqing (University of Fribourg); Yang, J. (TU Delft Web Information Systems); Cudré-Mauroux, Philippe (University of Fribourg)","","2020","Location-Based Social Networks (LBSNs) have been widely used as a primary data source for studying the impact of mobility and social relationships on each other. Traditional approaches manually define features to characterize users' mobility homophily and social proximity, and show that mobility and social features can help friendship and location prediction tasks, respectively. However, these hand-crafted features not only require tedious human efforts, but also are difficult to generalize. Against this background, we propose in this paper LBSN2Vec++, a heterogeneous hypergraph embedding approach designed specifically for LBSN data for automatic feature learning. Specifically, LBSN data intrinsically forms a heterogeneous hypergraph including both user-user homogeneous edges (friendships) and user-time-POI-semantic heterogeneous hyperedges (check-ins). Based on this hypergraph, we first propose a random-walk-with-stay scheme to jointly sample user check-ins and social relationships, and then learn node embeddings from the sampled (hyper)edges by not only preserving the nn-wise node proximity captured by the hyperedges, but also considering embedding space transformation between node domains to fully grasp the complex structural characteristics of the LBSN heterogeneous hypergraph. Using real-world LBSN datasets collected in six cities all over the world, our extensive evaluation shows that LBSN2Vec++ significantly and consistently outperforms both state-of-the-art graph embedding techniques by up to 68 percent and the best-performing hand-crafted features in the literature by up to 70.14 percent on friendship and location prediction tasks.","User mobility; Social relationship; Location-based social network; Heterogeneous hypergraph; Graph embedding","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:fdd0e03a-7445-4509-adbd-72256b59e1d6","http://resolver.tudelft.nl/uuid:fdd0e03a-7445-4509-adbd-72256b59e1d6","Dispersion engineering of superconducting waveguides for multi-pixel integration of single-photon detectors","Elshaari, Ali W. (AlbaNova University Center); Iovan, Adrian (AlbaNova University Center); Gyger, Samuel (AlbaNova University Center); Esmaeil Zadeh, I.Z. (TU Delft ImPhys/Optics); Zichi, Julien (AlbaNova University Center); Yang, Lily (AlbaNova University Center); Steinhauer, Stephan (AlbaNova University Center); Zwiller, Val (AlbaNova University Center)","","2020","We use dispersion engineering to control the signal propagation speed in the feed lines of superconducting single-photon detectors. Using this technique, we demonstrate time-division-multiplexing of two-pixel detectors connected with a slow-RF transmission line, all realized using planar geometry requiring a single lithographic step. Through studying the arrival time of detection events in each pixel vs the fabricated slow-RF coplanar waveguide length, we extract a delay of 1.7 ps per 1 μm of propagation, corresponding to detection signal speeds of ∼0.0019c. Our results open an important avenue to explore the rich ideas of dispersion engineering and metamaterials for superconducting detector applications.","","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:8cbba7af-7c18-4576-a18b-c58dbfebeb61","http://resolver.tudelft.nl/uuid:8cbba7af-7c18-4576-a18b-c58dbfebeb61","Action-driven Reinforcement Learning for Improving Localization of Brace Sleeve in Railway Catenary","Zhong, J. (Southwest Jiaotong University); Liu, Zhigang (Southwest Jiaotong University); Wang, H. (TU Delft Railway Engineering); Liu, W. (Southwest Jiaotong University); Yang, Cheng (Southwest Jiaotong University); Nunez, Alfredo (TU Delft Railway Engineering)","","2020","Brace Sleeve (BS) plays an essential role in connecting and fixing cantilevers of railway catenary systems. It needs to be monitored to ensure the safety of railway operations. In the literature, image processing techniques that can localize BSs from inspection images are proposed. However, the boxes produced by existing methods can contain incomplete and/or irrelevant information of the localized BS. This reduces the accuracy of BS condition diagnosis in further analyses. To address this issue, this paper proposes the use of an action-driven reinforcement learning method that adopts the coarse-localized box provided by existing methods, and finds the movements needed for the box to approach to the true BS position automatically and accurately. In contrast to the existing methods that predict one position of the box containing a BS, the proposed action-driven method sees the localization problem as a dynamic position searching process. The localization of BS is achieved by following a sequence of actions, which in this paper are position-moving (up, down, left or right), scale-changing (scale up or scale down) and shape-changing (fatter or taller). The policy of selecting dynamic actions is obtained by reinforcement learning. In the experiment, the proposed method is tested with real-life images taken from a high-speed line in China. The results show that our method can effectively improve the localization accuracy for 81.8% of the analyzed images. We also analyze cases where the method did not improve the localization and suggest further research lines.","action-driven learning; brace sleeve; localization; railway catenary; reinforcement learning","en","conference paper","IEEE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-05-24","","","Railway Engineering","","",""
"uuid:9d886868-cca8-452b-9138-fa9a777a6699","http://resolver.tudelft.nl/uuid:9d886868-cca8-452b-9138-fa9a777a6699","Implications of nutrient enrichment and related environmental impacts in the pearl river estuary, china: Characterizing the seasonal influence of riverine input","Niu, Lixia (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts, Islands and Reefs; Southern Laboratory of Ocean Science and Engineering (Guangdong, Zhuhai)); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science); Luo, Xiangxin (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts, Islands and Reefs; Southern Laboratory of Ocean Science and Engineering (Guangdong, Zhuhai)); Cai, Huayang (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts, Islands and Reefs; Southern Laboratory of Ocean Science and Engineering (Guangdong, Zhuhai)); Zhang, Tao (Sun Yat-sen University); Yang, Qingshu (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts, Islands and Reefs; Southern Laboratory of Ocean Science and Engineering (Guangdong, Zhuhai))","","2020","The Pearl River estuary is an ecologically dynamic region located in southern China that experiences strong gradients in its biogeochemical properties. This study examined the seasonality of nutrient dynamics, identified related environmental responses, and evaluated how river discharge regulated nutrient sink and source. The field investigation showed significant differences of dissolved nutrients with seasons and three zones of the estuary regarding the estuarine characteristics. Spatially, nutrients exhibited a clear decreasing trend along the salinity gradient; temporally, their levels were obviously higher in summer than other seasons. The aquatic environment was overall eutrophic, as a result of increased fluxes of nitrogen and silicate. This estuary was thus highly sensitive to nutrient enrichment and related pollution of eutrophication. River discharge, oceanic current, and atmospheric deposition distinctly influenced the nutrient status. These factors accordingly may influence phytoplankton that are of importance in coastal ecosystems. Phytoplankton (in terms of chlorophyll) was potentially phosphate limited, which then more frequently resulted in nutrient pollution and blooms. Additionally, the nutrient sources were implied according to the cause–effect chains between nutrients, hydrology, and chlorophyll, identified by the PCA-generated quantification. Nitrogen was constrained by marine-riverine waters and their mutual increase-decline trend, and a new source was supplemented along the transport from river to sea, while a different source of terrestrial emission from coastal cities contributed to phosphate greatly.","Estuary; Nutrient dynamics; River discharge; Seasonality; Water quality","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:e54a817f-99e8-4dc0-b25b-eea054dab016","http://resolver.tudelft.nl/uuid:e54a817f-99e8-4dc0-b25b-eea054dab016","Strategy to mitigate the dipole interfacial states in (i)a-Si:H/MoOxpassivating contacts solar cells","Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Alcañiz Moya, A. (TU Delft Electrical Engineering, Mathematics and Computer Science); Kawa, Eliora (Student TU Delft); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Han, C. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2020","Electrical simulations show that the dipole formed at (i)a-Si:H/MoOx interface can explain electrical performance degradation. We experimentally manipulate this interface by a plasma treatment (PT) to mitigate the dipole strength without harming the optical response. The optimal PT + MoOx stack results in strongly improved electrical parameters as compared to the one featuring only MoOx and to the silicon heterojunction reference cell. Optical simulations and experimentally measured currents suggest that the additional PT is responsible of very limited parasitic absorption overcompensated by the thinner MoOx used (3.5 nm) and by the lower losses in the (i)a-Si:H layer underneath.","(i)a-Si:H/MoOx solar cells; Dipole layer; Enhanced stability","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:de983025-4713-4bd0-a6cc-88b4f5cf113a","http://resolver.tudelft.nl/uuid:de983025-4713-4bd0-a6cc-88b4f5cf113a","A decision support method for design and operationalization of search and rescue in maritime emergency","Xiong, W. (TU Delft Safety and Security Science; National University of Defense Technology); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science); Yang, Kewei (National University of Defense Technology)","","2020","Design and operationalization for Search and Rescue (SAR) activities are unstructured and complex multi-criteria decision-making problems, especially for maritime emergency scenario. There is a lack of decision support methods based on intelligent algorithms to shorten the response time and to reduce the loss of life and property. The primary purpose of this paper is to develop a three-stage decision support method to optimize the type and number of resources when making SAR schemes so as to formulate emergency response more efficiently and effectively. First, the main influential factors are identified in Stage 1, including the particulars of environmental indices, search objects and SAR resources. Next, in Stage 2, important variables are defined for generating probability distribution maps, identifying the search areas, and evaluating the objective function in Stage 3. Two intelligent algorithms, the Differential Evolution (DE) and Non-Dominated Sorting Genetic Algorithm-II (NSGA-II), are used to find appropriate SAR schemes and help resources scheduling. Finally, the feasibility and validity of the model are verified by a ship collision example. From the simulation of the SAR task assignment and decision preference analysis, the proposed method can be used for further improvement of SAR design and operationalization.","Decision support; Differential evolution; Maritime emergency response; Multi-objective optimization; Search and rescue","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:47c5827e-4627-429d-98f7-ef88ef7dddbf","http://resolver.tudelft.nl/uuid:47c5827e-4627-429d-98f7-ef88ef7dddbf","Dependence of unsaturated chloride diffusion on the pore structure in cementitious materials","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Yang, Zhengxian (Fuzhou University); Ye, G. (TU Delft Materials and Environment)","","2020","Conceptual analysis is performed to examine the effects of pore features on the water continuity in unsaturated porous systems. The roles of pore features in relative chloride diffusion coefficient (Drc) of mortar specimens at various degrees of water saturation (Sw) were studied based on mercury intrusion porosimetry and resistivity tests. It is found that the role of pore structure in the Drc-Sw relationship is a result of its effect on the water continuity. Porosity and tortuosity are not relevant to the Drc-Sw relationship. A finer pore size distribution or lower pore connectivity tends to result in a lower Drc. The pore size effect on the Drc is pronounced primarily at high Sw, while the Drc is dominated by the pore connectivity at low Sw. Cement mortar with a higher water-to-binder ratio shows larger chloride diffusion at high relative humidity levels but smaller chloride diffusion at low relative humidity levels.","Chloride diffusion; Degree of water saturation; Pore structure; Relative humidity; Supplementary cementitious materials","en","journal article","","","","","","Accepted Author Manuscript","","2021-11-06","","","Materials and Environment","","",""
"uuid:b8bd9657-c203-4575-a8e5-44fe3d1f7f9b","http://resolver.tudelft.nl/uuid:b8bd9657-c203-4575-a8e5-44fe3d1f7f9b","A semi-physical platform for guidance and formations of fixed-wing unmanned aerial vehicles","Yang, Jun (China State Shipbuilding Corporation); Thomas, Arun Geo (Student TU Delft); Singh, Satish (Student TU Delft); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Wang, X. (TU Delft Team Bart De Schutter)","","2020","Unmanned Aerial Vehicles (UAVs) have multi-domain applications, fixed-wing UAVs being a widely used class. Despite the ongoing research on the topics of guidance and formation control of fixed-wing UAVs, little progress is known on implementation of semi-physical validation platforms (software-in-the-loop or hardware-in-the-loop) for such complex autonomous systems. A semi-physical simulation platform should capture not only the physical aspects of UAV dynamics, but also the cybernetics aspects such as the autopilot and the communication layers connecting the different components. Such a cyber-physical integration would allow validation of guidance and formation control algorithms in the presence of uncertainties, unmodelled dynamics, low-level control loops, communication protocols and unreliable communication: These aspects are often neglected in the design of guidance and formation control laws for fixed-wing UAVs. This paper describes the development of a semi-physical platform for multi-fixed wing UAVs where all the aforementioned points are carefully integrated. The environment adopts Raspberry Pi’s programmed in C++, which can be interfaced to standard autopilots (PX4) as a companion computer. Simulations are done in a distributed setting with a server program designed for the purpose of routing data between nodes, handling the user inputs and configurations of the UAVs. Gazebo-ROS is used as a 3D visualization tool.","Cyber-physical systems; Fixed-wing UAVs; Formation control; Guidance law; Smart sensor systems; Unmanned Aerial Vehicles (UAVs)","en","journal article","","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:78df2064-3085-470f-abcb-7155c01962eb","http://resolver.tudelft.nl/uuid:78df2064-3085-470f-abcb-7155c01962eb","Integrating agent-based modeling, serious gaming, and co-design for planning transport infrastructure and public spaces","Yang, Liu (University of Chinese Academy of Sciences; Imperial College London); Zhang, Lufeng (University of Chinese Academy of Sciences); Philippopoulos-Mihalopoulos, Andreas (University of Westminster); Chappin, E.J.L. (TU Delft Energie and Industrie); van Dam, Koen H. (Imperial College London)","","2020","Car-oriented transport infrastructure developments have had detrimental impacts on the public realm in terms of poor walkability and fractured leftover urban spaces. To build integrated transport infrastructure and public space systems with considering non-motorized travelers’ behavior, we present an integrated methodology incorporating an agent-based simulation model, serious games, and co-design which provides opportunities to involve citizens into the urban design process. In this paper, we show this process for a case study in London Hackney Wick. Qualitative data collected from collaborative experiments, cognitive and human needs mapping, interviews and conversations offer insights into people’s engagement with their environment and the public expectations. In parallel, an Agent-Based Model (ABM) informed by the gathered data is used to visualize local activities for the residents and to predict travel demand and spaces occupancy patterns of various designs. The prediction results indicate that a holistic design strategy is needed for planning attractive and pedestrian-friendly transport-public space systems. Lessons learned also lead to a proposal to improve the model with more realistic human behavior and activity schedules. The coupling of ABM–Game–Design is a valuable tool for engaging the audience and providing both qualitative and quantitative supports to decision-making.","Agent-based modeling; Co-design; Public space; Serious games; Transport infrastructure planning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-09-06","","","Energie and Industrie","","",""
"uuid:955bbe54-a870-4f45-8a19-ae330c446224","http://resolver.tudelft.nl/uuid:955bbe54-a870-4f45-8a19-ae330c446224","Dynamic and interactive re-formulation of multi-objective optimization problems for conceptual architectural design exploration","Yang, D. (TU Delft Design Informatics; South China University of Technology); Di Stefano, Danilo (ESTECO SpA); Turrin, M. (TU Delft Design Informatics); Sariyildiz, I.S. (TU Delft Design Informatics); Sun, Yimin (South China University of Technology)","","2020","Simulation-Based Multi-Objective Optimization (SBMOO) methods are being increasingly used in conceptual architectural design. They mostly focus on the solving, rather than the re-formulation, of a Multi-Objective Optimization (MOO) problem. However, Optimization Problem Re-Formulation (Re-OPF) is necessary for treating ill-defined conceptual architectural design as an iterative exploration process. The paper proposes an innovative SBMOO method which builds in a dynamic and interactive Re-OPF phase. This Re-OPF phase, as the main novelty of the proposed method, aims at achieving a realistic MOO model (i.e., a parametric geometry-simulation model which includes important objectives, constraints, and design variables). The proposed method is applied to the conceptual design of a top-daylighting system, focusing on divergent concept generation. The integration of software tools Grasshopper and modeFRONTIER is adopted to support this application. The main finding from this application is that the proposed method can help to achieve quantitatively better and qualitatively more diverse Pareto solutions.","Conceptual architectural design; Divergent concept generation; GH-MF integration; Hierarchical clustering; Hierarchical variable structure; Information and knowledge extraction; Optimization problem re-formulation; Self-organizing map; Simulation-based multi-objective optimization; Top-daylighting system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-01-01","","","Design Informatics","","",""
"uuid:1e0de494-142f-466a-8283-23a4f9c04846","http://resolver.tudelft.nl/uuid:1e0de494-142f-466a-8283-23a4f9c04846","Towards understanding and prediction of atmospheric corrosion of an Fe/Cu corrosion sensor via machine learning","Pei, Zibo (University of Science and Technology Beijing); Zhang, D. (University of Science and Technology Beijing); Zhi, Yuanjie (Northwestern Polytechnical University); Yang, Tao (University of Science and Technology Beijing); Jin, Lulu (University of Science and Technology Beijing); Fu, Dongmei (University of Science and Technology Beijing); Cheng, Xuequn (University of Science and Technology Beijing); Terryn, H.A. (TU Delft (OLD) MSE-6; Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft (OLD) MSE-6); Li, Xiaogang (University of Science and Technology Beijing)","","2020","The atmospheric corrosion of carbon steel was monitored by a Fe/Cu type galvanic corrosion sensor for 34 days. Using a random forest (RF)-based machine learning approach, the impacts of relative humidity, temperature and rainfall were identified to be higher than those of airborne particles, sulfur dioxide, nitrogen dioxide, carbon monoxide and ozone on the initial atmospheric corrosion. The RF model demonstrated higher accuracy than artificial neural network (ANN) and support vector regression (SVR) models in predicting instantaneous atmospheric corrosion. The model accuracy can be further improved after taking into consideration of the significant effect of rust formation on the sensor.","Atmospheric corrosion; Corrosion monitoring; Corrosion prediction; Machine learning","en","journal article","","","","","","","","","","","(OLD) MSE-6","","",""
"uuid:9a948ece-4e67-4f86-86e1-6f8ea770a6cb","http://resolver.tudelft.nl/uuid:9a948ece-4e67-4f86-86e1-6f8ea770a6cb","Effective Approaches of Improving the Performance of Chalcogenide Solid Electrolytes for All-Solid-State Sodium-Ion Batteries","Dai, Hanqing (Fudan University); Xu, Wenqian (Nanjing University of Posts and Telecommunications); Hu, Zhe (Fudan University); Chen, Yuanyuan (Fudan University); Wei, Xian (Fudan University); Yang, Bobo (Fudan University); Chen, Zhihao (Fudan University); Gu, Jing (Nanjing University of Posts and Telecommunications); Yang, Dan (Fudan University); Xie, Fengxian (Fudan University); Zhang, Wanlu (Fudan University); Guo, Ruiqian (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University; Southern University of Science and Technology); Wei, Wei (Nanjing University of Posts and Telecommunications)","","2020","All-solid-state sodium-ion batteries (SIBs) possess the advantages of rich resources, low price, and high security, which are one of the best alternatives for large-scale energy storage systems in the future. Also, the chalcogenide solid electrolytes (CSEs) of SIBs have the characteristics of excellent room-temperature ionic conductivity (10−3-10−2 S cm−1), low activation energy (<0.6 eV), easy cold-pressing consolidation, etc. Hence, CSEs have become a very active area of all-solid-state SIB research in recent years. In this review, the modification methods and implementation technologies of CSEs are summarized, and the structure and electrochemical performance of the CSEs are discussed. Furthermore, the auxiliary function of first-principle calculations for modification is introduced. Ultimately, we describe the challenges regarding CSEs and propose some strategic suggestions.","chalcogenide solid electrolytes; electrochemical performance; first-principle calculations; modification methods; sodium-ion batter","en","review","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:4994d790-ca51-4b19-8357-f24974e0b650","http://resolver.tudelft.nl/uuid:4994d790-ca51-4b19-8357-f24974e0b650","Optical characterization of poly-SiOx and poly-SiCx carrier-selective passivating contacts","Singh, M. (TU Delft Photovoltaic Materials and Devices); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Madrampazakis, A. (External organisation); Yang, G. (TU Delft Photovoltaic Materials and Devices); Vismara, R. (TU Delft Photovoltaic Materials and Devices); Remes, Z. (Institute of physics AS CR); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; ECN part of TNO); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2020","The optical modelling for optimizing high-efficiency c-Si solar cells endowed with poly-SiOx or poly-SiCx carrier-selective passivating contacts (CSPCs) demands a thorough understanding of their optical properties, especially their absorption coefficient. Due to the mixed phase nature of these CSPCs, spectroscopic ellipsometry is unable to accurately detect the weak free carrier absorption (FCA) at long wavelengths. In this work, the absorption coefficient of doped poly-SiOx and poly-SiCx layers as function of oxygen and carbon content, respectively, was obtained for wavelengths (300–2000 nm) by means of two alternative techniques. The first approach, photothermal deflection spectroscopy (PDS), was used for layers grown on quartz substrates and is appealing from the point of view of sample fabrication. The second, a novel inverse modelling (IM) approach based on reflectance and transmittance measurements, was instead used for layers grown on textured c-Si wafer substrates to mimic symmetrical samples. Although the absorption coefficients obtained from these two techniques slightly differ due to the different used substrates, we could successfully measure weak FCA in our CSPCs layers. Using an in-house developed multi-optical regime simulator and comparing modelled reflectance and transmittance with measured counterparts from symmetrical samples, we confirmed that with increasing doping concentration FCA increases; and found that the absorption coefficients obtained from IM can now be used to perform optical simulations of these CSPCs in solar cells.","Absorption coefficients; Carrier-selective passivating contacts; Photothermal deflection spectroscopy; Poly-SiC; Poly-SiO; Reflection-transmission","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:aac0a9e2-baed-4f1d-bb34-94d9a197ecea","http://resolver.tudelft.nl/uuid:aac0a9e2-baed-4f1d-bb34-94d9a197ecea","Comparative Study on the Early Stage of Skid Resistance Development between Polyurethane-Bound Porous Mixture and Asphalt Mixture","Shi, Jiachen (Tongji University); Cong, Lin (Tongji University); Yang, Fan (Tongji University); Wang, T. (TU Delft Urban Studies); Tan, Le (Tongji University); Yu, Meng (Beijing Oriental Yuhong Waterproof Technology Co.)","","2020","Polyurethane-bound porous mixture (PPM) is a new type of pavement material that has shown some potential for overcoming common asphalt mixtures mechanical failures. However, little research has been done on its skid resistance performance. This work presents a comparative study of the skid resistance development between PPM and asphalt mixtures at their early stage. In this study, the three mixtures were bonded by three type binders. The three type binders were polyurethane, 70# virgin bitumen, and styrene-butadiene-styrene (SBS) modified asphalt. In order to distinguished the three type mixtures, we named them PPM, BAM, and SAM respectively. A Taber abraser was used to test the polishing property of binders. A third-scale model mobile loading simulator (MMLS3) was used to simulate the traffic loadings on mixtures, and a British pendulum tester was used to measure the skid resistance of the three types of mixtures in the loading process. The binder polishing test results show a good linear relationship between the binder's mass loss and the polishing cycle. The slope of the fitting line of the two parameters was defined as binder coefficient (BC) to characterize the polishing property of the binder. The mixture test results show that the skid resistance development trend of three mixtures is similar, as it first increases, then decreases, then finally flattens. However, the British pendulum number peak value and stable value of PPM are lower than that of SAM. The order of the number of loading times of peak (NLTP) of the three mixtures is SAM>PPM>BAM. Another good linear relationship is found between BC and NLTP, and the R2 of the fitting model is 0.85, which indicates that the polishing property of binder is effective for predicting the moment of occurrence of the mixture skid resistance peak.","Model mobile load simulator equipment; Polishing property of binder; Polyurethane-bound porous mixtures; Skid resistance development","en","journal article","","","","","","Accepted Author Manuscript","","","","","Urban Studies","","",""
"uuid:613c26ff-c078-4bc6-8d35-f4c2dcc945c6","http://resolver.tudelft.nl/uuid:613c26ff-c078-4bc6-8d35-f4c2dcc945c6","Questions and dependency in intuitionistic logic","Ciardelli, Ivano (Ludwig Maximilians University); Iemhoff, Rosalie (Universiteit Utrecht); Yang, F. (TU Delft Ethics & Philosophy of Technology)","","2020","In recent years, the logic of questions and dependencies has been investigated in the closely related frameworks of inquisitive logic and dependence logic. These investigations have assumed classical logic as the background logic of statements, and added formulas expressing questions and dependencies to this classical core. In this paper, we broaden the scope of these investigations by studying questions and dependency in the context of intuitionistic logic. We propose an intuitionistic team semantics, where teams are embedded within intuitionistic Kripke models. The associated logic is a conservative extension of intuitionistic logic with questions and dependence formulas. We establish a number of results about this logic, including a normal form result, a completeness result, and translations to classical inquisitive logic and modal dependence logic.","Dependence logic; Inquisitive logic; Intuitionistic logic; Team semantics","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:d6e95d68-1451-4f64-b0ad-f0dfe3d5c862","http://resolver.tudelft.nl/uuid:d6e95d68-1451-4f64-b0ad-f0dfe3d5c862","On the influence of sub-pixel position correction for PS localization accuracy and time series quality","Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Dheenathayalan, P. (TU Delft Mathematical Geodesy and Positioning); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning); van Leijen, F.J. (TU Delft Mathematical Geodesy and Positioning); Liao, Mingsheng (Wuhan University); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2020","Persistent Scatterer Interferometry (PSI) is a time series remote sensing technique to estimate displacements of geo-objects from the interferometric phases of selected Persistent Scatterers (PS). The relative position of a scatterer within a resolution cell causes an additional phase contribution in the observed phase, which needs to be accounted for in PSI processing. Here we analyze the influence of this sub-pixel position correction on point localization and displacement quality. Apart from a theoretical evaluation, we perform experiments with TerraSAR-X, Radarsat-2, and Sentinel-1, demonstrating various levels of improvement. We show that the influence of the sub-pixel correction is significant for the geolocation of the scatterer (meter-level improvement), modest for the elevation estimation (centimeter-level improvement), and limited for the displacement estimation (submillimeter-level). For displacement velocities, we find variations of a few tenths of a millimeter per year. The effect of sub-pixel correction is most dominant for large orbital baselines and short time series.","Displacements; Interferometric SAR; Persistent scatterers interferometry; Phase correction; Point localization; Sub-pixel positioning","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:f89498d8-36fc-4dde-9ad6-3e1eac172477","http://resolver.tudelft.nl/uuid:f89498d8-36fc-4dde-9ad6-3e1eac172477","Incentivizing household forest management in China's forest reform: Limitations to rights-based approaches in Southwest China","Krul, K. (TU Delft Organisation & Governance; National University of Singapore); Ho, Peter (London School of Economics and Political Science; Zhejiang University); Yang, Xiuyun (Xiamen University)","","2020","On the basis that property rights provide effective incentives to their users, rights-based approaches have become well-received for purposes of improved resource management, production, and conservation. Recent reform in China's collective-owned forest sector has also been guided by a rights-based approach in generating new incentives and economic benefits for households. Forest property rights have been reconfigured into formal, private, and transferable form, and households are financially compensated for rights' attenuation. In this paper, we draw on a household survey (N = 331) and a series of interviews (N = 29) to empirically examine how three types of forest rights are exercised and perceived by rural households in the Wuling Mountain Area, a relatively poor and mountainous area in Southwest China. Our findings show that although the new rights arrangements are largely perceived as credible by households, the rights are rarely exercised, without tangible contributions to the intended benefits. In explaining this, we find that current economic values of household forests are low. This may suggest that rights-based approaches are unlikely to realize their intended effects if the natural resources themselves are of too little value, an oversight in conventional discussions on resource rights.","China; Credibility thesis; Forest reform; Natural resource management; Property rights; Rights-based approaches","en","journal article","","","","","","","","2021-12-04","","","Organisation & Governance","","",""
"uuid:092703ae-e1a7-431a-a083-028d5767a498","http://resolver.tudelft.nl/uuid:092703ae-e1a7-431a-a083-028d5767a498","Electrochemical CO2 reduction on nanostructured metal electrodes: Fact or defect?","Kas, R. (TU Delft ChemE/Materials for Energy Conversion and Storage); Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Bohra, D. (TU Delft ChemE/Materials for Energy Conversion and Storage); Kortlever, R. (TU Delft Large Scale Energy Storage); Burdyny, T.E. (TU Delft ChemE/Materials for Energy Conversion and Storage); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2020","Electrochemical CO2 reduction has received an increased amount of interest in the last decade as a promising avenue for storing renewable electricity in chemical bonds. Despite considerable progress on catalyst performance using nanostructured electrodes, the sensitivity of the reaction to process conditions has led to debate on the origin of the activity and high selectivity. Additionally, this raises questions on the transferability of the performance and knowledge to other electrochemical systems. At its core, the discrepancy is primarily a result of the highly porous nature of nanostructured electrodes, which are vulnerable to both mass transport effects and structural changes during the electrolysis. Both effects are not straightforward to identify and difficult to decouple. Despite the susceptibility of nanostructured electrodes to mass transfer limitations, we highlight that nanostructured silver electrodes exhibit considerably higher activity when normalized to the electrochemically active surface in contrast to gold and copper electrodes. Alongside, we provide a discussion on how active surface area and thickness of the catalytic layer itself can influence the onset potential, selectivity, stability, activity and mass transfer inside and outside of the three dimensional catalyst layer. Key parameters and potential solutions are highlighted to decouple mass transfer effects from the measured activity in electrochemical cells utilizing CO2 saturated aqueous solutions.","","en","review","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:aba4747d-49a2-4caa-90a8-b8c659cb604a","http://resolver.tudelft.nl/uuid:aba4747d-49a2-4caa-90a8-b8c659cb604a","Projecting competition between 2-methylisoborneol and natural organic matter in adsorption onto activated carbon from ozonated source waters","Wang, Q. (TU Delft Sanitary Engineering; University of Chinese Academy of Sciences; Chinese Academy of Sciences); Zietzschmann, F.E. (TU Delft Sanitary Engineering; Berliner Wasserbetriebe); Yu, Jianwei (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Hofman, Roberta (KWR Water Research Institute); An, Wei (Chinese Academy of Sciences); Yang, Min (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Rietveld, L.C. (TU Delft Sanitary Engineering)","","2020","Though the ozone-activated carbon process has been widely applied for drinking water purification, little is known about how ozone-modified natural organic matter (NOM) competes with micropollutants in activated carbon adsorption. In this study, three natural waters and one synthetic water (standard humics solution) with highly heterogeneous NOM compositions were employed to investigate the interference of ozonated NOM with the adsorption of 2-methylisoborneol (MIB). Analysis using liquid chromatography with online carbon and UV254 detection (LC-OCD-UVD) revealed that ozonation led to various disintegration patterns of macromolecules in NOM, and UV absorbance was reduced markedly for nearly all NOM fractions. Powdered activated carbon (PAC) adsorption experiments showed that increasing ozone consumption coincided with reducing NOM competition against MIB in the three natural waters, as expressed by the fitted initial concentrations of the equivalent background compound (c0,EBC). In the synthetic water, in contrast, competition increased under low/moderate specific ozone consumptions and then decreased with further elevation of ozone consumptions. Regarding the significance on affecting ozonated NOM interference, aromaticity reduction outweighed formation of low molecular weight (LMW) organics in most cases, enhancing MIB adsorption capacity. However, disintegration of the humics fraction with larger molecular weight (1,103 g/mol, as compared to 546–697 g/mol in three natural waters) into smaller, more competitive fractions caused the observed initial deteriorated MIB adsorption in synthetic water. A superior correlation between c0,EBC and the UV absorbance of LMW organics (R2 = 0.93) over concentrations of LMW organics underlined the importance of the aromatic properties in competitive adsorption projection for ozone pretreated natural waters. Furthermore, the change of relative concentration of UV absorbing compounds during ozonation could help estimate the decrease of c0,EBC, which could be a promising tool for waterworks to adjust PAC doses for MIB removal in ozonated waters.","Activated carbon; Competitive adsorption; MIB; Natural organic matter; Ozonation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-08-04","","","Sanitary Engineering","","",""
"uuid:932c6a3b-9ddf-4f58-b347-1bff9c81f811","http://resolver.tudelft.nl/uuid:932c6a3b-9ddf-4f58-b347-1bff9c81f811","Impact of adding aluminum hydroxyl chloride on membrane flux in an anaerobic membrane bioreactor","Yang, Jixiang (Chinese Academy of Sciences); Spanjers, H. (TU Delft Sanitary Engineering); van Lier, J.B. (TU Delft Sanitary Engineering)","","2020","Coagulant addition and improved mixing conditions have been used in anaerobic membrane bioreactors (AnMBR) to improve membrane performance. Before coagulant was added, a flux of 8 L/m2 h was applicable and transmembrane pressure (TMP) increased from 1 kPa to 10 kPa in 5 days. However, after the coagulant was added, a flux as high as 50 L/m2 h was achieved with no noticeable increase in TMP during six hours of operation. Furthermore, at the same high flux, a long-term experiment showed that TMP increased to approximately 3 kPa in 20 days. Apparently, the applied coagulant significantly improved membrane performance. The reduction in the number of small particles was identified as the main cause for the high flux. However, the number of submicon particles increased in the long-term experiment. In addition, a model was developed that adequately described the TMP development in the short-term and long-term experiments. According to this model, the deterioration in specific cake resistance resulted in a sharp TMP increase in the long-term experiment. In addition, experiments showed that the effect of coagulant on sludge activity was minimal. This study demonstrated that the applied coagulant and reactor operation conditions (mixing properties) have potentials of interest for improving the membrane flux in AnMBR.","Anaerobic; Bioreactor; Bouling; Coagulant; Membrane","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-08-12","","","Sanitary Engineering","","",""
"uuid:1fa5aa45-bbad-41d7-89e5-28354d138f7a","http://resolver.tudelft.nl/uuid:1fa5aa45-bbad-41d7-89e5-28354d138f7a","Quantitative predictions of maximum strain storage in shape memory polymers (SMP)","Hornat, Chris C. (Clemson University); Nijemeisland, M. (TU Delft Delft Aerospace Structures and Materials Laboratory); Senardi, M. (TU Delft Novel Aerospace Materials); Yang, Ying (Clemson University); Pattyn, Christian (Clemson University); van der Zwaag, S. (TU Delft Novel Aerospace Materials); Urban, Marek W. (Clemson University)","","2020","Shape memory polymers (SMPs) are dynamic materials able to recover previously defined shapes when activated by external stimuli. The most common stimulus is thermal energy applied near thermal transitions in polymers, such as glass transition (Tg) and melting (Tm) temperatures. The magnitude of the geometrical changes as well as the amount of force and energy that a SMP can output are critical properties for many applications. While typically deformation steps in the shape memory cycles (SMC) are performed at temperatures well above thermal transitions used to activate shape changes, significantly greater amounts of strain, stress, and mechanical energy can be stored in Tg-based SMPs when deformed near their Tg. Since maximum shape memory storage capacity can be appraised by evaluating the viscoelastic length transitions (VLTs) in a single dynamic mechanical analysis (DMA) experiment, this study correlates VLTs with the measured storage capacities obtained from stress-strain experiments for a broad range of well-defined crosslinked acrylates, epoxies, and polyurethanes. This systematic approach allows for assessment of crosslink/junction density (νj), viscoelasticity, and chemical composition effects on maximum deformability, and enables predictions of the magnitude of shape memory properties across a wide variety of polymers. These studies demonstrate that the maximum storable strain (ε-storemax) can be accurately predicted using junction density (νj) and shape memory factor (SMF), the latter accounting for the contribution of chemical makeup.","Polymers; Quantitative determination; Shape memory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-06-01","","","Delft Aerospace Structures and Materials Laboratory","","",""
"uuid:cb1cf835-0564-47a3-bfa9-0ca3ed08ed77","http://resolver.tudelft.nl/uuid:cb1cf835-0564-47a3-bfa9-0ca3ed08ed77","Pore size dependent connectivity and ionic transport in saturated cementitious materials","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Ye, G. (TU Delft Materials and Environment); Yang, Zhengxian (Fuzhou University)","","2020","Microstructure-property relationship has drawn strong attention in modern material science. The progress achieved in this field relies on a common basis that the material performance originates from the microstructure. This paper brings together new insights and facts from experiments regarding the pore size dependent connectivity and its relation to ionic transport property in saturated cementitious materials. An innovative measurement, i.e. intrusion-extrusion cyclic mercury porosimetry (IEC-MIP), is proposed to distinguish between the small capillary pores that are present within clusters of hydration products and the large capillary pores that are left out of hydration products. The distribution of connectivity as a function of pore size in cementitious materials is analyzed. A novel transport parameter, i.e. connectivity of small capillary pores, is introduced and quantified by IEC-MIP measurements. The ionic transport was measured by means of rapid chloride migration tests. A power relationship is established between connectivity of small capillary pores and chloride migration coefficient for cementitious materials irrespective of the binder type.","Cementitious material; Chloride transport; Intrusion–extrusion; Mercury porosimetry; Pore connectivity","en","journal article","","","","","","Accepted Author Manuscript","","2021-12-06","","","Materials and Environment","","",""
"uuid:faacc554-0c12-4908-bfcc-5b52bcac6c36","http://resolver.tudelft.nl/uuid:faacc554-0c12-4908-bfcc-5b52bcac6c36","New insights into long-term chloride transport in unsaturated cementitious materials: Role of degree of water saturation","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Ye, G. (TU Delft Materials and Environment); Yang, Zhengxian (Fuzhou University)","","2020","Concrete is rarely saturated. Reliable durability design of marine concrete structures requires a solid understanding of the long-term chloride transport in unsaturated concretes. This paper presents a critical analysis of the time-dependent chloride diffusion coefficient in unsaturated cementitious materials exposed to marine environment. Evolutions of pore structure and chloride diffusion coefficient in saturated cementitious materials, along with the role of the degree of water saturation in long-term chloride diffusion, are analyzed. It is emphasized that the long-term sharp decrease of the chloride diffusion coefficient in marine cementitious materials is not primarily caused by densification of the microstructure due to hydration, but by the decreasing degree of water saturation with depth in the surface part of the materials. The effects of water/binder ratio and supplementary cementitious materials on chloride diffusion coefficient are different between saturated and unsaturated cementitious materials.","Cementitious material; Chloride; Degree of water saturation; Long-term transport; Pore structure","en","journal article","","","","","","Accepted Author Manuscript","","2021-12-06","","","Materials and Environment","","",""
"uuid:15a706be-93f1-426f-957f-fd80b807fa35","http://resolver.tudelft.nl/uuid:15a706be-93f1-426f-957f-fd80b807fa35","Spinel-based ceramic membranes coupling solid sludge recycling with oily wastewater treatment","Chen, M. (TU Delft Sanitary Engineering; Dalian University of Technology); Zhu, Li (Wuhan Institute of Technology); Chen, Jingwen (Dalian University of Technology); Yang, Fenglin (Dalian University of Technology); Tang, Chuyang Y. (The University of Hong Kong); Guiver, Michael D. (Tianjin University); Dong, Yingchao (Dalian University of Technology)","","2020","Highly efficient and economic treatment of wastewater sludges and wastewaters in one way is a challenging issue in the water treatment field. Herein we present a waste-to-resource strategy for rational fabrication of low–cost ceramic membranes, which simultaneously addresses the treatment of heavy metal-laden sludges and the separation of oil-in-water (O/W) emulsions. A thermal conversion mechanism is proposed for complicated reactions between simulated nickel-laden wastewater sludge and bauxite mineral. In addition to full stabilization and recycling of heavy metal wastewater sludges, rational tailoring of ceramic membrane structures can also be realized to achieve high water flux and favorable mechanical and surface properties. With rational structure design, the tailored spinel-based ceramic membranes exhibited high rejection and high flux (7473 LMH·bar−1) simultaneously for separation of oily wastewater, outperforming other reported state-of-the-art ceramic membranes. The membrane fouling mechanism revealed the dominance of cake layer formation at low cross flow velocities, while a combined model of cake layer formation and pore blocking dominated membrane fouling at high cross-flow velocities. The proposed strategy can be potentially extended toward design of functional ceramic membranes derived from other heavy metal wastewater sludges and for other water treatment applications.","Ceramic membrane; High flux; Membrane fouling; Oily wastewater; Wastewater sludge","en","journal article","","","","","","Accepted Author Manuscript","","2021-10-24","","","Sanitary Engineering","","",""
"uuid:0387891a-41f0-4e2f-933a-e501002ac6c9","http://resolver.tudelft.nl/uuid:0387891a-41f0-4e2f-933a-e501002ac6c9","A semi-empirical method for estimating complete surface temperature from radiometric surface temperature, a study in Hong Kong city","Yang, Jinxin (Guangzhou University); Wong, Man Sing (The Hong Kong Polytechnic University); Ho, Hung Chak (The Hong Kong Polytechnic University; The University of Hong Kong); Krayenhoff, E. Scott (University of Guelph); Chan, P. W. (Hong Kong Observatory); Abbas, Sawaid (The Hong Kong Polytechnic University); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences)","","2020","The complete surface temperature (Tc) in urban areas, defined as the mean temperature of the total active surface area, is an important variable in urban micro-climate research, specifically for assessment of the urban surface energy balance. Since most vertically-oriented building facets are not observed by a nadir-viewing remote imaging radiometer, the radiometric surface temperature (Tr) measured at a specific view angle cannot be used with existing heat transfer equations to estimate radiative and convective fluxes in the urban environment. Thus, it is necessary to derive Tc for city neighborhoods. This study develops a simple method to estimate Tc from Tr with the aid of the Temperatures of Urban Facets in 3D (TUF-3D) numerical model, which calculates 3-D sub-facet scale urban surface temperatures for a variety of surface geometries and properties, weather conditions and solar angles. The effects of geometric and meteorological characteristics – e.g., building planar area index (λp), wall facet area index (F), solar irradiance – on the difference between Tc and Tr were evaluated using the TUF-3D model. Results showed the effects of geometric and meteorological characteristics on the difference between Tc and Tr differ between daytime and nighttime. The study then sought to predict the relationship between Tr and Tc, using λp, F, and solar irradiance for daytime and only using λp and F for nighttime. Based on the simulated data from TUF-3D, the resulting relationships achieve a coefficient of determination (r2) of 0.97 and a RMSE of 1.5 K during daytime, with corresponding nighttime values of r2 = 0.98 and RMSE = 0.69 K. The relationships between Tr and Tc are evaluated using high resolution airborne thermal images of daytime urban scenes: r2 = 0.75 and RMSE = 1.09 K on August 6, 2013 at 12:40 pm; and r2 = 0.86 and RMSE = 1.86K on October 24, 2017 at 11:30 am. The new relationships were also applied to estimate Tc from Tr in Hong Kong retrieved from Landsat 5 Thematic Mapper (TM) and the Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER). In the present climatic context, the difference between Tc and Tr can reach 10 K during daytime in summer, and 6 K during daytime in winter, with seasonal variation attributable to the variations in shortwave irradiance. The nighttime difference between Tc and Tr can also reach 2 K in both summer and spring seasons.","Remote sensing; Surface temperature; Thermal heterogeneity; Urban geometry","en","journal article","","","","","","Accepted Author Manuscript","","2021-11-26","","","Optical and Laser Remote Sensing","","",""
"uuid:fda952af-7431-447e-ba94-d28b33cf3116","http://resolver.tudelft.nl/uuid:fda952af-7431-447e-ba94-d28b33cf3116","Efficiency and durability of g-C3N4-based coatings applied on mortar under peeling and washing trials","Yang, Yu (Fuzhou University); Ji, Tao (Fuzhou University); Yang, Zhengxian (Fuzhou University); Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Su, Wenyue (Fuzhou University); Wu, Ronghan (Fuzhou University); Wu, Zehao (Fuzhou University)","","2020","Durability of photocatalytic coatings is a major concern in engineering practice. Here, two types of novel visible light-responsive coatings, both consisting of vinyl chloride/vinyl ester/ethylene copolymer (as a binder) and graphitic carbon nitride (g-C3N4) but different in fabrication, are proposed and applied on the mortar surface. The first type is mono-layer coating (MC), where the g-C3N4 suspension containing the binder is directly sprayed on the mortar. The second type is double-layer coating (DC), where the binder layer is applied on mortar surface before spraying the g-C3N4 layer. Results show that the binder addition leads to a good anchorage of the coatings on both MC and DC mortar substrates, along with desirable resistance to peeling and washing, compared to the g-C3N4 coated mortar without the binder. The well-distributed binder in g-C3N4-based coating inevitably decreases the photocatalytic efficiency of the MC mortar due to masking effect of the binder on the coating surface. The DC mortar, on the contrary, takes full advantage of the binder adhesion by inserting a binder layer and therefore holds strong resistance to peeling and washing without compromising its photocatalytic efficiency. The proposed DC technique provides a promising strategy to fabricate highly cost-effective and durable photocatalytic coatings applied on cementitious materials.","Durability; g-CN-based coatings; Mortar substrate; Photocatalytic NO removal","en","journal article","","","","","","Accepted Author Manuscript","","2021-11-09","","","Materials and Environment","","",""
"uuid:9c31e4c9-5193-4b65-84ef-af24d79a6740","http://resolver.tudelft.nl/uuid:9c31e4c9-5193-4b65-84ef-af24d79a6740","Accelerated healing in asphalt concrete via laboratory microwave heating","Wang, H. (TU Delft Pavement Engineering); Yang, Jun (Southeast University); Lu, Guoyang (Rheinisch-Westfälische Technische Hochschule); Liu, X. (TU Delft Pavement Engineering)","","2020","Self-healing of asphalt concrete (AC) is highly dependent on temperature, and its healing capacity increases with elevated temperatures. The main objective of this study is to investigate the effect of microwave heating on promotion of self-healing in AC. With this purpose, two types of AC specimens (neat AC without additives and conductive AC containing steel fiber and graphite) were prepared to for use in thermal conductivity, microwave heating speed tests, four-point bending fatigue, and healing tests. In addition, oscillatory frequency sweep tests were carried out to obtain the flow behavior of asphalt binder. Results indicated that AC containing electrically conductive additives had a higher thermal conductivity and microwave heating speed than neat AC. It was also found that the fatigue resistance and healing capacity of conductive AC after microwave heating were higher than that of neat AC. Moreover, there exists a critical temperature (corresponding to near-Newtonian behavior temperature of asphalt binder) above which healing of AC starts and an optimum heating time (temperature) to maximize the healing effect. Finally, it was found that an intermittent heating mode with a cooling process is more effective than the consecutive heating mode to enhance the healing capacity of AC. Based on these findings, it is concluded that self-healing efficiency of AC can be enhanced via microwave heating.","Asphalt concrete; Fatigue damage; Flow behavior; Healing; Microwave heating","en","journal article","","","","","","","","2020-09-18","","","Pavement Engineering","","",""
"uuid:628619ac-ee80-4314-8be3-b78e9ba10e84","http://resolver.tudelft.nl/uuid:628619ac-ee80-4314-8be3-b78e9ba10e84","Infrared spectrum analysis method for detection and early warning of longitudinal tear of mine conveyor belt","Yang, Ruiyun (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Yang, Yi (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology); Yan, Gaowei (Taiyuan University of Technology)","","2020","A novel approach based on infrared spectrum analysis for early-warning of longitudinal tearing of the conveyor belt was proposed in the paper. Unlike most existing methods, the proposed method monitors the change process of the infrared radiation field of the longitudinal tearing through the infrared thermal imaging technology, and judges whether there is the risk of the longitudinal tearing of the conveyor belt through the frequency-domain characteristic coefficient T of the infrared radiation field. Experimental results exhibit that the characteristic coefficient T can quantitatively describe the change characteristics of infrared radiation field during the tearing process of conveyor belt. When the conveyor belt is complete penetration, the T value fluctuates violently from 0.6 to 1.6. This characteristic can be used as the precursor information of the tearing process, which broadens the train of thought for identification and early warning of conveyor belt longitudinal tearing.","Fast Fourier transform; Frequency domain characteristics; Infrared spectrum analysis detection (ISAD); Longitudinal tearing","en","journal article","","","","","","Accepted Author Manuscript","","2022-07-14","","","Transport Engineering and Logistics","","",""
"uuid:6b08638f-6d48-4ba3-873a-f307245fea6c","http://resolver.tudelft.nl/uuid:6b08638f-6d48-4ba3-873a-f307245fea6c","Effect of CaO content in raw material on the mineral composition of ferric-rich sulfoaluminate clinker","Yao, X. (TU Delft Materials and Environment; Shandong University); Yang, Shizhao (Shandong University); Dong, H. (TU Delft Materials and Environment); Wu, Shuang (Shandong University); Liang, X. (TU Delft Materials and Environment); Wang, Wenlong (Shandong University)","","2020","Ferric-rich calcium sulfoaluminate (FR-CSA) cement is an eco-friendly cement. Fe2O3 exists in different minerals of FR-CSA clinker, e.g., Ca4Al2Fe2O10 (C4AF), Ca2Fe2O5 (C2F), and Ca4Al6-2xFe2xSO16 (C4A3-xFxS-). The mineral composition depends on the chemical composition of the raw materials and significantly determines the reactivity of FR-CSA cement. To optimize the phase composition of the FR-CSA clinker, chemical reagent raw mixtures with different amounts of CaO were used to prepare the FR-CSA clinker. X-ray diffraction (XRD) analysis, Rietveld quantitative phase analysis (RQPA), Fourier Transform Infrared spectroscopy (FT-IR), and scanning electron microscopy/energy-dispersive spectroscopy (SEM/EDS) were used to identify the mineralogical conditions of the FR-CSA clinker. The results indicated that the amounts of CaO in raw materials greatly affected the iron-bearing phase formation in the FR-CSA clinker. With decreasing CaO content involved in calcination reaction, the amounts of Fe2O3 incorporated in C4A3-xFxS- increased up to 17.72 wt% (where x = 0.36). The findings make it possible to optimize the mineral composition of the FR-CSA clinker by changing the CaO content in raw materials. Furthermore, low CaO content in the raw material is beneficial to the formation of C4A3-xFxS-, which enables the use of solid wastes containing low calcium for producing FR-CSA cement.","CaO; Ferric-rich sulfoaluminate cement; Iron-bearing phase; Phase composition","en","journal article","","","","","","Accepted author manuscript","","2022-09-06","","","Materials and Environment","","",""
"uuid:b5b6b660-a7d2-4d6e-a876-fea26e043bdd","http://resolver.tudelft.nl/uuid:b5b6b660-a7d2-4d6e-a876-fea26e043bdd","Urban pluvial flooding prediction by machine learning approaches – a case study of Shenzhen city, China","Ke, Q. (TU Delft Hydraulic Structures and Flood Risk); Tian, X. (TU Delft Water Resources; KWR Water Research Institute); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk); Tian, Zhan (Southern University of Science and Technology); Guan, Guanghua (Wuhan University); Cai, Huayang (Sun Yat-sen University; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Huang, Xinxing (Shanghai Institute of Technology); Yang, Honglong (Shenzhen National Climate Observatory of Meteorological Bureau of Shenzhen Municipality); Liu, Junguo (Southern University of Science and Technology)","","2020","Urban pluvial flooding is a threatening natural hazard in urban areas all over the world, especially in recent years given its increasing frequency of occurrence. In order to prevent flood occurrence and mitigate the subsequent aftermath, urban water managers aim to predict precipitation characteristics, including peak intensity, arrival time and duration, so that they can further warn inhabitants in risky areas and take emergency actions when forecasting a pluvial flood. Previous studies that dealt with the prediction of urban pluvial flooding are mainly based on hydrological or hydraulic models, requiring a large volume of data for simulation accuracy. These methods are computationally expensive. Using a rainfall threshold to predict flooding based on a data-driven approach can decrease the computational complexity to a great extent. In order to prepare cities for frequent pluvial flood events – especially in the future climate – this paper uses a rainfall threshold for classifying flood vs. non-flood events, based on machine learning (ML) approaches, applied to a case study of Shenzhen city in China. In doing so, ML models can determine several rainfall threshold lines projected in a plane spanned by two principal components, which provides a binary result (flood or no flood). Compared to the conventional critical rainfall curve, the proposed models, especially the subspace discriminant analysis, can classify flooding and non-flooding by different combinations of multiple-resolution rainfall intensities, greatly raising the accuracy to 96.5% and lowering the false alert rate to 25%. Compared to the conventional model, the critical indices of accuracy and true positive rate (TPR) were 5%-15% higher in ML models. Such models are applicable to other urban catchments as well. The results are expected to be used to assist early warning systems and provide rational information for contingency and emergency planning.","Machine learning; Rainfall threshold; Shenzhen city; Urban pluvial flooding","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:91e7c7d3-cf61-42f3-ad36-e362436e46e4","http://resolver.tudelft.nl/uuid:91e7c7d3-cf61-42f3-ad36-e362436e46e4","Analysis of local damages effect on mechanical responses of underwater shield tunnel via field testing and numerical simulation","Tan, Xuyan (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Chen, Weizhong (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Wang, L. (TU Delft Numerical Analysis; University of Chinese Academy of Sciences); Yang, Jianping (Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2020","The investigation of concrete structural performance is crucial to maintain the stability of infrastructure. In order to assess structural stability, this work focuses on the development of an integrated framework to detect damaged conditions in the field and analyze their effect on mechanical performance through nondestructive testing (NDT) technology and numerical models. First, a ground penetrating radar (GPR) and an infrared camera work collaboratively to identify the damaged positions of the concrete structure, with parameters calibrated by laboratory experiments. Then, a finite element model is established to study structural mechanical performance based on field conditions and detected results. In addition, the influenced regions induced by local damage are studied under different boundary conditions. As a case study, the devised method was employed in the Nanjing Yangtze River tunnel for stability assessment and disaster prevention. The detected results of the damaged conditions agree well with the actual conditions in the field. Numerical results show that the circumferential stress component is more significant than that observed longitudinally. The effect of local damage on stress implies a positive correlation with the rise of water pressure, in which the maximum stress response to the variation of water level is 45KPa per meter.","Damage; Mechanical response; Nondestructive testing; Numerical simulation; Underground structure","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:36f68ce1-8829-48cb-9800-f0ac9fcb9413","http://resolver.tudelft.nl/uuid:36f68ce1-8829-48cb-9800-f0ac9fcb9413","Review and data evaluation for high-temperature reduction of iron oxide particles in suspension","Chen, Z. (TU Delft (OLD) MSE-3); Zeilstra, Christiaan (Tata Steel); van der Stel, Jan (Tata Steel); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2020","High-temperature reduction processes of iron oxide particles suspension are promising in carbon emission abatement. Recently, researchers have contributed abundant knowledge of the reaction mechanism and kinetics of iron oxide particles above 1473 K, while there was very limited information 10 years ago. Although the understanding of the high-temperature reduction of iron oxide particles is still not comprehensive, a brief review of the academic reports is helpful for the future work on this topic. The high-temperature reduction of iron oxide suspension is characterized by having: rapid reaction, obvious thermal decomposition and melting process. Evaluation of the kinetic data shows that the reduction process of single particles is not rate-determined by the diffusion process at the studied temperatures. The reaction rate constant is within 10−2–10 s−1 in these studies. Furthermore, comparing previous studies in iron oxide reduction field, the phase transformation and effect of gangue minerals to the reduction of iron oxide particles above 1473 K requires more input and research.","HIsarna; iron ore; kinetics; reduction; suspension","en","review","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:1061a30e-d2c9-49f9-add6-9dc604ca2a33","http://resolver.tudelft.nl/uuid:1061a30e-d2c9-49f9-add6-9dc604ca2a33","A comparative study of pellets, sinter and mixed ferrous burden behaviour under simulated blast furnace conditions","Gavel, D.J. (TU Delft (OLD) MSE-3; CRM Group); Adema, Allert (Tata Steel); van der Stel, Jan (Tata Steel); Peeters, Tim (Tata Steel Europe Limited); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2020","Physicochemical behaviour of the pellets, sinters and its mixture (60% pellets: 40% sinter) is investigated by a series of smelting and quenching experiments. For all ferrous raw-material beds, three distinct stages of bed shrinkage occur due to indirect reduction, softening and melting. However, the characteristic nature (displacement, temperature and permeability) differ with the ferrous raw-material type. In mixed ferrous bed, the first and third stages are found to be controlled by the pellets (individual particle shrinkage) and sinter (slow melting rate), respectively. Second stage behaviour is initially observed to be close to the pellet and later to that of sinter. In mixed bed (upto 1505°C), the interaction between the pellet and sinter is limited to the interface only. The sinter slag is observed to control the melting and dripping properties of the mixed bed.These results gives an understanding of individual and mixed burden behaviour under blast furnace conditions.","blast furnace; dripping; ferrous burden; Ironmaking; pellets; permeability; sinter; softening-melting","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:4bd78b06-05a9-4536-ba91-65a40891310d","http://resolver.tudelft.nl/uuid:4bd78b06-05a9-4536-ba91-65a40891310d","A systematic literature review on safety research related to chemical industrial parks","Zeng, Tao (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Chen, Guohua (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Yang, Yunfeng (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety; Katholieke Universiteit Leuven); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Zhao, Yixin (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Liu, Xia (Guangdong Academy of Safety Science and Technology, Guangzhou)","","2020","The increasing demand for chemical products has driven the construction and development of chemical industrial areas, or so-called 'chemical industrial parks' (CIPs), but this has intrinsically raised the risk of major accidents. Therefore, it is significant and urgent to summarize the state of art and research needs in the field of CIP safety. In this paper, a keyword co-occurrence analysis of 116 scientific articles was conducted to support the classification of research topics in this field, then an overview of those research topics was presented to investigate the evolution of safety research with respect to CIPs. Specifically, the way that safety assessments are conducted, as well as how safety management and safety technology in such areas are classified and investigated, followed by detailed descriptions of representative methods and their contributions to CIP safety, are discussed. An integrated safety framework for CIPs is proposed to organize safety approaches and measures systematically. Based on the classification and analysis of studies on management, assessment, and technology related to CIP safety, the research trends and future directions and challenges are discussed and outlined. Those results are useful for improving theoretical method and industrial strategies, and can advance the safety and sustainability development of CIPs.","Chemical industrial park; Review; Safety assessment; safety framework; Safety management; Safety technology; Trend","en","review","","","","","","","","","","","Safety and Security Science","","",""
"uuid:c6479ab4-d371-44e6-bf0e-95abcb03624e","http://resolver.tudelft.nl/uuid:c6479ab4-d371-44e6-bf0e-95abcb03624e","Hierarchical radar data analysis for activity and personnel recognition","Li, X. (University of Glasgow; University of Electronic Science and Technology of China); Li, Zhenghui (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yang, Shufan (University of Glasgow); Romain, Olivier (University of Cergy-Pontoise); Le Kernec, Julien (University of Glasgow; University of Electronic Science and Technology of China; University of Cergy-Pontoise)","","2020","Radar-based classification of human activities and gait have attracted significant attention with a large number of approaches proposed in terms of features and classification algorithms. A common approach in activity classification attempts to find the algorithm (features plus classifier) that can deal with multiple activities analysed in one study such as walking, sitting, drinking and crawling. However, using the same set of features for multiple activities can be suboptimal per activity and not take into account the diversity of kinematic movements that could be captured by diverse features. In this paper, we propose a hierarchical classification approach that uses a large variety of features including but not limited to energy features like entropy and energy curve, physical features like centroid and bandwidth, image-based features like skewness extracted from multiple radar data domains. Feature selection is used at each step of the hierarchical model to select the best set of features to discriminate the target activity from the others, showing improvements with respect to the more conventional approach of using a multiclass model. The proposed approach is validated on a large dataset with 1078 recorded samples of varying length from 5 s to 10 s of experimental data, yielding 95.4% accuracy to classify six activities. The approach is also validated on a personnel recognition task to identify individual subjects from their walking gait, yielding 83.7% accuracy for ten subjects and 68.2% for a significantly larger group of subjects, i.e., 60 people.","Activity classification; Gait analysis; Machine learning; Mircro-doppler; Personnel recognition; Radar sensors","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:5d4275df-8776-4e96-aa4e-b8f5b0260b53","http://resolver.tudelft.nl/uuid:5d4275df-8776-4e96-aa4e-b8f5b0260b53","Posttranslational control of plsb is sufficient to coordinate membrane synthesis with growth in escherichia coli","Noga, M.J. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft); Büke, F. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft); van den Broek, N.J.F. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft); Imholz, N.C.E. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft); Scherer, N.M.D. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft); Yang, Y.H.F. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft); Bokinsky, G.E. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft)","","2020","Every cell must produce enough membrane to contain itself. However, the mechanisms by which the rate of membrane synthesis is coupled with the rate of cell growth remain unresolved. By comparing substrate and enzyme concentrations of the fatty acid and phospholipid synthesis pathways of Escherichia coli across a 3-fold range of carbon-limited growth rates, we show that the rate of membrane phospholipid synthesis during steady-state growth is determined principally through allosteric control of a single enzyme, PlsB. Due to feedback regulation of the fatty acid pathway, PlsB activity also indirectly controls synthesis of lipopolysaccharide, a major component of the outer membrane synthesized from a fatty acid synthesis intermediate. Surprisingly, concentrations of the enzyme that catalyzes the committed step of lipopolysaccharide synthesis (LpxC) do not differ across steady-state growth conditions, suggesting that steady-state lipopolysaccharide synthesis is modulated primarily via indirect control by PlsB. In contrast to steady-state regulation, we found that responses to environmental perturbations are triggered directly via changes in acetyl coenzyme A (acetyl-CoA) concentrations, which enable rapid adaptation. Adaptations are further modulated by ppGpp, which regulates PlsB activity during slow growth and growth arrest. The strong reliance of the membrane synthesis pathway upon posttranslational regulation ensures both the reliability and the responsiveness of membrane synthesis. IMPORTANCE How do bacterial cells grow without breaking their membranes? Although the biochemistry of fatty acid and membrane synthesis is well known, how membrane synthesis is balanced with growth and metabolism has remained unclear. This is partly due to the many control points that have been discovered within the membrane synthesis pathways. By precisely establishing the contributions of individual pathway enzymes, our results simplify the model of membrane biogenesis in the model bacterial species Escherichia coli. Specifically, we found that allosteric control of a single enzyme, PlsB, is sufficient to balance growth with membrane synthesis and to ensure that growing E. coli cells produce sufficient membrane. Identifying the signals that activate and deactivate PlsB will resolve the issue of how membrane synthesis is synchronized with growth.","Fatty acids; Lipopolysaccharide; Membrane biogenesis; Metabolic regulation; Phospholipids; Posttranslational regulation; PpGpp; Proteomics","en","journal article","","","","","","","","","","","BN/Greg Bokinsky Lab","","",""
"uuid:6bf4de8a-8b82-4a30-a4eb-9f7cf938b888","http://resolver.tudelft.nl/uuid:6bf4de8a-8b82-4a30-a4eb-9f7cf938b888","Ductile damage model calibration for high-strength structural steels","Yang, F. (TU Delft Steel & Composite Structures; Tongji University); Veljkovic, M. (TU Delft Steel & Composite Structures); Liu, Yuqing (Tongji University)","","2020","Numerical analyses incorporating appropriate damage models provide an opportunity to predict the strength and deformation capacity of steel structures. This paper presents a practical calibration for the ductile damage model of S355 and high-strength steel S690Q, S700MC, S960Q based on tensile coupon test results. A combined linear and power expression is adopted to calibrate the post-necking damaged stress–strain relations of the investigated steels, upon which the undamaged stress–strain relations are estimated further. Damage initiation criterion is based on the Rice-Tracey model and damage evolution law is related to the calibrated damaged stress and the estimated undamaged stress. Fracture of the tensile coupons is modelled using a critical damage variable. Tensile coupon tests on the investigated steels are modelled in ABAQUS with the explicit solver. Results show that combining the proposed post-necking stress–strain relations and ductile damage model generates very good predictions for strain localization and final fracture of the tensile coupons. Numerical engineering stress–strain curves agree well with the experimental results. It also indicates that high-strength steels are more susceptible to damage than S355. The damage variable of S960Q is about 2 times as large as that of S355 from the onset of necking to the final fracture.","Ductile damage model; High-strength steel; Post-necking stress–strain; Rice-Tracey model; Strain localization; Tensile coupon test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-03-04","","","Steel & Composite Structures","","",""
"uuid:dbfe6d5a-b16b-4d34-ad78-6b0082e3e1a4","http://resolver.tudelft.nl/uuid:dbfe6d5a-b16b-4d34-ad78-6b0082e3e1a4","A review on the pumping behavior of modern concrete","Li, Huajian (Railway Engineering Research Institute); Sun, Deyi (Railway Engineering Research Institute); Wang, Zhen (Railway Engineering Research Institute); Huang, Fali (Railway Engineering Research Institute); Yi, Zhonglai (Railway Engineering Research Institute); Yang, Zhengxian (Fuzhou University); Zhang, Y. (TU Delft Materials and Environment; Fuzhou University)","","2020","Pumping is the most common technique used to transport fresh concrete in construction sites. The large-scale use of concrete all over the world makes the pumping increasingly important. A wide variety of additives and admixtures are incorporated into modern concrete in order for sustainable development. The performance of modern concrete is rather complex and its pumping behavior differs significantly from that of conventional concrete, especially in the fresh stage. This paper presents a comprehensive overview on the state of the art of concrete pumping. The models and methods used for characterizing the concrete pumpability and lubrication layer are described. The factors influencing the pumping behavior are discussed. A couple of ultra-high pumping engineering of concrete conducted in China are introduced.","","en","journal article","","","","","","Accepted Author Manuscript","","","","","Materials and Environment","","",""
"uuid:611cacac-1ba8-4976-8bfe-0077c5c07c9f","http://resolver.tudelft.nl/uuid:611cacac-1ba8-4976-8bfe-0077c5c07c9f","Integrated transport management: Lessons from a Chinese city","Yang, W. (TU Delft Organisation & Governance); Veeneman, Wijnand (TU Delft Organisation & Governance); de Jong, W.M. (TU Delft Organisation & Governance; Erasmus Universiteit Rotterdam; Fudan University); Song, Y. (TU Delft Organisation & Governance)","","2020","Sustainable transport typically requires a broad spectrum of policy measures, with responsibilities shared by different authorities and with various public values competed with each other, such as commuting, health, spatial quality, and economic development. Designing and implementing integrated policy packages, with consideration for the interdependencies between measures and actors is a promising approach and thus an interesting research topic. A large part of the literature on transport policy looks at separate measures and their effects. These measures in reality always work in constellation with other measures and understanding their dependencies in a way to create synergies through packaging has been the topic of theoretical discussions. However, empirical research on policy packaging is sorely lacking. In this paper, we examine the implementation process of packaging of TM measures from the perspective of actors and their distinct roles and interactions. The data is collected by document analysis and interviews with officers in a Chinese city. Several major problems threatening the implementation of policy packaging are detected, including overlooking implementation at district-level, resource competition between measures, and the absence of integrative supervision. It provides a first answer to the discrepancy occurring in the promise of real-world crafting of well-integrated policies for sustainable mobility.","China; Infrastructure planning; Integrated transport policy; Multi-level governance; Policy packaging; Transport demand management","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:4ba2854c-11b5-415a-b17f-09e2460fa2b9","http://resolver.tudelft.nl/uuid:4ba2854c-11b5-415a-b17f-09e2460fa2b9","Ferrous burden behaviour under nut coke mixed charge conditions","Gavel, D.J. (TU Delft (OLD) MSE-3; CRM Group); Adema, A.T. (TU Delft (OLD) MSE-3; Tata Steel); van der Stel, Jan (Tata Steel); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2020","Effect of nut coke addition with ferrous burden (pellet and sinter mixture) is experimentally investigated under simulated blast furnace conditions. Nut coke mixing degree was varied (0, 20 and 40 wt-%) as a replacement of the regular coke. During smelting, the ferrous bed evolves through three distinct stages of shrinkage due to indirect reduction, softening and melting, respectively. Nut coke increases the reduction kinetics, limits softening and enhances iron carburization in the ferrous bed to affect all three stages. Additionally, nut coke physically hinders the sintering among the ferrous burden to keep the interstitial voids open, which exponentially increases the gas permeability. A significant impact of nut coke mixing occurs in the cohesive zone temperature range, which is decreased by 77°C upon addition of 40 wt-% nut coke. Various experimental results give supports for the extensive utilization of nut coke as a replacement of regular coke in the blast furnace.","blast furnace; ferrous burden; Ironmaking; nut coke; pellets; permeability; sinter; softening-melting","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:09aefc70-b7dc-4189-9278-363e362993a6","http://resolver.tudelft.nl/uuid:09aefc70-b7dc-4189-9278-363e362993a6","The Impact of Gate Recess on the H₂ Detection Properties of Pt-AlGaN/GaN HEMT Sensors","Sokolovskij, R. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, Jian (Fudan University); Zheng, Hongze (Southern University of Science and Technology; GaN Device Engineering Technology Research Center of Guangdong; Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen); Li, Wenmao (Southern University of Science and Technology; GaN Device Engineering Technology Research Center of Guangdong; Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen); Jiang, Yang (Southern University of Science and Technology; GaN Device Engineering Technology Research Center of Guangdong; Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen); Yang, Gaiying (Southern University of Science and Technology); Yu, Hongyu (Southern University of Science and Technology; GaN Device Engineering Technology Research Center of Guangdong; Shenzhen Institute of Wide-bandgap Semiconductors; Ministry of Education, Shenzhen); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2020","The present work reports on the hydrogen gas detection properties of Pt-AlGaN/GaN high electron mobility transistor (HEMT) sensors with recessed gate structure. Devices with gate recess depths from 5 to 15 nm were fabricated using a precision cyclic etching method, examined with AFM, STEM and EDS, and tested towards H2 response at high temperature. With increasing recess depth, the threshold voltage ( VTH ) shifted from -1.57 to 1.49 V. A shallow recess (5 nm) resulted in a 1.03 mA increase in signal variation ( Δ IDS ), while a deep recess (15 nm) resulted in the highest sensing response ( S ) of 145.8% towards 300 ppm H2 as compared to reference sensors without gate recess. Transient measurements demonstrated reversible H2 response for all tested devices. The response and recovery time towards 250 ppm gradually decreased from 7.3 to 2.5 min and from 29.2 to 8.85 min going from 0 nm to 15 nm recess depth. The power consumption of the sensors reduced with increasing recess depth from 146.6 to 2.95 mW.","ALGaN/GaN; cyclic etching; enhancement mode; gate recess; HEMT; H2 sensor; platinum","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-07-28","","","Electronic Components, Technology and Materials","","",""
"uuid:713d7661-6ee5-47a1-b879-fdab79843372","http://resolver.tudelft.nl/uuid:713d7661-6ee5-47a1-b879-fdab79843372","Density-Adaptive and Geometry-Aware Registration of TLS Point Clouds Based on Coherent Point Drift","Zang, Y. (TU Delft Optical and Laser Remote Sensing; Nanjing University of Information Sciences and Technology); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Yang, Bisheng (Wuhan University); Guan, Haiyan (Nanjing University of Information Sciences and Technology)","","2020","Probabilistic registration algorithms [e.g., coherent point drift, (CPD)] provide effective solutions for point cloud alignment. However, using the original CPD algorithm for automatic registration of terrestrial laser scanner (TLS) point clouds is highly challenging because of density variations caused by scanning acquisition geometry. In this letter, we propose a new global registration method, introducing the use of the CPD framework for TLS point clouds. We first consider the measurement geometry and the intrinsic characteristics of the scene to simplify points. In addition to the Euclidean distance, we incorporate geometric information as well as structural constraints in the probabilistic model to optimize the so-called matching probability matrix. Among the structural constraints, we use a spectral graph to measure the structural similarity between matches at each iteration. The method is tested on three data sets collected by different TLS scanners. Experimental results demonstrate that the proposed method is robust to density variations and can decrease iterations effectively. The average registration errors of the three data sets are 0.05, 0.12, and 0.08 m, respectively. It is also shown that our registration framework is superior to the state-of-the-art methods in terms of both registration errors and efficiency. The experiments demonstrate the effectiveness and efficiency of the proposed probabilistic global registration.","Coherent point drift (CPD); density variations; global registration; matching probability matrix; structural constraints","en","journal article","","","","","","Accepted author manuscript","","","","","Optical and Laser Remote Sensing","","",""
"uuid:b40ff9e6-c2a9-41f4-867b-752ffe540a04","http://resolver.tudelft.nl/uuid:b40ff9e6-c2a9-41f4-867b-752ffe540a04","Investigations of mixing technique on the rheological properties of self-compacting concrete","Li, Huajian (China Academy of Railway Sciences; State Key Laboratory of High Speed Railway Track Technology); Huang, Fali (China Academy of Railway Sciences; State Key Laboratory of High Speed Railway Track Technology); Yi, Zhonglai (China Academy of Railway Sciences; State Key Laboratory of High Speed Railway Track Technology); Wang, Zhen (China Academy of Railway Sciences; State Key Laboratory of High Speed Railway Track Technology); Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Yang, Zhengxian (Fuzhou University)","","2020","Rheological properties are of significant importance in successful placement and performance of self-compacting concrete (SCC). In this work, the rheological properties of SCC, combined with a powder-viscosity modifying admixture, were investigated based on a series of experimental studies. The modified Bingham model was applied to determine the rheological parameters and shear thickening behavior of SCC. The effects of mixing procedures, including charging sequence, mixing time and mixing speed, were analyzed. The results show that the shear thickening of SCC is reduced by first mixing the aggregate and water and then adding other raw materials. The direct contact between aggregate and water leads to a large amount of free water to be adsorbed by the aggregate system, resulting in an increase of the yield stress. In order to ensure an excellent fluidity and a low shear thickening behavior of SCC, the mixing time should be controlled at 4-5 min and the mixing speed at 30-45 r/min.","Charging sequence; Mixing speed; Mixing time; Rheological properties; Self-compacting concrete","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:dd7e6a5a-561c-40d1-8411-596fdd80ac60","http://resolver.tudelft.nl/uuid:dd7e6a5a-561c-40d1-8411-596fdd80ac60","Realizing the Potential of RF-Sputtered Hydrogenated Fluorine-Doped Indium Oxide as an Electrode Material for Ultrathin SiO x/Poly-Si Passivating Contacts","Han, C. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Montes, Ana (University of Lisbon); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Electrical Engineering, Mathematics and Computer Science); Eijt, S.W.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Schut, H. (TU Delft RST/Neutron and Positron Methods in Materials); Zhang, Xiaodan (Nankai University); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2020","In high-efficiency silicon solar cells featuring carrier-selective passivating contacts based on ultrathin SiOx/poly-Si, the appropriate implementation of transparent conductive oxide (TCO) layers is of vital importance. Considerable deterioration in passivation quality occurs for thin poly-Si-based devices owing to the sputtering damage during TCO deposition. Curing treatment at temperatures above 350 °C can recover such degradation, whereas the opto-electrical properties of the TCO are affected as well, and the carrier transport at the poly-Si/TCO contact is widely reported to degrade severely in such a procedure. Here, we propose straightforward approaches, post-deposition annealing at 400 °C in nitrogen, hydrogen, or air ambience, are proposed to tailor material properties of high-mobility hydrogenated fluorine-doped indium oxide (IFO:H) film. Structural, morphological, and opto-electrical properties of the IFO:H films are investigated as well as their inherent electron scattering and doping mechanisms. Hydrogen annealing treatment proves to be the most promising strategy. The resulting layer exhibits both optimal opto-electrical properties (carrier density = 1.5 × 1020 cm-3, electron mobility = 108 cm2 V-1 s-1, and resistivity = 3.9 × 10-4 ω cm) and remarkably low contact resistivities (∼20 mω cm2 for both n- and p-contacts) in poly-Si solar cells. Even though the presented cells are limited by the metallization step, the obtained IFO:H-base solar cell show an efficiency improvement from 20.1 to 20.6% after specific hydrogen treatment, demonstrating the potential of material manipulation and contact engineering strategy in high-efficiency photovoltaic devices endowed with TCOs.","carrier-selective passivating contacts; hydrogen annealing; hydrogenated fluorine-doped indium oxide (IFO:H); transparent conductive oxide (TCO); ultrathin SiO /poly-Si passivating contacts","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:27585952-6127-457f-87eb-eb82014bd919","http://resolver.tudelft.nl/uuid:27585952-6127-457f-87eb-eb82014bd919","Strategy to mitigate the dipole interfacial states in (i)a-Si:H/MoOx passivating contacts solar cells","Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Alcañiz Moya, A. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices; Universidad San Francisco de Quito); Kawa, Eliora (Student TU Delft); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Tiringer, U. (TU Delft (OLD) MSE-6); Han, C. (TU Delft Photovoltaic Materials and Devices; Shenzhen Institute of Wide-bandgap Semiconductors); Yang, G. (TU Delft Photovoltaic Materials and Devices); Taheri, P. (TU Delft (OLD) MSE-6); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2020","Molybdenum oxide (MoOx) is attractive for applications as hole-selective contact in silicon heterojunction solar cells for its transparency and relatively high work function. However, the integration of MoOx stacked on intrinsic amorphous silicon (i)a-Si:H layer usually exhibits some issues that are still not fully solved resulting in degradation of electrical properties. Here, we propose a novel approach to enhance the electrical properties of (i)a-Si:H/MoOx contact. We manipulate the (i)a-Si:H interface via plasma treatment (PT) before MoOx deposition minimizing the electrical degradation without harming the optical response. Furthermore, by applying the optimized PT, we can reduce the MoOx thickness down to 3.5 nm with both open-circuit voltage and fill factor improvements. Our findings suggest that the PT mitigates the decrease of the effective work function of the MoOx (WFMoOx) thin layer when deposited on (i)a-Si:H. To support our hypothesis, we carry out electrical simulations inserting a dipole at the (i)a-Si:H/MoOx interface accounting the attenuation of WFMoOx caused by both MoOx thickness and dipole. Our calculations confirm the experimental trends and thus provide deep insight in critical transport issues. Temperature-dependent J-V measurements demonstrate that the use of PT improves the energy alignment for an efficient hole transport.","(i)a-Si:H/MoO hole transport contact; interfacial dipole layer; plasma treatment; TCAD electrical modeling","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:f5eb7406-ebf3-471b-860a-7fd97c989a72","http://resolver.tudelft.nl/uuid:f5eb7406-ebf3-471b-860a-7fd97c989a72","Reliability assessment of ultra-deep oil and gas wellbore casing using data statistics and numerical simulations","Yang, Shangyu (State Key Laboratory of Performance and Structural Safety for Petroleum Tubular Goods and Equipment Materials); Zhang, Renren (Xi'an University of Architecture and Technology); Wang, Jianjun (State Key Laboratory of Performance and Structural Safety for Petroleum Tubular Goods and Equipment Materials); Li, Xinhong (Xi'an University of Architecture and Technology); Fan, Heng (Xi'an Shiyou University); Yang, M. (TU Delft Safety and Security Science)","","2020","Ultra-deep oil and gas wells have become a new development trend in onshore oil and gas exploitation. However, Ultra-deep oil and gas wellbore casing is with high failure risk due to the harsh environment. It is essential to evaluate the reliability of wellbore casing. This paper assesses the operational reliability of wellbore casing using data statistics and numerical simulation. Firstly, the theoretical model for reliability analysis of wellbore casing is established, and the variables in the model are determined, including rock mechanics, cement ring, and casing string strength factors. Subsequently, considering the random distribution of model variables, many statistics and analyses are performed to determine the distribution parameters of the model variables. Eventually, Monte Carlo based numerical simulations are carried out to obtain the residual strength distribution and the reliability of wellbore casing. The production casing in the ultra-deep well with a depth of 6.5 km in China as an industrial case is used to illustrate the present study. It is observed that this study can be useful to guide a more accurate assessment of the reliability of ultra-deep wellbore casing.","Data statistics; Monte-carlo; Numerical model; Reliability assessment; Wellbore casing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2021-06-13","","","Safety and Security Science","","",""
"uuid:2614350a-026a-488b-824d-a5eb52f9ae25","http://resolver.tudelft.nl/uuid:2614350a-026a-488b-824d-a5eb52f9ae25","Biogas productivity of anaerobic digestion process is governed by a core bacterial microbiota","Tao, Y. (TU Delft Sanitary Engineering; Imperial College London); Ersahin, M.E. (TU Delft Sanitary Engineering; Istanbul Technical University); Ghasimi, S.M.D. (TU Delft Sanitary Engineering; University of Kurdistan Hewlêr (UKH)); Ozgun, H. (TU Delft Sanitary Engineering; Istanbul Technical University); Wang, H. (TU Delft Sanitary Engineering); Zhang, X. (TU Delft Sanitary Engineering); Guo, Miao (Imperial College London); Yang, Yunfeng (Tsinghua University); Stuckey, David C. (Imperial College London); van Lier, J.B. (TU Delft Sanitary Engineering)","","2020","Anaerobic digestion (AD) has been commercially operated worldwide in full scale as a resource recovery technology underpinning a circular economy. However, problems such as a long start-up time, or system instability, have been reported in response to operational shocks. These issues are usually linked to the dynamics of the functional microbiota in AD. Exploring the microbiota-functionality nexus (MFN) could be pivotal to understand the reasons behind these difficulties, and hence improving AD performance. Here we present a systematic MFN study based on 138 samples taken from 20 well-profiled lab-scale AD reactors operated for up to two years. All the reactors were operated in the same lab within the same period of time using the same methodology to harvest physio-chemical and molecular data, including key monitoring parameters, qPCR, and 16S sequencing results. The results showed a core bacterial microbiota prevailing in all reactor types, including Bacillus, Clostridium, Bacteroides, Eubacterium, Cytophaga, Anaerophaga, and Syntrophomonas, while various methanogens dominated different communities due to different inocula origins, reactor temperatures, or salinity levels. This core bacterial microbiota well correlated with biogas production (Pearson correlation coefficient of 0.481, p < 0.0001). Such strong correlation was even comparable to that between the biogas production and the methanogenic 16S rRNA gene content (Pearson correlation coefficient of 0.481, p < 0.0001). The results indicated that AD performance only modestly correlated with microbial diversity, a key governing factor. AD microbiota was neither functionally redundant nor plastic, and a high variety in communities can exhibit a strong difference in reactor performance. Our study demonstrates the importance of a core bacterial microbiota in AD and supports inspiring considerations for design, bioaugmentation, and operational strategies of AD reactors in the future.","Anaerobic digestion; Biogas; Bioreactor; Microbiota","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-02-05","","","Sanitary Engineering","","",""
"uuid:032a2a71-9073-4264-8315-6c268c63d4d9","http://resolver.tudelft.nl/uuid:032a2a71-9073-4264-8315-6c268c63d4d9","Optimizing the preheating temperature of hot rolled slab from the perspective of the oxidation kinetic","Zhang, Hua (Wuhan University of Science and Technology); Yu, Li (Wuhan University of Science and Technology); Liu, Tao (Wuhan University of Science and Technology); Ni, Hongwei (Wuhan University of Science and Technology); Li, Y. (TU Delft (OLD) MSE-3; Wuhan University of Science and Technology); Chen, Z. (TU Delft (OLD) MSE-3; Flemish Institute for Technological Research); Yang, Y. (TU Delft Team Yongxiang Yang)","","2020","Decreasing the preheating temperature is an effective step to control the energy consumption in the hot rolling process. In order to obtain the lowest preheating temperature to prepare enough thickness of oxide scale in the hot rolling process, the oxidation resistance of commercial steel samples with different Al and Si contents were investigated in this paper. The results indicate that both Al and Si based oxides form at the steel-oxides interface as diffusion barrier but Al provide stronger diffusion resistance than Si in the diffusion-controlling oxidation region. Meanwhile, a three-dimensional oxidation kinetic model has been adopted to depict the oxidation behavior of four types of commercial steel. The oxidation process of automotive steel sample containing with low alloy elements is kinetically determined by interface chemical reaction. Its activation energy is 55.2 ± 6.9 kJ/mol. As for silicon steel containing with relative high alloy elements, its controlling process is determined by diffusion step at low temperature and controlled by chemical reaction rate at high temperature. In order to obtain enough thickness of oxide scale, the lowest preheating temperature of different types steel range from high to low should be the steel with high content of Al (1180 °C), the steel with high content of Si and low content of Al (1130 °C) and the steel with high contents of Si and Al (1030 °C).","Alloy elements; Hot rolling; Oxidation kinetic; Oxide scale","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:2300cb8a-d86f-4f90-bb1a-7cda5eb225c4","http://resolver.tudelft.nl/uuid:2300cb8a-d86f-4f90-bb1a-7cda5eb225c4","Shape feature aided target detection method for micro-drone surveillance radar","Yang, Fawei (Beijing Institute of Technology); Le Kernec, Julien (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Liu, Quanhua (Beijing Institute of Technology)","","2020","This paper presents a shape feature aided target detection method for micro-drone surveillance radar in order to mitigate the false alarms caused by the ground clutter. The method consists of a segmentation threshold selection method based on target measurements and a shape-feature extraction method based on Hu moments. Then the performance of the proposed method is verified experimentally using a real radar system. Field experiment using DJI phantom 3 is conducted, and the measured data is analysed. The results show that although there exist some limitations, the proposed method has good performance on eliminating the false alarms caused by the strong ground clutter in micro-drone detection and improving the target tracking accuracy.","Feature aided; Micro-drone detection; Shape feature extraction","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:5fa696c3-61d8-455b-80b1-f88e94bd67c9","http://resolver.tudelft.nl/uuid:5fa696c3-61d8-455b-80b1-f88e94bd67c9","Gate-Tunable Field-Compatible Fluxonium","Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Yang, C. (Microsoft Quantum Lab Delft); van Woerkom, D.J. (Microsoft Quantum Lab Delft); Pfaff, W. (University of Illinois at Urbana-Champaign); Haider, S.N. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Krogstrup, Peter (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Microsoft Quantum Lab Delft); de Lange, G. (Microsoft Quantum Lab Delft); Kou, A. (University of Illinois at Urbana-Champaign)","","2020","Hybrid superconducting circuits, which integrate nonsuperconducting elements into a circuit quantum electrodynamics (cQED) architecture, expand the possible applications of cQED. Building hybrid circuits that work in large magnetic fields presents even further possibilities, such as the probing of spin-polarized Andreev bound states and the investigation of topological superconductivity. Here we present a magnetic-field compatible hybrid fluxonium with an electrostatically tuned semiconducting nanowire as its nonlinear element. We operate the fluxonium in magnetic fields up to 1 T and use it to observe the f0-Josephson effect. This combination of gate tunability and field compatibility opens avenues for the control of spin-polarized phenomena using superconducting circuits and enables the use of the fluxonium as a readout device for topological qubits.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:182fe959-6948-4e63-8880-38ad806c9e48","http://resolver.tudelft.nl/uuid:182fe959-6948-4e63-8880-38ad806c9e48","Strong graphene oxide nanocomposites from aqueous hybrid liquid crystals","Hegde, M. (TU Delft Novel Aerospace Materials; University of North Carolina); Yang, Lin (Brookhaven National Laboratory); Vita, Francesco (Università Politecnica delle Marche); van de Watering, R. (TU Delft Education AE); Norder, B. (TU Delft ChemE/O&O groep); Lafont, U.L. (TU Delft Novel Aerospace Materials; European Space Agency (ESA)); Francescangeli, Oriano (Università Politecnica delle Marche); Picken, S.J. (TU Delft ChemE/Advanced Soft Matter); Dingemans, T.J. (TU Delft Novel Aerospace Materials; University of North Carolina)","","2020","Combining polymers with small amounts of stiff carbon-based nanofillers such as graphene or graphene oxide is expected to yield low-density nanocomposites with exceptional mechanical properties. However, such nanocomposites have remained elusive because of incompatibilities between fillers and polymers that are further compounded by processing difficulties. Here we report a water-based process to obtain highly reinforced nanocomposite films by simple mixing of two liquid crystalline solutions: a colloidal nematic phase comprised of graphene oxide platelets and a nematic phase formed by a rod-like high-performance aramid. Upon drying the resulting hybrid biaxial nematic phase, we obtain robust, structural nanocomposites reinforced with graphene oxide.","","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:5b9959c8-9a61-4e6c-b8e8-67d31733dd1c","http://resolver.tudelft.nl/uuid:5b9959c8-9a61-4e6c-b8e8-67d31733dd1c","Chemical boundary engineering: A new route toward lean, ultrastrong yet ductile steels","Ding, Ran (Tsinghua University); Liu, Geng (Tsinghua University); Wan, Xinhao (Tsinghua University); Ponge, Dirk (Max-Planck-Institut für Eisenforschung); Raabe, Dierk (Max-Planck-Institut für Eisenforschung); Godfrey, Andy (Tsinghua University); Furuhara, Tadashi (Tohoku University); Yang, Zhigang (Tsinghua University); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2020","For decades, grain boundary engineering has proven to be one of the most effective approaches for tailoring the mechanical properties of metallic materials, although there are limits to the fineness and types of microstructures achievable, due to the rapid increase in grain size once being exposed to thermal loads (low thermal stability of crystallographic boundaries). Here, we deploy a unique chemical boundary engineering (CBE) approach, augmenting the variety in available alloy design strategies, which enables us to create a material with an ultrafine hierarchically heterogeneous microstructure even after heating to high temperatures. When applied to plain steels with carbon content of only up to 0.2 weight %, this approach yields ultimate strength levels beyond 2.0 GPa in combination with good ductility (>20%). Although demonstrated here for plain carbon steels, the CBE design approach is, in principle, applicable also to other alloys.","","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:964e126f-3ffc-497c-b436-6601967c5229","http://resolver.tudelft.nl/uuid:964e126f-3ffc-497c-b436-6601967c5229","Posture-invariant three dimensional human hand statistical shape model","Yang, Y. (TU Delft Mechatronic Design; Shanghai University); Yuan, T. (TU Delft Mechatronic Design); Huysmans, T. (TU Delft Applied Ergonomics and Design); Elkhuizen, W.S. (TU Delft Mechatronic Design); Tajdari, F. (TU Delft Mechatronic Design); Song, Y. (TU Delft Mechatronic Design)","","2020","A high-fidelity digital representation of (part of) the human body is a key enabler for integrating humans in a digital twin. Among different parts of human body, building the model of the hand can be a challenging task due to the posture deviations among collected scans. In this article, we proposed a posture invariant statistical shape model (SSM) of the human hand based on 59 3D scans of human hands. First, the 3D scans were spatially aligned using a Möbius sphere-based algorithm. An articulated skeleton, which contains 20 bone segments and 16 joints, was embedded for each 3D scan. Then, all scans were aligned to the same posture using the skeleton and the linear blend skinning (LBS) algorithm. Three methods, i.e., principal component analysis (PCA), kernel-PCA (KPCA) with different kernel functions, and independent component analysis (ICA), were evaluated in the construction of the SSMs regarding the compactness, the generalization ability, and the specificity. The PCA-based SSM was selected, where 20 principal components were used as parameters for the model. Results of the leave-one-out validation indicate that the proposed model was able to fit a given 3D scan of the human hand at an accuracy of 1.21 ± 0.14 mm. Experiment results also indicated that the proposed SSM outperforms the SSM that was built on the scans without posture correction. It is concluded that the proposed posture correction approach can effectively improve the accuracy of the hand SSM and therefore enables its wide usage in human-integrated digital twin applications.","","en","journal article","","","","","","nog geen uitgeversversie beschikbaar","","","","","Mechatronic Design","","",""
"uuid:2c6263d3-616c-4b73-b04b-5280b961dfea","http://resolver.tudelft.nl/uuid:2c6263d3-616c-4b73-b04b-5280b961dfea","Zinc Reduction/Vaporisation Behaviour from Metallurgical Wastes","Kerry, T.J. (TU Delft (OLD) MSE-3); Peters, Alexander (Student TU Delft); Georgakopoulos, E. (TU Delft (OLD) MSE-3); Hosseini, A. (TU Delft (OLD) MSE-3); Offerman, S.E. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","Siegmund, A. (editor); Alam, S. (editor); Grogan, J. (editor); Kerney, U. (editor); Shibata, E. (editor)","2020","The steelmaking industry produces large quantities of zinc-bearing wastes of varying forms that cannot be treated through integrated steelmaking processes. Simultaneously, by-products of the zinc industry containing great amounts of iron and zinc are stored or landfilled. The amount of zinc in these materials is generally below that which is of value to be recycled directly to the zinc smelter, consequently a method of concentration is required. Tata Steel owns and operates the pilot HIsarna ironmaking plant which, due to its high raw materials flexibility, is attractive for the purpose of processing secondary iron sources. Furthermore, it can facilitate the simultaneous recovery of a zinc-enriched flue dust. The high temperature behaviour of various waste materials will be presented with regards to their recyclability in the HIsarna furnace. Blast furnace (BF) sludge and basic oxygen furnace (BOF) sludge from Tata Steel IJmuiden have been studied along with ‘goethite’ waste produced by Nyrstar. The various input materials have been comprehensively characterised and their reduction/vaporisation behaviour recorded. Mixed samples have been produced and tested in order to define the most appropriate form of delivery of these materials to the HIsarna furnace.","Dusts; HIsarna; Recycling; Self-reduction; Zinc","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-07-25","","","(OLD) MSE-3","","",""
"uuid:27dca22e-c9db-4780-81e3-0cd96a16a451","http://resolver.tudelft.nl/uuid:27dca22e-c9db-4780-81e3-0cd96a16a451","Training Data Augmentation for Detecting Adverse Drug Reactions in User-Generated Content","Mesbah, S. (TU Delft Web Information Systems); Yang, J. (Amazon); Sips, R.H.J. (myTomorrows); Valle Torre, M. (TU Delft Web Information Systems); Lofi, C. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Web Information Systems); Houben, G.J.P.M. (TU Delft Web Information Systems)","","2019","Social media provides a timely yet challenging data source for adverse drug reaction (ADR) detection. Existing dictionary-based, semi-supervised learning approaches are intrinsically limited by the coverage and maintainability of laymen health vocabularies. In this paper, we introduce a data augmentation approach that leverages variational autoencoders to learn high-quality data distributions from a large unlabeled dataset, and subsequently, to automatically generate a large labeled training set from a small set of labeled samples. This allows for efficient social-media ADR detection with low training and re-training costs to adapt to the changes and emergence of informal medical laymen terms. An extensive evaluation performed on Twitter and Reddit data shows that our approach matches the performance of fully-supervised approaches while requiring only 25% of training data.","NLP; ML; Data augmentation","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-06-01","","","Web Information Systems","","",""
"uuid:9f197186-0b19-4057-812c-4ef1db17c5db","http://resolver.tudelft.nl/uuid:9f197186-0b19-4057-812c-4ef1db17c5db","Matching images and text with multi-modal tensor fusion and re-ranking","Wang, Tan (University of Electronic Science and Technology of China); Hanjalic, A. (TU Delft Intelligent Systems); Xu, Xing (University of Electronic Science and Technology of China); Shen, Heng Tao (University of Electronic Science and Technology of China); Yang, Yang (University of Electronic Science and Technology of China); Song, Jingkuan (University of Electronic Science and Technology of China)","","2019","A major challenge in matching images and text is that they have intrinsically different data distributions and feature representations. Most existing approaches are based either on embedding or classification, the first one mapping image and text instances into a common embedding space for distance measuring, and the second one regarding image-text matching as a binary classification problem. Neither of these approaches can, however, balance the matching accuracy and model complexity well. We propose a novel framework that achieves remarkable matching performance with acceptable model complexity. Specifically, in the training stage, we propose a novel Multi-modal Tensor Fusion Network (MTFN) to explicitly learn an accurate image-text similarity function with rank-based tensor fusion rather than seeking a common embedding space for each image-text instance. Then, during testing, we deploy a generic Cross-modal Re-ranking (RR) scheme for refinement without requiring additional training procedure. Extensive experiments on two datasets demonstrate that our MTFN-RR consistently achieves the state-of-the-art matching performance with much less time complexity.","Cross-modal re-ranking; Image-text matching; Tensor fusion","en","conference paper","Association for Computing Machinery (ACM)","","","","","Accepted author manuscript","","","","Intelligent Systems","","","",""
"uuid:10dec22b-1a05-44d5-9f22-b00335a7d40f","http://resolver.tudelft.nl/uuid:10dec22b-1a05-44d5-9f22-b00335a7d40f","Solution-Space-based ATC support for 4DT heterogeneous aircraft-mix control","Mulder, Max (TU Delft Control & Simulation); Yang, L. (TU Delft Control & Simulation; Nanjing University of Aeronautics and Astronautics); Borst, C. (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation)","","2019","Future Air Traffic Management concepts will require air traffic controllers to move from a tactical to a strategic way of operation. This paper evaluates two novel concepts which support controllers to perform four-dimensional trajectory management in a contingency situation. The Travel Space Representation and Time-Space Diagram are both solution-space based displays where automation calculates all possible actions in real-time. All decision-making is still to be done by the operator but is greatly facilitated by this automation, as it shows all possible actions at a glance. An experiment is described which evaluated the performance of novice controllers in managing a sector where suddenly a bad weather cell emerged, requiring them to re-route traffic in space and time. Results show that the display concepts work well and support operators even in complex situations with a heterogeneous mix of aircraft types and speeds. Performance and workload indicators become worse for the higher-density, higher-heterogeneity situations.","","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","","","","","","Control & Simulation","","",""
"uuid:3761d7e1-90f3-432b-98d4-b773b4170312","http://resolver.tudelft.nl/uuid:3761d7e1-90f3-432b-98d4-b773b4170312","Experimental and numerical study on behaviour of square steel tube confined reinforced concrete stub columns after fire exposure","Liu, Faqi (Harbin Institute of Technology); Yang, Hua (Harbin Institute of Technology); Yan, R. (TU Delft Steel & Composite Structures); Wang, Wei (Harbin Institute of Technology)","","2019","The behaviour of square steel tube confined reinforced concrete columns after fire exposure was studied experimentally and numerically in this paper. Eighteen stub columns were first heated following the ISO 834 standard fire including both heating and cooling phases, and were subsequently loaded to failure after cooling to ambient temperature. Failure modes, temperatures in specimens, axial load versus deformation curves and strains in steel tube were monitored and discussed. A finite element model was developed using the sequentially coupled thermal-stress analysis method and was validated against tests found in literatures and this study. Parametric study was performed to identify influences of key parameters, where are heating time, cross-sectional dimension, strengths of materials, steel tube to concrete area ratio and reinforcement ratio, on residual capacity and compressive stiffness. Finally, a simplified method is proposed for predicting residual cross-sectional capacity and compressive stiffness of square steel tube confined reinforced concrete columns after fire exposure.","Compressive stiffness; Numerical simulation; Post-fire; Residual capacity; Square steel tube confined reinforced concrete; Test","en","journal article","","","","","","Accepted Author manuscript","","2021-03-06","","","Steel & Composite Structures","","",""
"uuid:fb66e3c7-1ba9-4b80-937c-8a28257874e2","http://resolver.tudelft.nl/uuid:fb66e3c7-1ba9-4b80-937c-8a28257874e2","First principles study of gas molecules adsorption on monolayered β-SnSe","Liu, Tianhan (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2019","For the purpose of exploring the application of two-dimensional (2D) material in the field of gas sensors, the adsorption properties of gas molecules, CO, CO2, CH2O, O2, NO2, and SO2 on the surface of monolayered tin selenium in β phase (β-SnSe) has been researched by first principles calculation based on density functional theory (DFT). The results indicate that β-SnSe sheet presents weak physisorption for CO and CO2 molecules with small adsorption energy and charge transfers, which show that a β-SnSe sheet is not suitable for sensing CO and CO2. The adsorption behavior of CH2O molecules adsorbed on a β-SnSe monolayer is stronger than that of CO and CO2, revealing that the β-SnSe layer can be applied to detect CH2O as physical sensor. Additionally, O2, NO2, and SO2 are chemically adsorbed on a β-SnSe monolayer with moderate adsorption energy and considerable charge transfers. All related calculations reveal that β-SnSe has a potential application in detecting and catalyzing O2, NO2, and SO2 molecules.","Adsorption behavior; First principles; Gas molecules; Gas sensor; β-SnSe","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:a07096dc-9fa4-4f95-b7ad-3045dfe225c5","http://resolver.tudelft.nl/uuid:a07096dc-9fa4-4f95-b7ad-3045dfe225c5","Acoustic Emission based Crack Tracking for Concrete Structures","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures)","Hamstad, M.A. (editor); Manthei, G. (editor)","2019","Acoustic Emission (AE) monitoring is one of the possibilities to detect the crack distribution inexisting concrete structures. However, the conventional method requires further destruction likeopening of new cracks or propagation of the existing cracks. In this paper, a new strategy ofusing local cumulative AE activities during unloading to track the crack trajectory is proposed.With this strategy, a relatively low load level which does not cause further destruction to thestructure is needed. The possibility of this strategy is experimentally examined using a realscale beam of 10-ton damaged under cyclic loading. For calibration, the crack opening ismeasured by Digital Image Correlation (DIC). The crack patterns detected by the new strategyand DIC show good agreement.","concrete beam; cyclic loading; Acoustic Emission; source localization; crack tracking","en","conference paper","Acoustic Emission Group","","","","","","","","","","Concrete Structures","","",""
"uuid:3bfe96db-caf3-4c8f-b8f3-a809a2e8f920","http://resolver.tudelft.nl/uuid:3bfe96db-caf3-4c8f-b8f3-a809a2e8f920","Shear capacity of steel fibre reinforced concrete beams","Abad, Belkis Filian (Universidad San Francisco de Quito); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Yang, Y. (TU Delft Concrete Structures)","Derkowski, Wit (editor); Krajewski, Piotr (editor); Gwozdziewicz, Piotr (editor); Pantak, Marek (editor); Hojdys, Lukasz (editor)","2019","The Critical Shear Displacement Theory (CSDT) was developed to determine the shear capacity of reinforced concrete beams based on the different shear-carrying mechanisms (concrete in the compression zone, aggregate interlock, and dowel action). This research aims at extending the CSDT to Steel Fibre Reinforced Concrete (SFRC) by adding the contribution of steel fibres. The model extension was developed based on formulations for the contribution of steel fibres to the shear capacity from the literature. With this extension to the CSDT, the shear strength of steel fibre reinforced concrete beams without stirrups could be estimated. An extensive database is developed from the literature in order to evaluate, compare, and analyse the shear capacity of SFRC beams. The analysis indicates that two models are capable of predicting the shear strength of SFRC beams with reasonable accuracy. The mean, standard deviation, and coefficient of variation are 0.9, 0.28, 0.31 and 1.1, 0.33 and 0.30 respectively. The main geometric variables of the steel fibres that influenced the shear strength are the length, diameter, and fibre type (hooked, crimped, and straight). From the comparison between the results in the database and the proposed extensions to the CSDT it is found that the critical shear displacement of Δcr = 0.025 mm, gives reasonable results for SFRC. As such, this proposed method can be used to estimate the shear strength of SDRC based on a mechanical model.","Beam; Critical shear displacement; Database; Shear; Steel fibre reinforced concrete","en","conference paper","Internation Federation for Structural Concrete (fib)","","","","","Accepted Author Manuscript","","","","","Concrete Structures","","",""
"uuid:32b71fa3-169f-4938-8658-22c9f263b7d3","http://resolver.tudelft.nl/uuid:32b71fa3-169f-4938-8658-22c9f263b7d3","High-resolution imaging and inversion of 3D wavefield data for layered media","Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Yang, B. (TU Delft Applied Geophysics and Petrophysics); Zhang, L. (TU Delft Applied Geophysics and Petrophysics)","","2019","","","en","poster","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:dfd0fd36-1adb-4c51-a850-215f5679620d","http://resolver.tudelft.nl/uuid:dfd0fd36-1adb-4c51-a850-215f5679620d","Reliability-Based Analysis and Life-Cycle Management of Load Tests","Frangopol, Dan M. (Lehigh University); Yang, David Y. (Lehigh University); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Steenbergen, Raphaël D.J.M. (TNO; Universiteit Gent)","Lantsoght, Eva (editor)","2019","This chapter reviews concepts related to the uncertainties associated with structures and how the results of load tests can be used to reduce these uncertainties. When an existing bridge is subjected to a load test, it is known that the capacity of the cross section is at least equal to the largest load effect that was successfully resisted. As such, the probability density function of the capacity can be truncated after the load test, and the reliability index can be recalculated. These concepts can be applied to determine the required target load for a proof load test to demonstrate that a structure fulfills a certain reliability index. Whereas the available methods focus on member strength and the evaluation of isolated members, a more appropriate approach for structures would be to consider the complete structure in this reliability-based approach. For this purpose, concepts of systems reliability are introduced. It is also interesting to place load testing decisions within the entire life cycle of a structure. A cost-optimization analysis can be used to determine the optimum time in the life cycle of the structure to carry out a load test.","","en","book chapter","CRC Press / Balkema - Taylor & Francis Group","","","","","Accepted Author Manuscript","","2020-07-15","","","Concrete Structures","","",""
"uuid:1df54921-b164-4d4d-a796-cd1c910049ea","http://resolver.tudelft.nl/uuid:1df54921-b164-4d4d-a796-cd1c910049ea","Fully convolutional networks for street furniture identification in panorama images","Ao, Y. (University of Twente); Wang, J. (TU Delft Optical and Laser Remote Sensing); Zhou, M. (Chinese Academy of Sciences); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Yang, M. Y. (University of Twente)","","2019","Panoramic images are widely used in many scenes, especially in virtual reality and street view capture. However, they are new for street furniture identification which is usually based on mobile laser scanning point cloud data or conventional 2D images. This study proposes to perform semantic segmentation on panoramic images and transformed images to separate light poles and traffic signs from background implemented by pre-trained Fully Convolutional Networks (FCN). FCN is the most important model for deep learning applied on semantic segmentation for its end to end training process and pixel-wise prediction. In this study, we use FCN-8s model that pre-trained on cityscape dataset and finetune it by our own data. The results show that in both pre-trained model and fine-tuning, transformed images have better prediction results than panoramic images.","Fully Convolutional Networks; Object Identification; Panoramic Images; Semantic Segmentation; Street Furniture","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:06f53594-fd19-417f-a362-829d2f724c27","http://resolver.tudelft.nl/uuid:06f53594-fd19-417f-a362-829d2f724c27","Visualised Frames: How Sketching Influences Framing Behaviour in Design Teams","Yang, Yujing (Student TU Delft); Brik, N.J. (TU Delft Medezeggenschapsondersteuning; Student TU Delft); de Jong, Peter (Student TU Delft); Gonçalves, M. (TU Delft Methodologie en Organisatie van Design)","Badke Schaub, Petra (editor); Kleinsmann, Maaike (editor)","2019","Framing is a crucial skill for connecting problem and solution spaces in the creative design process, both for individuals and teams. Frames are implicit in individuals’ cognitive thinking, but the creation of shared frames plays a vital role in collaborative design. Many studies have attempted to describe the framing process, but little is still known about how to support designers in framing, specifically in teams. This paper addresses this gap, by exploring the connection between sketching and framing within interdisciplinary teams. Following a qualitative and explorative approach, we have investigated the process and outcome of five interdisciplinary teams. We identified that sketching assists in the creation and elaboration of frames. Furthermore, in tandem with discussion and reflection, sketching helps increase the chance of a frame to survive within the design process. Our findings have practical and educational implications for improving the creative design process in interdisciplinary teams.","Collaborative design; Design process; Framing; Sketching; Visualisation","en","conference paper","","","","","","","","","","","Medezeggenschapsondersteuning","","",""
"uuid:f7d73795-9891-48c6-8aae-b5348afec0d3","http://resolver.tudelft.nl/uuid:f7d73795-9891-48c6-8aae-b5348afec0d3","On the value of corner reflectors and surface models in InSAR precise point positioning","Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning); Dheenathayalan, P. (TU Delft Mathematical Geodesy and Positioning); Liao, Mingsheng (Wuhan University); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2019","To correctly interpret the estimated displacements in InSAR point clouds, especially in the built environment, these need to be linked to real-world structures. This requires the accurate and precise 3D positioning of each point. Artificial ground control points (GCPs), such as corner reflectors, serve this purpose, but since they require efforts and resources, there is a need for criteria to assess their usefulness. Here we evaluate the value and necessity of using GCPs for different scenarios, concerning the required efforts, and compare this to alternatives such as digital surface models (DSM) and advanced (geo) physical corrections. We consider single-epoch as well as multi-epoch GCP deployment, reflect on the number of GCPs required in relation to the number of SAR data acquisitions, and compare this with digital surface models of different quality levels. Analyzing the geolocation performance using TerraSAR-X and Sentinel-1 data, we evaluate the pros and cons of various deployment options and show that the multi-epoch deployment of a GCP yields optimal geolocalization results in terms of precision, accuracy, and reliability.","Corner reflector; Geolocalization; LiDAR DSM; Persistent scatterer; SAR; Synthetic aperture radar interferometry","en","journal article","","","","","","Accepted Author Manuscript","","2021-10-25","","","Mathematical Geodesy and Positioning","","",""
"uuid:46a34a42-3bd5-4620-9f00-986a356c4fea","http://resolver.tudelft.nl/uuid:46a34a42-3bd5-4620-9f00-986a356c4fea","Stop Criteria for Flexure for Proof Load Testing of Reinforced Concrete Structures","Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Yang, Y. (TU Delft Concrete Structures); van der Veen, C. (TU Delft Concrete Structures); Hordijk, D.A. (TU Delft Concrete Structures); de Boer, A (Ane de Boer Technisch Advies)","","2019","Existing bridges with large uncertainties can be assessed with a proof load test. In a proof load test, a load representative of the factored live load is applied to the bridge at the critical position. If the bridge can carry this load without distress, the proof load test shows experimentally that the bridge fulfills the requirements of the code. Because large loads are applied during proof load tests, the structure or element that is tested needs to be carefully monitored during the test. The monitored structural responses are interpreted in terms of stop criteria. Existing stop criteria for flexure in reinforced concrete can be extended with theoretical considerations. These proposed stop criteria are then verified with experimental results: reinforced concrete beams failing in flexure and tested in the laboratory, a collapse test on an existing reinforced concrete slab bridge that reached flexural distress, and the pilot proof load tests that were carried out in the Netherlands and in which no distress was observed. The tests in which failure was obtained are used to evaluate the margin of safety provided by the proposed stop criteria. The available pilot proof load tests are analyzed to see if the proposed stop criteria are not overly conservative. The result of this comparison is that the stop criteria are never exceeded. Therefore, the proposed stop criteria can be used for proof load tests for the failure mode of bending moment in reinforced concrete structures.","Assessment; Bending moment capacity; Crack width; Field test; Proof load test; Reinforced concrete; Reinforced concrete bridge; Strain","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:bce3060f-b70c-4e75-8292-acdfe6a43f50","http://resolver.tudelft.nl/uuid:bce3060f-b70c-4e75-8292-acdfe6a43f50","Research on Simulation and Performance Optimization of Mach 4 Civil Aircraft Propulsion Concept","Chen, Min (Beihang University); Jia, Zihao (Beihang University); Tang, Hailong (Beihang University); Xiao, Yi (Aero Engine Academy of China); Yang, Yonghang (AECC Sichuan Gas Turbine Research Establishment); Yin, F. (TU Delft Flight Performance and Propulsion)","","2019","Supersonic civil aircraft is of a promising area in the development of future civil transport, and aircraft propulsion system is one of the key issues which determine the success of the aircraft. To get a good conceptual design and performance investigation of the supersonic civil aircraft engine, in this article, a fast, versatile as well as trust-worthy numerical simulation platform was established to analyze the Mach 4 turbine-based combined cycle (TBCC) engine concept so as to be applied to the supersonic civil aircraft. First, a quick and accurate task requirement analysis module was newly established to analyze the mission requirement of the Mach 4 supersonic civil aircraft. Second, the TBCC engine performance simulation model was briefly presented and the number of engines on the supersonic civil aircraft was analyzed, considering single engine inoperative. Third, the Stone model and the DLR method were investigated to estimate the engine jet noise and the NO x emission of the Mach 4 supersonic civil aircraft. Finally, a multiobjective optimization tool made up of a response surface method and a genetic algorithm was developed to optimize the design parameters and the control law of the TBCC engine, in order to make the Mach 4 supersonic civil aircraft engine with better performance, lower noise, and lower emissions. The uniqueness of the developed analysis tool lies in that it affords a numerical simulation platform capable of investigating the task requirement analysis module of the supersonic civil aircraft, engine jet noise prediction model, and the NO x emission prediction model, as well as a multiobjective performance optimization tool, which is beneficial for the conceptual design and performance research of Mach 4 supersonic civil aircraft’s propulsion system.","","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:0eef3141-4a1d-4bfe-aab2-a3d5cf9bcb64","http://resolver.tudelft.nl/uuid:0eef3141-4a1d-4bfe-aab2-a3d5cf9bcb64","PDMS Microlenses for Focusing Light in Narrow Band Imaging Diagnostics","Costa, Adriana C. (University of Minho; Chongqing Technology and Business University); Pimenta, Sara (University of Minho); Ribeiro, João F. (University of Minho); Silva, Manuel F. (University of Minho); Wolffenbuttel, R.F. (TU Delft Electronic Instrumentation); Dong, Tao (Chongqing Technology and Business University; University of South-Eastern Norway); Yang, Zhaochu (Chongqing Technology and Business University); Correia, José H. (University of Minho; Chongqing Technology and Business University)","","2019","Minimally invasive medical devices can greatly benefit from Narrow Band Imaging (NBI) diagnostic capabilities, as different wavelengths allow penetration of distinct layers of the gastrointestinal tract mucosa, improving diagnostic accuracy and targeting different pathologies. An important performance parameter is the light intensity at a given power consumption of the medical device. A method to increase the illumination intensity in the NBI diagnostic technique was developed and applied to minimally invasive medical devices (e.g., endoscopic capsules), without increasing the size and power consumption of such instruments. Endoscopic capsules are generally equipped with light-emitting diodes (LEDs) operating in the RGB (red, green, and blue) visible light spectrum. A polydimethylsiloxane (PDMS) µ-lens was designed for a maximum light intensity at the target area of interest when placed on top of the LEDs. The PDMS µ-lens was fabricated using a low-cost hanging droplet method. Experiments reveal an increased illumination intensity by a factor of 1.21 for both the blue and green LEDs and 1.18 for the red LED. These promising results can increase the resolution of NBI in endoscopic capsules, which can contribute to early gastric lesions diagnosis.","minimally invasive medical devices; Narrow Band Imaging (NBI); Polydimethylsiloxane (PDMS) µ-lens","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:c364a89c-db0b-4b5d-bcd2-e321a5c70db4","http://resolver.tudelft.nl/uuid:c364a89c-db0b-4b5d-bcd2-e321a5c70db4","Melting behaviour of iron ore pellet bed under nut coke mixed charge conditions","Gavel, D.J. (TU Delft (OLD) MSE-3); Adema, A.T. (Tata Steel); van der Stel, Jan (Tata Steel); Kwakernaak, C. (TU Delft (OLD) MSE-1); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2019","The melting and dripping behaviour of an iron ore pellet bed mixed with nut coke are investigated through a series of quenching, melting and dripping experiments. In the melting bed of iron ore pellets, nut coke acts as a frame to maintain the passage for the gas flow. The iron carburisation level of the pellet shell is found to control the melting temperature of the pellet bed. Simultaneous and layer-wise melting is observed for the pellet bed with and without mixed nut coke, respectively.
In the case of pellet bed mixed with nut coke, the liquid dripping starts at a lower temperature (1500°C) compared to the case when nut coke is absent (1518°C). Subsequently, a steady rate of liquid dripping is observed for the pellet bed mixed with nut coke. However, in the case of the pellet bed without nut coke, most of the liquid drips (~50 wt%) at high temperature (1550°C). The difference in carbon content of the quenched pellets and the dripped metal reveals that a substantial iron carburisation occurs when liquid iron flows over the regular coke particles.
The nut coke is noticed to consumed preferentially in place of the regular coke. Additionally, the total coke consumption decreases with an increase in nut coke addition in the pellet bed. These results give support for more extensive use of nut coke as a replacement of the regular coke in the ironmaking blast furnace.","ironmaking; dripping; melting; iron carburisation; pressure drop; Nut coke; blast furnace; Melting; Dripping; Ironmaking; Blast furnace; Pressure drop; Iron carburisation","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:d72961b8-3c5c-4e88-bd8a-3c3a110fc9a1","http://resolver.tudelft.nl/uuid:d72961b8-3c5c-4e88-bd8a-3c3a110fc9a1","Effect of graphite on hot metal desulphurisation","Schrama, F.N.H. (TU Delft (OLD) MSE-3; Tata Steel Europe Limited); Beunder, Elisabeth M. (Tata Steel Europe Limited); Visser, H. (Tata Steel Europe Limited); Boom, R. (TU Delft (OLD) MSE-1); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2019","During the magnesium-lime co-injection process for hot metal desulphurisation, graphite can precipitate as a result of carbon oversaturation. The formed graphite is known to form a layer between the slag and the hot metal. This potentially blocks the sulphides, that are formed during the desulphurisation process, to reach the slag phase thus hampering the desulphurisation efficiency. In this research it was aimed to obtain experimental evidence for the postulated hampering effect of graphite on the hot metal desulphurisation efficiency at an industrial process. In 2018 at Tata Steel in IJmuiden, the Netherlands, the carbon concentration in the hot metal was measured after the reagent injection, instead of being calculated assuming carbon saturation of the hot metal. This provides the opportunity to predict graphite formation during the process. Although a correlation is found between graphite formation and specific magnesium consumption, which is a measure for desulphurisation efficiency, the effect could not be directly proven, as too many other parameters could have influenced the desulphurisation process. Data analysis does show that the observed correlation could not be attributed to temperature and initial sulphur concentration of the hot metal, which are the two most important factors for the desulphurisation efficiency. The observed correlation between graphite formation and desulphurisation efficiency is significant at low initial sulphur concentrations (<225 ppm) and insignificant at high initial sulphur concentrations (>225 ppm). Key Words Hot metal desulphurisation, magnesium consumption, graphite precipitation, kish","Hot Metal Desulphurisation; magnesium consumption; graphite precipitation; kish","en","conference paper","VDeH Verlag Stahleisen","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:f3d27996-7301-4063-ba82-331d609f0908","http://resolver.tudelft.nl/uuid:f3d27996-7301-4063-ba82-331d609f0908","Prediction of density and volume variation of hematite ore particles during in-flight melting and reduction","Chen, Z. (TU Delft (OLD) MSE-3); Qu, Ying xia (Northeastern University); Zeilstra, Christiaan (Tata Steel); Van Der Stel, Jan (Tata Steel); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2019","HIsarna is a promising ironmaking technology to reduce CO 2 emission. Information of phase transformation is essential for reaction analysis of the cyclone reactor of the HIsarna process. In addition, data of density and volume of the ore particles are necessary for estimation of the residence time of the particles in the cyclone reactor. Phase transformation of iron ore particles was experimentally studied in a drop-tube furnace under simulated cyclone conditions and compared with thermodynamic calculation. During the pre-reduction process inside the reactor, the mineralogy of iron ore particles transforms sequentially from hematite to sub-oxides. The density changes of the particles during the melting and reduction can be predicted based on the phase composition and temperature. Therefore, density models in the studies were evaluated with reported experimental data of slag. As a result, a more reliable density model was developed to calculate the density of the formed slag containing mainly FeO–Fe 2 O 3 . The density and volume of the partially reduced ore particles or melt droplets were estimated based on this model. The results show that the density of the ore particles decreases by 15.1% at most along the progressive reduction process. Furthermore, the model results also indicate that heating, melting and reduction of the ore could lead to 6.63–9.37% swelling of the particles, which is mostly contributed by thermal expansion. It would result in corresponding variation in velocity of the ore particles or melt droplets during the flight inside the reactor.","Hematite ore particle; HIsarna; Ironmaking process; Ore density change; Phase transformation; Smelting reduction","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:0ff5953c-de67-4be0-a08c-da7759aa8362","http://resolver.tudelft.nl/uuid:0ff5953c-de67-4be0-a08c-da7759aa8362","Linking Persistent Scatterers to the Built Environment Using Ray Tracing on Urban Models","Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Dheenathayalan, P. (TU Delft Mathematical Geodesy and Positioning); Biljecki, Filip (National University of Singapore); Liao, Mingsheng (Wuhan University); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2019","Persistent scatterers (PSs) are coherent measurement points obtained from time series of satellite radar images, which are used to detect and estimate millimeter-scale displacements of the terrain or man-made structures. However, associating these measurement points with specific physical objects is not straightforward, which hampers the exploitation of the full potential of the data. We have investigated the potential for predicting the occurrence and location of PSs using generic 3-D city models and ray-tracing methods, and proposed a methodology to match PSs to the pointlike scatterers predicted using RaySAR, a ray-tracing synthetic aperture radar simulator. We also investigate the impact of the level of detail (LOD) of the city models. For our test area in Rotterdam, we find that 10% and 37% of the PSs detected in a stack of TerraSAR-X data can be matched with point scatterers identified by ray tracing using LOD1 and LOD2 models, respectively. In the LOD1 case, most matched scatterers are at street level while LOD2 allows the identification of many scatterers on the buildings. Over half of the identified scatterers easily correspond to identify double or triple-bounce scatterers. However, a significant fraction corresponds to higher bounce levels, with approximately 25% being fivefold-bounce scatterers.","Level of detail (LOD); persistent scatterers (PSs); ray tracing; simulation; synthetic aperture radar (SAR); Solid modeling; Scattering; Geometry; Urban areas; Object oriented modeling","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:ea44a0be-9eeb-4912-903f-f99f3f47cf42","http://resolver.tudelft.nl/uuid:ea44a0be-9eeb-4912-903f-f99f3f47cf42","Long-Term Cumulative Effects of Intra-Annual Variability of Unsteady River Discharge on the Progradation of Delta Lobes: A Modeling Perspective","Gao, Weilun (Beijing Normal University); Shao, Dongdong (Beijing Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; Beijing Normal University); Nardin, William (University of Maryland Center for Environmental Science); Rajput, Prateek (Indian Institute of Technology Kanpur); Yang, Wei (Beijing Normal University); Sun, Tao (Beijing Normal University); Cui, Baoshan (Beijing Normal University)","","2019","Rivers, regardless of their scales and geographic locations, are characterized with natural and human-induced variability in their discharges. While previous studies have established the effects of both interannual and intra-annual variabilities of unsteady river discharge on delta morphological evolution, the long-term cumulative effects of intra-annual unsteadiness on the progradation of delta lobes has remained hitherto elusive. To address this issue, numerical experiments using simplified unsteady discharges were performed in Delft3D and compared with those assuming constant bank-full discharges. A modified box model was further used to explore the effects of varying intra-annual unsteadiness on the progradation of delta lobes at reduced computational cost. While the overall trends of the progradation and the ultimate area created were found to be similar between the unsteady discharge scenarios and their corresponding constant bank-full discharge scenarios, the nuances of intermittent zig-zag variation in natural delta lobe area were well reproduced by model simulations assuming unsteady river discharges. In addition, long-term predictions suggested the potential existence of a tipping point in the area growth trajectory beyond which the delta lobe area declines during periods of low discharge. When confounding factors such as waves and variable sediment capture ratio were further taken into consideration, simulation results for unsteady river discharge scenarios exhibit significant deviations from constant bank-full discharge scenarios. The implications of the modeling results for delta protection and restoration measures, such as the water-sediment regulation scheme in the Yellow River and artificial channel diversions in the Mississippi River Delta, are also discussed.","delta progradation; delta restoration; numerical modeling; unsteady river discharge","en","journal article","","","","","","Accepted Author Manuscript","","","","","Coastal Engineering","","",""
"uuid:9e7cc77e-267b-45ef-a59f-f8476bb14a2f","http://resolver.tudelft.nl/uuid:9e7cc77e-267b-45ef-a59f-f8476bb14a2f","Critical proof load for proof load testing of concrete bridges based on scripted FEM analysis","Chen, X (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Evangeliou, P. (DIANA FEA); Van Der Ham, H. (Ministry of Infrastructure and the Environment)","Frangopol, Dan M. (editor); Caspeele, Robby (editor); Taerwe, Luc (editor)","2019","As the bridge stock in The Netherlands and Europe is ageing, various methods to analyse the capacity of existing bridges are being studied. Proof load testing is one of the method to test the capacity of bridges by applying loads on the existing concrete bridges with small spans. Because of the fact that neither the actual traffic load nor the design traffic load required by Eurocode can be directly applied on the target bridge in real-life proof load testing, an equivalent wheel load has to be applied instead. The magnitude and the location of the equivalent wheel load is determined in such a way that it generates the same magnitude of inner forces in the cross section. Such calculation is usually done by linear finite element analyses (FEA). Whereas, different bridges have different geometry such as length, width, thickness, skewness, number of spans and lanes etc. For each configuration, FEA has to be done first to determine the loading position. The main aim of this paper is to study the relation between bridge geometry and unfavourable loading positions. Based on that, a guidance tool is developed for the determination of the critical proof load testing locations for the practice. To achieve this goal, a Python script has been developed using the general purpose FEM platform DIANA FEA. The script enables the automatic generation and analysis of a bridge model with different geometries and loading conditions. By applying the Eurocode Load Model 1 (LM1) at variable locations, the most unfavourable loading positions for the proof load are obtained at the corresponding boundary conditions. The output of the study provides a convenient tool for future proof load testing.","","en","conference paper","CRC Press / Balkema - Taylor & Francis Group","","","","","","","","","","Concrete Structures","","",""
"uuid:dd7271c2-7528-4369-8454-c27c2b4e9726","http://resolver.tudelft.nl/uuid:dd7271c2-7528-4369-8454-c27c2b4e9726","In Situ Infrared Spectroscopy Reveals Persistent Alkalinity near Electrode Surfaces during CO2 Electroreduction","Yang, K. (TU Delft ChemE/Materials for Energy Conversion and Storage); Kas, R. (TU Delft ChemE/Materials for Energy Conversion and Storage); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage)","","2019","Over the past decade, electrochemical carbon dioxide reduction has become a thriving area of research with the aim of converting electricity to renewable chemicals and fuels. Recent advances through catalyst development have significantly improved selectivity and activity. However, drawing potential dependent structure-activity relationships has been complicated, not only due to the ill-defined and intricate morphological and mesoscopic structure of electrocatalysts, but also by immense concentration gradients existing between the electrode surface and bulk solution. In this work, by using in situ surface enhanced infrared absorption spectroscopy (SEIRAS) and computational modeling, we explicitly show that commonly used strong phosphate buffers cannot sustain the interfacial pH during CO2 electroreduction on copper electrodes at relatively low current densities, <10 mA/cm2. The pH near the electrode surface was observed to be as much as 5 pH units higher compared to bulk solution in 0.2 M phosphate buffer at potentials relevant to the formation of hydrocarbons (-1 V vs RHE), even on smooth polycrystalline copper electrodes. Drastically increasing the buffer capacity did not stand out as a viable solution for the problem as the concurrent production of hydrogen increased dramatically, which resulted in a breakdown of the buffer in a narrow potential range. These unforeseen results imply that most of the studies, if not all, on electrochemical CO2 reduction to hydrocarbons in CO2 saturated aqueous solutions were evaluated under mass transport limitations on copper electrodes. We underscore that the large concentration gradients on electrodes with high local current density (e.g., nanostructured) have important implications on the selectivity, activity, and kinetic analysis, and any attempt to draw structure-activity relationships must rule out mass transport effects.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:30601b5d-2387-4c96-a512-d878b706bb48","http://resolver.tudelft.nl/uuid:30601b5d-2387-4c96-a512-d878b706bb48","High temperature oxidation pre-treatment of textured c-Si wafers passivated by a-Si:H","Limodio, G. (TU Delft Photovoltaic Materials and Devices); D'Herouville, G. (Student TU Delft); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2019","This work shows an alternative surface cleaning method for c-Si wafers to replace the standard chemical procedures as RCA or HNO 3 which involve hazardous chemicals or unstable processes. The method consists in a high-temperature oxidation treatment (HTO) performed in a classical tube furnace that incorporates organic and metal particles present on the c-Si surfaces in the growing SiO 2 layer. The result is as a reliable pre-treatment method for obtaining less defective c-Si surfaces ready for solar cell fabrication after SiO 2 removal. To test the surface passivation quality obtained with our alternative cleaning method, we grow amorphous silicon (a-Si:H) layers by plasma enhanced chemical vapor deposition on both sides of the c-Si wafer and systematically compare the effective carrier lifetime (τ eff ) and implied V OC (iV oc ) to the wafer treated with the standard cleaning in our laboratory. We optimize HTO treatment time reaching τ eff of ∼6 ms and iV oc of 721 mV for the best sample. We ascribe the improved passivation quality using HTO to two concurrent factors. Firstly, the encapsulation of defects into SiO 2 layer that is then etched prior a-Si:H deposition and secondly, to modification of the pyramids’ morphology that facilitates the surface passivation. SEM pictures and reflection measurements support the latter hypothesis.","Amorphous silicon passivation; Defect encapsulation; Silicon heterojunction solar cells; Thermal oxidation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:591d29b2-e0b9-4811-982a-6184a06cc22a","http://resolver.tudelft.nl/uuid:591d29b2-e0b9-4811-982a-6184a06cc22a","The hampering effect of precipitated carbon on hot metal desulfurization with magnesium","Schrama, F.N.H. (TU Delft (OLD) MSE-3; Tata Steel Europe Limited); Beunder, Elisabeth M. (Tata Steel Europe Limited); Visser, H. (TU Delft (OLD) MSE-3; Tata Steel Europe Limited); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2019","Carbon may precipitate during the hot metal desulfurization (HMD) process as a result of carbon oversaturation because of temperature decrease. The precipitated carbon flakes form a layer between hot metal and slag. It is postulated that this carbon layer hampers desulfurization with magnesium by preventing MgS particles from reaching the slag phase. At Tata Steel in IJmuiden, the Netherlands, carbon in hot metal is measured in 657 heats after reagent injection. With this data, it can be determined whether the hampering effect of precipitated carbon on MgS flotation has a significant effect on the performance of the industrial HMD process. Plant data show a correlation between the precipitated carbon and the specific magnesium consumption for hot metal with a low initial sulfur concentration (below 225 ppm). This correlation cannot be found for hot metal with a higher initial sulfur concentration (above 275 ppm). Furthermore, a sulfur mass balance is made over the converter process, that shows no effect of carbon precipitation during HMD on resulfurization in the converter. The limited experimental accuracy of the plant data prevents a quantitative description of the hampering effect. The measurements do suggest that the effect is small.","carbon precipitation; carbon saturation; hot metal desulfurization; magnesium consumption","en","journal article","","","","","","Gepubliceerd in Special Issue: Highlights from ESTAD 2019","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:3aeb90a8-e115-4074-b62c-6d8d664f7178","http://resolver.tudelft.nl/uuid:3aeb90a8-e115-4074-b62c-6d8d664f7178","Probabilistic recursive reasoning for multi-agent reinforcement learning","Wen, Ying (University College London (UCL)); Yang, Yaodong (University College London (UCL)); Luo, Rui (University College London (UCL)); Wang, Jun (University College London (UCL)); Pan, W. (TU Delft Robot Dynamics)","","2019","Humans are capable of attributing latent mental contents such as beliefs, or intentions to others. The social skill is critical in everyday life to reason about the potential consequences of their behaviors so as to plan ahead. It is known that humans use this reasoning ability recursively, i.e. considering what others believe about their own beliefs. In this paper, we start from level-1 recursion and introduce a probabilistic recursive reasoning (PR2) framework for multi-agent reinforcement learning. Our hypothesis is that it is beneficial for each agent to account for how the opponents would react to its future behaviors. Under the PR2 framework, we adopt variational Bayes methods to approximate the opponents' conditional policy, to which each agent finds the best response and then improve their own policy. We develop decentralized-training-decentralized-execution algorithms, PR2-Q and PR2-Actor-Critic, that are proved to converge in the self-play scenario when there is one Nash equilibrium. Our methods are tested on both the matrix game and the differential game, which have a non-trivial equilibrium where common gradient-based methods fail to converge. Our experiments show that it is critical to reason about how the opponents believe about what the agent believes. We expect our work to contribute a new idea of modeling the opponents to the multi-agent reinforcement learning community.","","en","poster","","","","","","","","","","","Robot Dynamics","","",""
"uuid:1e2777b3-a5b0-410e-a727-9af92ecaf8a2","http://resolver.tudelft.nl/uuid:1e2777b3-a5b0-410e-a727-9af92ecaf8a2","Primary production and recycling of critical metals","Yang, Y. (TU Delft (OLD) MSE-3)","Offerman, Erik (editor)","2019","Metals are important engineering materials, and are produced from non-renewable natural resources. This chapter starts with the criticality and scarcity issue of metals and metal resources. Then various available extraction and refining technologies and processes are introduced for primary production and recycling of metals. The principles of pyrometallurgy, hydrometallurgy and electro-metallurgy (electrowinning and electro-refining) are described for primary production of metals. The applications of metallurgical technologies to metals recovery from secondary resources (metal scrap and waste residues), i.e. recycling of metals, are discussed. As the last part, the extraction and recycling of cobalt, one of the most critical metals, is given as an example to illustrate how different metallurgical technologies are used and combined to extract a metal from different types of raw materials.","","en","book chapter","World Scientific","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:c4a586f9-18ac-478a-973d-179000dc3401","http://resolver.tudelft.nl/uuid:c4a586f9-18ac-478a-973d-179000dc3401","Characterization of bitumen modified with pyrolytic carbon black from scrap tires","Wang, H. (TU Delft Pavement Engineering); Lu, Guoyang (Rheinisch-Westfälische Technische Hochschule); Feng, Shuyin (University of Bristol); Wen, Xiaobo (JSTI Group); Yang, Jun (Southeast University)","","2019","Pyrolytic carbon black (CB p ) from scrap tire pyrolysis is a potential modifier for the bitumen industry. Binders containing different contents of CB p were prepared and experimentally investigated to examine the effects of CB p on the electrical and thermal conductivity, conventional physical properties, rheological properties, high-temperature antirutting performance, aging resistance, and storage stability. Laboratory test results indicated that the incorporation of CB p effectively improves the electrothermal properties, rheological properties, high-temperature rutting resistance, and aging resistance. It also increases the viscosity and decreases the storage stability of bitumen. The study confirms that CB p -modified bitumen with proper selection of content can be a multifunctional paving material.","Bitumen; Carbon black; Electrical conductivity; Rheological property; Scrap tire pyrolysis; Storage stability","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:a0a5dd9b-f411-4b25-a5c6-22094fced256","http://resolver.tudelft.nl/uuid:a0a5dd9b-f411-4b25-a5c6-22094fced256","High-Mobility Hydrogenated Fluorine-Doped Indium Oxide Film for Passivating Contacts c-Si Solar Cells","Han, C. (TU Delft Photovoltaic Materials and Devices; Nankai University; Shenzhen Institute of Wide-bandgap Semiconductors); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Zhao, Y. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Tijssen, M. (TU Delft Photovoltaic Materials and Devices); Bento Montes, A.R. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2019","Broadband transparent conductive oxide layers with high electron mobility (μe) are essential to further enhance crystalline silicon (c-Si) solar cell performances. Although metallic cation-doped In2O3 thin films with high μe (>60 cm2 V-1 s-1) have been extensively investigated, the research regarding anion doping is still under development. In particular, fluorine-doped indium oxide (IFO) shows promising optoelectrical properties; however, they have not been tested on c-Si solar cells with passivating contacts. Here, we investigate the properties of hydrogenated IFO (IFO:H) films processed at low substrate temperature and power density by varying the water vapor pressure during deposition. The optimized IFO:H shows a remarkably high μe of 87 cm2 V-1 s-1, a carrier density of 1.2 × 1020 cm-3, and resistivity of 6.2 × 10-4 ω cm. Then, we analyzed the compositional, structural, and optoelectrical properties of the optimal IFO:H film. The high quality of the layer was confirmed by the low Urbach energy of 197 meV, compared to 444 meV obtained on the reference indium tin oxide. We implemented IFO:H into different front/back-contacted solar cells with passivating contacts processed at high and low temperatures, obtaining a significant short-circuit current gain of 1.53 mA cm-2. The best solar cell shows a conversion efficiency of 21.1%.","electron mobility; hydrogenated fluorine-doped indium oxide (IFO:H); passivating contacts; silicon heterojunction (SHJ); transparent conductive oxide (TCO)","en","journal article","","","","","","green","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:250a2df4-e2bd-4611-ae11-7ffe79be40bd","http://resolver.tudelft.nl/uuid:250a2df4-e2bd-4611-ae11-7ffe79be40bd","Increasing variable stiffness actuator-response using an electromagnetic spring","Yang, Han Ping (Industrial Technology Research Institute of Taiwan); Jang, Chau Shin (Industrial Technology Research Institute of Taiwan); van der Kooij, H. (TU Delft Biomechatronics & Human-Machine Control; University of Twente)","","2019","An electromagnetic spring-based variable stiffness actuator is a new concept with the potential to change stiffness faster than mechanical springs can; however, its nonlinear elastic property is a challenge in actuator design. In this paper, the torque response of a custom-made electromagnetic spring was studied using a ramping force test and electromagnetic simulation. A two-zone linear region, from 0° to 2° and 2° to 6.5°, was observed and explained through magnetic flux simulation to provide insight into the fundamentals of the electromagnetic spring. An unusual impedance response was also noted from this regional linearity, appeared on a step gain in Bode plot of end-point impedance in a dynamic test.","","en","conference paper","IEEE","","","","","Accepted Author Manuscript","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:7ffde750-054d-425f-8356-ff5806295030","http://resolver.tudelft.nl/uuid:7ffde750-054d-425f-8356-ff5806295030","Leadership and governance tools for village sustainable development in China","Liu, Yi (Dalian University of Technology); Yang, W. (TU Delft Organisation & Governance)","","2019","The Chinese central government has recognized that village-government networks are promising for the sustainable development of rural villages. Though many local governments tend to deploy various hands-on or hand-off governance tools to influence the sustainable development of village-governance networks, the number of villages successfully achieving ""good governance"" is still rare. Therefore, this study empirically elaborates on the application of three classic tools of governance networks, analyzing how leaders of local government and village communities influence the effectiveness of these tools. The data were collected by snowball interviews, careful observations, and documentary analysis in Xiaonan village, a representatively successful case of rural-village governance networks in China. We detected that governance tools are often inadequately used and under-development in Chinese rural-village governance networks, accompanying a strong interaction between the effectiveness of tools and leadership. Excellent leadership is necessary for a successful rural-village governance network and its scaling-up.","China; Governance network; Hands-off; Hands-on; Leadership; Meta-governance; Tools; Village","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:fe624410-022f-45f6-bd54-6c8fe624f96a","http://resolver.tudelft.nl/uuid:fe624410-022f-45f6-bd54-6c8fe624f96a","Microstructure-based relative humidity in cementitious system due to self-desiccation","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Ouyang, Xiaowei (Guangzhou University); Yang, Zhengxian (Fuzhou University)","","2019","The internal relative humidity (RH) plays a crucial role in most of the concrete properties. Self-desiccation caused by continuous cement hydration is a major factor affecting the RH of concrete. This paper investigates the relationship between RH and microstructure for cementitious systems in the case of self-desiccation. A series of paste specimens prepared with different binder and water-binder-ratio (w/b) were cured under sealed conditions from 1 day to 1.5 years. The RH and microstructure of the paste specimens were measured. The microstructure characteristics under study include porosity, pore size, evaporable and non-evaporable water content. The results reveal that the RH of cementitious system drops to a great extent in the first 105 days' hydration and decreases slowly afterwards. The blended materials such as fly ash, slag or limestone powder have different influences on the RH. A mathematical model between RH and the average pore diameter is proposed for cementitious systems under self-desiccation, regardless of age, w/b or cement type.","Average pore diameter; Cementitious system; Microstructure; Relative humidity; Self-desiccation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:6d90d69a-714c-43f5-9d58-c5966f18bf30","http://resolver.tudelft.nl/uuid:6d90d69a-714c-43f5-9d58-c5966f18bf30","First-principles investigation of the adsorption behaviors of CH 2 O on BN, AlN, GaN, InN, BP, and P monolayers","Feng, Chuang (Guilin University of Electronic Technology); Qin, Hongbo (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2019","CH 2 O is a common toxic gas molecule that can cause asthma and dermatitis in humans. In this study the adsorption behaviors of the CH 2 O adsorbed on the boron nitride (BN), aluminum nitride (AlN), gallium nitride (GaN), indium nitride (InN), boron phosphide (BP), and phosphorus (P) monolayers were investigated using the first-principles method, and potential materials that could be used for detecting CH 2 O were identified. The gas adsorption energies, charge transfers and electronic properties of the gas adsorption systems have been calculated to study the gas adsorption behaviors of CH 2 O on these single-layer materials. The electronic characteristics of these materials, except for the BP monolayer, were observed to change after CH 2 O adsorption. For CH 2 O on the BN, GaN, BP, and P surfaces, the gas adsorption behaviors were considered to follow a physical trend, whereas CH 2 O was chemically adsorbed on the AlN and InN monolayers. Given their large gas adsorption energies and high charge transfers, the AlN, GaN, and InN monolayers are potential materials for CH 2 O detection using the charge transfer mechanism.","CH O; First-principles calculation; Gas sensor; Monolayer materials","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0475d555-416d-4feb-97fb-066c951c6c5a","http://resolver.tudelft.nl/uuid:0475d555-416d-4feb-97fb-066c951c6c5a","How To Break the Janus Effect of H 2 O 2 in Biocatalysis? Understanding Inactivation Mechanisms To Generate more Robust Enzymes","Zhao, Ze Xin (South China University of Technology); Lan, Dongming (South China University of Technology); Tan, Xiyu (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Bornscheuer, Uwe T. (Greifswald University); Yang, Bo (South China University of Technology); Wang, Yonghua (South China University of Technology)","","2019","H 2 O 2 , is an attractive oxidant for synthetic chemistry, especially if activated as percarboxylic acid. H 2 O 2 , however, is also a potent inactivator of enzymes. Protein engineering efforts to improve enzyme resistance against H 2 O 2 in the past have mostly focused on tedious probabilistic directed evolution approaches. Here we demonstrate that a rational approach combining multiscale MD simulations and Born-Oppenheimer ab initio QM/MM MD simulations is an efficient approach to rapidly identify improved enzyme variants. Thus, the lipase from Penicillium camembertii was redesigned with a single mutation (I260R), leading to drastic improvements in H 2 O 2 resistance while maintaining the catalytic activity. Also the extension of this methodology to other enzymes is demonstrated.","epoxidation; H O; inactivation; lipase; multiscale MD; QM/MM MD","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-28","","","BT/Biocatalysis","","",""
"uuid:29a0e6be-b306-4a3b-801c-2fc5e19c9b8c","http://resolver.tudelft.nl/uuid:29a0e6be-b306-4a3b-801c-2fc5e19c9b8c","A numerical study on waves induced by wheel-rail contact","Yang, Z. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2019","Recent finite element (FE) simulations have revealed the generation and propagation of waves in rail surfaces induced by wheel-rail frictional rolling. These waves have rarely been addressed in the literature. This paper presents an in-depth analysis of these waves, aiming to give new insights into the contact mechanics, a research area in which waves have generally been ignored. The study first categorises the simulated contact-induced waves according to their generation mechanisms as impact-induced, creepage-induced and perturbation-induced waves. The link between the generation of perturbation-induced waves and the stick-slip contact mechanism is then explored. Next, by examining the rail surface nodal motion that forms the wave, the creepage-induced wave is demonstrated to be a Rayleigh wave; this result also shows that the explicit FE method can effectively simulate physical contact-induced waves and provide reliable dynamic contact solutions. Finally, FE modelling is presented to investigate the effects of surface cracks on the waves, which may contribute to wave-based crack detection.","Crack; Explicit FEM; Rayleigh wave; Wave; Wheel-rail contact","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-02-07","","","Railway Engineering","","",""
"uuid:e27fa935-b576-4eec-826f-050499979d79","http://resolver.tudelft.nl/uuid:e27fa935-b576-4eec-826f-050499979d79","An Efficient Strategy for the Production of Epoxidized Oils: Natural Deep Eutectic Solvent-Based Enzymatic Epoxidation","Zhang, Tianyu (South China University of Technology); Ma, Yunjian (South China University of Technology); Tan, Chin Ping (Universiti Putra Malaysia); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Jianrong (South China University of Technology); Yang, Bo (South China University of Technology); Wang, Yonghua (South China University of Technology)","","2019","Poor H 2 O 2 -resistance by enzymes is a key bottleneck in the epoxidation process of oil by enzymatic methods. In this study, the stability of three lipases, from Aspergillus oryzae lipase (AOL), Aspergillus fumigatus lipase B (AflB), and marine Janibacter (MAJ1), in the presence of H 2 O 2 was evaluated in different types of natural deep eutectic solvents (NADES). This stability was strengthened significantly in the NADES compared to the buffer. Specifically, AOL retained 84.7% of its initial activity in the presence of choline chloride/sorbitol (1:1 M ratio) and 3 mol L −1 H 2 O 2 after 24 h incubation at 40°C. In addition, the two-phase epoxidation process was optimized with AOL in ChCl/sorbitol to reach up to 96.8% conversion under the optimized conditions (molar ratio of octanoic acid/H 2 O 2 /C=C-bonds = 0.3:1.5:1, enzyme loading of 15 U g −1 of soybean oil, ChCl/sorbitol content of 70.0% of the weight of hydrophilic phase, and reaction temperature of 50°C). Moreover, the lipase dispersed in NADES retained approximately 66% of its initial activity after being used for seven batch cycles. Overall, NADES-based enzymatic epoxidation is a feasible and promising strategy for the synthesis of epoxidized oils.","Enzyme catalysis; Epoxidation; Lipase; Natural deep eutectic solvent; Soybean oil","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-09-20","","","BT/Biocatalysis","","",""
"uuid:70e1f2e0-6b78-495f-bb0a-e39a09581be2","http://resolver.tudelft.nl/uuid:70e1f2e0-6b78-495f-bb0a-e39a09581be2","Ink-bottle effect and pore size distribution of cementitious materials identified by pressurization-depressurization cycling mercury intrusion porosimetry","Zhang, Y. (TU Delft Materials and Environment; Fuzhou University); Yang, Bin (Chengdu Design & Research Institute of Building Materials Industry Co.); Yang, Zhengxian (Fuzhou University); Ye, G. (TU Delft Materials and Environment)","","2019","Capturing the long-term performance of concrete must be underpinned by a detailed understanding of the pore structure. Mercury intrusion porosimetry (MIP) is a widely used technique for pore structure characterization. However, it has been proven inappropriate to measure the pore size distribution of cementitious materials due to the ink-bottle effect. MIP with cyclic pressurization-depressurization can overcome the ink-bottle effect and enables a distinction between large (ink-bottle) pores and small (throat) pores. In this paper, pressurization-depressurization cycling mercury intrusion porosimetry (PDC-MIP) is adopted to characterize the pore structure in a range of cementitious pastes cured from 28 to 370 days. The results indicate that PDC-MIP provides a more accurate estimation of the pore size distribution in cementitious pastes than the standard MIP. Bimodal pore size distributions can be obtained by performing PDC-MIP measurements on cementitious pastes, regardless of the age. Water-binder ratio, fly ash and limestone powder have considerable influences on the formation of capillary pores ranging from 0.01 to 0.5 μm.","Cementitious; Ink-bottle; Mercury porosimetry; Pore size distribution; Pressurization-depressurization","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:f58aa038-8395-454c-b6e9-cadc05120201","http://resolver.tudelft.nl/uuid:f58aa038-8395-454c-b6e9-cadc05120201","Supercritical water oxidation of quinoline with moderate preheat temperature and initial concentration","Ren, M. (TU Delft Fluid Mechanics; Xian Jiaotong University); Wang, Shuzhong (Xian Jiaotong University); Yang, Chuang (Xian Jiaotong University); Xu, Haitao (Xian Jiaotong University); Guo, Yang (Xian Jiaotong University); Roekaerts, D.J.E.M. (TU Delft Fluid Mechanics)","","2019","This work reports an experimental study on supercritical water oxidation of quinoline. Moderate preheat temperature (420 °C–510 °C) and initial concentration (1 wt%–10 wt%) are selected to address the possibility of utilizing the heat released during the reaction, in order to realize high conversion rate at relatively low preheat temperature. The effects of temperature, residence time, oxidation ratio, pressure and concentration are analyzed. The results show that considerable conversion can happen at relatively low preheat temperature, while increase in temperature will significantly promote the complete conversion. The yield of carbon dioxide increases with the residence time but there is an upper limit due to the stronger dependence on oxidizer concentration, for which an estimated reaction order is 1.90. When the quinoline concentration is larger than 8 wt%, clear exothermic peaks with temperature rise about 100 °C are detected. These exothermic peaks can be interpreted as a sign of ignition interrupted by the heat loss to the surrounding salt bath. An analogy is made between the start temperatures of these exothermic peaks and the ignition temperatures reported in methanol and isopropanol hydrothermal flame research. We conclude that quinoline solutions can be ignited without co-fuels, at comparable ignition temperature as methanol and isopropanol around 450 °C.","Heat release; Ignition; Initial concentration; Preheat temperature; Quinoline; Supercritical water oxidation (SCWO)","en","journal article","","","","","","Accepted Author Manuscript","","2020-09-29","","","Fluid Mechanics","","",""
"uuid:bad52ad3-b604-47f9-ac7d-f3db5a48f3cd","http://resolver.tudelft.nl/uuid:bad52ad3-b604-47f9-ac7d-f3db5a48f3cd","Numerical modeling of dynamic frictional rolling contact with an explicit finite element method","Yang, Z. (TU Delft Railway Engineering); Deng, X. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2019","The modeling of dynamic frictional rolling contact is crucial for accurately predicting behavior and deterioration of structures under dynamic interactions such as wheel/rail, tire/road, bearings and gears. However, reliable modeling of dynamic frictional rolling contact is challenging, because it requires a careful treatment of friction and a proper consideration of the dynamic effects of the structures on the contact. This study takes the wheel-rail dynamic interaction as an example to systematically explore the core algorithms for the modeling of dynamic frictional rolling contact by way of explicit finite element analyses. The study also theoretically demonstrates that the explicit finite element method handles nonlinearities in friction, material properties, arbitrary contact geometries and boundary conditions, and fully couples the calculation of frictional rolling contact with the calculation of high-frequency structural dynamics. An indirect validation method for dynamic contact solutions is proposed. To promote the broad use of the method, this paper proposes a detailed procedure for establishing robust wheel-rail dynamic interact tion models and obtaining dynamic contact responses. The proposed procedure can also be applied to the modeling of dynamic interactions occurring to tire-road, bearings and gears.","Dynamic interaction; Explicit FEM; Frictional rolling; Wheel-rail contact","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-02-27","","","Railway Engineering","","",""
"uuid:f4626852-1102-4080-a61a-003cc92677dc","http://resolver.tudelft.nl/uuid:f4626852-1102-4080-a61a-003cc92677dc","Effects of Thermal Reflowing Stress on Mechanical Properties of Novel SMT-SREKs","Cai, Miao (Guilin University of Electronic Technology); Liang, Yonghu (Guilin Xuyan Electromechanical Technology, Co., Ltd., Guilin); Yun, Minghui (Guilin University of Electronic Technology); Chen, Xuan-You (Guilin University of Electronic Technology); Yan, Haidong (Guilin University of Electronic Technology); Yu, Zhaozhe (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2019","A novel silicone rubber elastic key (SREK) is proposed in this paper for surface mounting technology (SMT) applications. Effects of thermal reflowing stress on the mechanical properties of SMT-SREKs are investigated. The manufactured SMT-SREKs, which underwent various reflowing conditions in advance, are subjected to pressing force and fatigue pressing tests. Fatigue lifetime projection model and its predicted error are then assessed systematically. The thermal degradation of silicone rubber materials is illustrated through the dynamic mechanical analysis and the Fourier transform infrared spectroscopy experiments. The mechanical finite element modeling is also conducted to simulate the pressing process. The results show that the pressing force and tactility of the SMT-SREKs are strongly affected by the reflowing condition, which contributes to the degradation of the silicone rubber materials. During the fatigue pressing test, the change rate of tactility increases with the reflowing peak temperature ( T-{p} ) and is accelerated by the repeated reflowing process. Moreover, a linear model can precisely project the tactility before the fatigue pressing number of 2.0E+6 times, and the impact rate of T-{p} on tactility with the increasing fatigue pressing number can be predicted effectively by using a logarithm model.","degradation; fatigue lifetime; mechanical property; modeling; Silicone rubber elastic key (SREK); surface mounting technology (SMT)","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:b6e0b30d-bd26-4db3-bbb4-72be31e5f72b","http://resolver.tudelft.nl/uuid:b6e0b30d-bd26-4db3-bbb4-72be31e5f72b","A contactless measuring speed system of belt conveyor based on machine vision and machine learning","Gao, Yuan (Taiyuan University of Technology); Qiao, Tiezhu (Taiyuan University of Technology); Zhang, Haitao (Taiyuan University of Technology); Yang, Yi (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics); Wei, Hongyan (Taiyuan University of Technology)","","2019","During the operation of the belt conveyor, measuring speed of the belt conveyor is vital to the safe and efficient operation. In the existing measuring speed system, the measurement instrument is required contacting with the surface of the belt. The contact measurement method cannot avoid the occurrence of measuring error caused by slipping on the contact surface and wear of the measurement instrument. In order to solve the problems mentioned above, a new contactless measuring speed system is proposed in this paper. The system uses the CCD camera to capture the side image of belt. The speed of belt conveyor can be obtained by measuring the regularity of image texture. The proposed measuring system can meet the requirement of measuring speed in long running process of belt conveyor. Experimental results show that the measuring accuracy indicators can reach RMSE of 0.018 m/s and MAE of 0.010 m/s.","Belt conveyor; Contactless measuring speed; Image processing; Polynomial linear regression","en","journal article","","","","","","Accepted Author Manuscript","","2021-03-15","","","Transport Engineering and Logistics","","",""
"uuid:3130f23a-9e0a-49a8-b8c5-53c83e5366be","http://resolver.tudelft.nl/uuid:3130f23a-9e0a-49a8-b8c5-53c83e5366be","Characterization and feasibility studies on complete recovery of rare earths from glass polishing waste","Borra, C.R. (TU Delft (OLD) MSE-1); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Spooren, Jeroen (Flemish Institute for Technological Research); Nielsen, Peter (Flemish Institute for Technological Research); Yang, Y. (TU Delft (OLD) MSE-3); Offerman, S.E. (TU Delft (OLD) MSE-1)","","2019","One of the main applications of ceria (CeO 2 ) is its use in glass polishing. About 16,000 tonnes of rare earth oxides, which is about 10% of total rare earth production, are used for polishing applications. The waste generated in glass polishing contains rare earths, along with other impurities. In this study, two different glass polishing waste samples were characterized and two different processes were proposed for the complete recovery of rare earths from polishing waste, i.e., an acid-based process and an alkali-based process. The polishing waste samples were characterized with inductively coupled plasma optical emission spectrometry (ICP-OES), X-ray fluorescence spectroscopy (XRF), X-ray diffraction (XRD), scanning electron microscopy (SEM), thermo-gravimetric analysis (TGA) and particle size analysis. Chemical analysis showed that sample A (CeO 2 -rich waste from plate glass polishing) contained a high amount of impurities compared to sample B (CeO 2 -rich waste from mirror polishing). XRD analysis showed that sample B contained CeO 2 , LaO 0.65 F 1.7 and LaPO 4 compounds, whereas sample A contained CaCO 3 in addition to rare earth compounds. SEM-EDX analysis showed the presence of alumino-silicates in sample A. Leaching experiments were carried out at 75 ◦ C at different acid concentrations for the recovery of rare earths from polishing waste samples. The leaching results showed that it is difficult to dissolve rare earths completely in acid solutions due to the presence of fluorides and phosphates. Hence, undissolved rare earths in the leach residue were further recovered by an alkali treatment with NaOH. In another approach, polishing waste samples were directly treated with NaOH at 500 ◦ C. After alkali treatment followed by water leaching, rare earths can be completely dissolved during acid leaching. Rare earths from polishing waste can be recovered completely by both the acid-based process and the alkali-based process.","Characterization; Leaching; Polishing waste; Rare earths; Waste utilization","en","journal article","","","","","","","","","","","(OLD) MSE-1","","",""
"uuid:9e4a74f1-d072-4189-9cac-addd480be280","http://resolver.tudelft.nl/uuid:9e4a74f1-d072-4189-9cac-addd480be280","Abundant grain boundaries activate highly efficient lithium ion transportation in high rate Li4Ti5O12 compact microspheres","Ma, Jiaming (Tsinghua University); Wei, Yinping (Tsinghua University); Gan, Lin (Tsinghua University); Wang, C. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RST/Storage of Electrochemical Energy); Xia, Heyi (Tsinghua University); Lv, Wei (Tsinghua University); Li, Jia (Tsinghua University); Li, Baohua (Tsinghua University); Yang, Quan Hong (Tianjin University); Kang, Feiyu (Tsinghua University); He, Yan Bing (Tsinghua University)","","2019","It is a huge challenge for high-tap-density electrodes to achieve high volumetric energy density but without compromising the ionic transportation. Herein, we prepared compact Li4Ti5O12 (LTO) microspheres consisting of densely packed primary nanoparticles. The real space distribution of lithium ions inside the compact LTO was revealed by using scanning transmission electron microscopy with electron energy loss spectroscopy (STEM-EELS) to identify the function of grain boundaries for lithium ion transportation during lithiation. The as-prepared LTO microspheres possess a high tap density (1.23 g cm-3) and an ultra-small specific surface area (2.40 m2 g-1). Impressively, the compact LTO microspheres present excellent electrochemical performance. At high rates of 5C, 10C and 20C, the LTO microspheres show a specific capacity of 146.6, 138.2 and 111 mA h g-1, respectively. The capacity retention remains at 97.8% at 5C after 500 cycles. The STEM-EELS results indicate that the lithiation reaction of LTO is firstly initiated at grain boundaries during the high rate lithiation process and then diffuses to the bulk area. The abundant grain boundaries in compact LTO microspheres can form a highly efficient conductive network to preferentially transport the ions, which contributes to high volumetric and gravimetric energy density simultaneously.","","en","journal article","","","","","","Accepted Author Manuscript","","2019-12-05","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:8f10fe9c-045b-416b-94fa-1d93e2312959","http://resolver.tudelft.nl/uuid:8f10fe9c-045b-416b-94fa-1d93e2312959","Leaching characteristics and mechanism of the synthetic calcium-aluminate slags for alumina recovery","Azof, Fabian Imanasa (Norwegian University of Science and Technology (NTNU)); Yang, Y. (TU Delft (OLD) MSE-3; Norwegian University of Science and Technology (NTNU)); Panias, Dimitrios (National Technical University of Athens); Kolbeinsen, Leiv (Norwegian University of Science and Technology (NTNU)); Safarian, Jafar (Norwegian University of Science and Technology (NTNU))","","2019","The leaching characteristics and mechanism of synthetic CaO-Al 2 O 3 slags in alkaline solution at atmospheric pressure have been studied. The purpose of the study is to have a better understanding of the leaching part of the Pedersen process, as an alternative to the Bayer process for alumina production. The crystalline slags containing CaAl 2 O 4 , Ca 3 Al 2 O 6 , CaAl 4 O 7 , and Ca 12 Al 14 O 33 phases, and leaching residues (predominantly CaCO 3 ) are characterized by X-ray Diffraction and semi-quantitative analysis. Of the leaching characteristics in a solution containing 120 g/L Na 2 CO 3 , the slag with the highest amount of Ca 12 Al 14 O 33 phase is the most leachable one in the CaO-Al 2 O 3 system with about 95% of alumina extraction. The leaching extent is confirmed employing Inductively Coupled Plasma-High Resolution-Mass Spectrometer (ICP-HR-MS) analysis, and it decreases by 0.4% for every percent of the bayerite (Al(OH) 3 ) formation during the leaching. The less stable form of CaCO 3 , i.e., vaterite, is formed over the leached slag particles that consist 33–49 wt% CaO, while Ca 3 Al 2 (OH) 12 (tricalcium alumina hydrate) precipitated at relatively low concentrations (< 6 wt%) in all residue. The non-bridging oxygen (NBO) over tetrahedral structure (T) index shows that the atomic structure may affect the leaching extent of the slags, the lower NBO/T index of the phase is the more difficult for the phase to leach or depolymerize. However, the Ca 12 Al 14 O 33 phase is an exception case where it has “free” O-ions at the center of the cage structure, which makes it easily depolymerize, therefore, the NBO/T index for the Ca 12 Al 14 O 33 phase becomes irrelevant. Furthermore, the morphology and size evolution of the obtained residue measured with laser particle analyzer indicates the agglomeration behavior of the residue particles during the leaching process.","Alumina; Calcium-aluminate slags; CaO-Al O system; Leaching characteristics; Leaching mechanism","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:a9a9d517-de8c-4932-be9b-ff06257eedcd","http://resolver.tudelft.nl/uuid:a9a9d517-de8c-4932-be9b-ff06257eedcd","Front and rear contact Si solar cells combining high and low thermal budget Si passivating contacts","Limodio, G. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Ge, H. (Student TU Delft); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); de Groot, Y. (Student TU Delft); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2019","In this work we develop a rear emitter silicon solar cell integrating carrier-selective passivating contacts (CSPCs) with different thermal budget in the same device. The solar cell consists of a B-doped poly-Si/SiOx hole collector and an i/n hydrogenated amorphous silicon (a-Si:H) stack acting as electron collector placed on the planar rear and textured front side, respectively. We investigate the passivation properties of both CSPCs on symmetric structures by optimizing the interdependency among annealing temperature, time and environment. The optimized B-doped poly-Si/SiOx reaches a saturation current density of ~10 fA/cm2 on n-type wafers and an implied open circuit voltage (iVOC) of 716 mV. Furthermore, the i/n a-Si:H stack shows an effective carrier lifetime above 4 ms and iVOC of ~705 mV for cell-relevant layers thickness. After a post-deposition annealing in H2, lifetime is above 10 ms and iVOC = 708 mV. Finally, we optimize the optoelectronic properties of indium-based transparent conductive oxide (Indium Tin Oxide ITO and hydrogenated indium oxide IO:H) to reduce parasitic absorption with a gain in short circuit current density of 0.23 mA/cm2. In conclusion, the optimized layer stacks are implemented at device level obtaining a device with VOC = 704 mV, fill factor of 73.8%, a short circuit current of 39.7 mA/cm2 and 21.0% aperture-area conversion efficiency.","Amorphous silicon; Ion-implantation; Poly-silicon passivating contacts; Silicon solar cells","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:2e66d26b-8b6a-43e7-9bb6-3538941f574b","http://resolver.tudelft.nl/uuid:2e66d26b-8b6a-43e7-9bb6-3538941f574b","Numerical modeling of wheel-rail squeal-exciting contact","Yang, Z. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2019","Complex frictional rolling contact and high-frequency wheel dynamic behavior make modeling squeal greatly challenging. The falling-friction effect and wheel mode-coupling behavior are believed to be the two main mechanisms that generate unstable wheel vibration and the resulting squeal noise. To rigorously consider both mechanisms in one model, we propose an explicit finite element (FE) model to simulate wheel-rail dynamic frictional rolling. Wheel-rail squeal-exciting contact is investigated with considerations of dynamic effects, unsteady lateral creepage and velocity-dependent friction. With the inclusion of the dynamic effects in the contact solution, large-creepage-induced waves, which share features with Rayleigh waves, are discovered. The solutions of the dynamic contact calculated using the proposed model indicate that the explicit FE method is able to reproduce the falling-friction effect. The transient analyses of wheel-rail frictional rolling with wheel lateral creepage show the coupling of the axial and radial dynamics of the rolling wheel model, suggesting that the explicit FE method can also reproduce the mode-coupling behavior. This study improves the understanding and modeling of squeal-exciting contact from the perspective of wheel-rail dynamic interaction.","Dynamic interaction; Explicit FEM; Numerical modeling; Squeal; Wheel-rail contact","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-08-19","","","Railway Engineering","","",""
"uuid:74d39e7f-f4d1-4a42-9e49-2c107547795a","http://resolver.tudelft.nl/uuid:74d39e7f-f4d1-4a42-9e49-2c107547795a","Numerical Simulations of IBC Solar Cells Based on Poly-Si Carrier-Selective Passivating Contacts","Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2019","This paper presents an analysis of physical mechanisms related to operation and optimization of interdigitated back contact (IBC) poly-silicon-based devices. Concepts of carrier selectivity and tunneling are used to identify the parameters that impact on the fill factor. Then, based on technology computer-aided design (TCAD) numerical simulations, we describe the device performance in terms of transport and passivation. A validation of the model is performed by matching measured and simulated R, T, and external quantum efficiency spectra and electrical parameters. As result of such process, the opto-electrical losses of the reference device are identified. Then, we execute a study of the impact of process parameters on the performance of the IBC device under analysis. Assuming a uniform SiO 2 layer, simulation results reveal that both n-type and p-type poly-Si contacts can be theoretically perfect (i.e., approx. lossless), if assuming no interface recombination but considering tunneling of both carrier types. In other words, there exists an optimum oxide thickness (1 nm) for which majority carriers tunneling works already very well, and minority tunneling is still low enough to not result in significant recombination. Moreover, SiO 2 thickness up to maximum 1.6 nm is crucial to achieve high efficiency. Regarding rear geometry analysis, the efficiency curve as a function of emitter width peaks at 70% of pitch coverage. Further, it is shown that diffused dopants inside crystalline silicon make the device resilient to passivation quality. Finally, the calibrated model is used to perform an optimization study aiming at calculating the performance limit. The estimated performance limit is 27.3% for a 100-μm-thick bulk, 20-nm-thick poly-silicon layers, silver as rear contact, and double ARC.","IBC solar cells; passivating contacts; poly-silicon; semiconductors device modeling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:c6745be1-30cb-4116-a13b-478464283cea","http://resolver.tudelft.nl/uuid:c6745be1-30cb-4116-a13b-478464283cea","Effect of nut coke addition on physicochemical behaviour of pellet bed in ironmaking blast furnace","Gavel, D.J. (TU Delft (OLD) MSE-3); Adema, A.T. (TU Delft (OLD) MSE-3; Tata Steel); Stel, Jan Van Der (Tata Steel); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2019","One of the primary causes that limit the blast furnace productivity is the resistance exerted to the gas flow in the cohesive zone by the ferrous burden. Use of nut coke (10–40 mm) together with ferrous burden proves beneficial for decreasing this resistance. In present study, effect of nut coke addition on the olivine fluxed iron ore pellet bed is investigated under simulated blast furnace conditions. Nut coke mixing degree (replacement ratio of regular coke) was varied from 0 to 40 wt% to investigate the physicochemical characteristics of the pellet bed. Three distinct stages of bed contraction are observed and the principal phenomena governing these stages are indirect reduction, softening and melting. It is observed that nut coke mixing enhances the reduction kinetics, lowers softening, limits sintering and promotes iron carburisation to affects all three stages. In the second stage, the temperature and displacement range is reduced by 60°C and 24%, respectively upon 40 wt% nut coke mixing. Addition of nut coke exponentially increases the gas permeability (represented by pressure drop and S-value). A higher degree of carburisation achieved on the pellet shell (iron) is suggested to be the principal reason for decrease in the pellet melting temperature. The pellets softening temperature increases by approximately 4°C, melting and dripping temperature drops by 11°C and 12°C, respectively, for every 10 wt% nut coke addition. Consequently, the nut coke addition shortens the softening, melting and dripping temperature ranges, which shows improved properties of the cohesive zone.","Blast furnace; Carburisation; Ironmaking, nut coke; Melting; Olivine pellets; Permeability; Softening","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:ea3d22f1-d864-48a1-9202-3c39a2477558","http://resolver.tudelft.nl/uuid:ea3d22f1-d864-48a1-9202-3c39a2477558","Microstructural mechanisms controlling the mechanical behaviour of ultrafine grained martensite/austenite microstructures in a metastable stainless steel","Celada-Casero, Carola (TU Delft (OLD) MSE-3; Centro Nacional de Investigaciones Metalúrgicas (CENIM-CSIC)); Huang, B.M. (National Taiwan University); Yang, J.-R. (National Taiwan University); San-Martin, D. (Centro Nacional de Investigaciones Metalúrgicas (CENIM-CSIC))","","2019","This study unravels the microstructural mechanisms controlling the mechanical behaviour and austenite mechanical stability in ultrafine grained austenite/martensite (α′/γ) microstructures, created varying the austenitisation heating rate (0.1–10 °C/s) and temperature within the start-finish austenite formation temperatures (AS − AF) in a cold-rolled semi-austenitic stainless steel. A wide spectrum of strength-ductility combinations; i.e. strengths of 900–2100 MPa and elongations up to 25%, were characterised by sub-size tensile testing. The nanoprecipitation of Ni3(Ti,Al) in martensite during heating to low austenitisation temperatures rises the strength, while the martensite recovery, enhanced at low heating rates, improves the work-hardening. The high strength of martensite partially suppresses the formation of mechanically-induced martensite during loading, which is enabled with the increase of austenite volume fraction and contributes positively to the work-hardening. The heating rate barely affects the mechanical properties of microstructures austenitised close to AF. The austenite ultrafine grain size controls the yield strength, while the decrease in austenite mechanical stability and the α′/γ composite effect increase remarkably the work-hardening with respect to dual (α′/γ) microstructures with larger martensite volume fractions and fully austenitised microstructures. These results will enable the design of microstructures with controlled mechanical behaviour for a wider spread use of similar steel grades.","Martensite/austenite microstructures; Metastable stainless steel; Nano-precipitation; Strengthening mechanisms; Transformation-induced plasticity","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:5120d429-06ce-418b-81ff-a22c10164c89","http://resolver.tudelft.nl/uuid:5120d429-06ce-418b-81ff-a22c10164c89","Parameterization of urban sensible heat flux from remotely sensed surface temperature: Effects of surface structure","Yang, Jinxin (Guangzhou University); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Krayenhoff, E. Scott (University of Guelph); Wu, Zhifeng (Guangzhou University); Shi, Qian (Sun Yat-sen University); Ouyang, Xiaoying (Chinese Academy of Sciences)","","2019","Sensible heat exchange has important consequences for urban meteorology and related applications. Directional radiometric surface temperatures of urban canopies observed by remote sensing platforms have the potential to inform estimations of urban sensible heat flux. An imaging radiometer viewing the surface from nadir cannot capture the complete urban surface temperature, which is defined as the mean surface temperature over all urban facets in three dimensions, which includes building wall surface temperatures and requires an estimation of urban sensible heat flux. In this study, a numerical microclimate model, Temperatures of Urban Facets in 3-D (TUF-3D), was used to model sensible heat flux as well as radiometric and complete surface temperatures. Model data were applied to parameterize an effective resistance for the calculation of urban sensible heat flux from the radiometric (nadir view) surface temperature. The results showed that sensible heat flux was overestimated during daytime when the radiometric surface temperature was used without the effective resistance that accounts for the impact of wall surface temperature on heat flux. Parameterization of this additional resistance enabled reasonably accurate estimates of urban sensible heat flux from the radiometric surface temperature.","Complete urban surface temperature; Radiometric temperature; Sensible heat flux; Urban geometry","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:b0c6eab7-1fc8-4cb1-98aa-b4c5cf0c742c","http://resolver.tudelft.nl/uuid:b0c6eab7-1fc8-4cb1-98aa-b4c5cf0c742c","Seasonal behaviour of tidal damping and residual water level slope in the Yangtze River estuary: Identifying the critical position and river discharge for maximum tidal damping","Cai, Huayang (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai); East China Normal University); Savenije, Hubert (TU Delft Water Resources); Garel, Erwan (University of the Algarve); Zhang, Xianyi (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Guo, Leicheng (East China Normal University); Zhang, Min (Shanghai Normal University); Liu, Feng (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Yang, Qingshu (Sun Yat-sen University; Guangdong Provincial Engineering Research Center of Coasts; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai))","","2019","As a tide propagates into the estuary, river discharge affects tidal damping, primarily via a friction term, attenuating tidal motion by increasing the quadratic velocity in the numerator, while reducing the effective friction by increasing the water depth in the denominator. For the first time, we demonstrate a third effect of river discharge that may lead to the weakening of the channel convergence (i.e. landward reduction of channel width and/or depth). In this study, monthly averaged tidal water levels (2003-2014) at six gauging stations along the Yangtze River estuary are used to understand the seasonal behaviour of tidal damping and residual water level slope. Observations show that there is a critical value of river discharge, beyond which the tidal damping is reduced with increasing river discharge. This phenomenon is clearly observed in the upstream part of the Yangtze River estuary (between the Maanshan and Wuhu reaches), which suggests an important cumulative effect of residual water level on tide-river dynamics. To understand the underlying mechanism, an analytical model has been used to quantify the seasonal behaviour of tide-river dynamics and the corresponding residual water level slope under various external forcing conditions. It is shown that a critical position along the estuary is where there is maximum tidal damping (approximately corresponding to a maximum residual water level slope), upstream of which tidal damping is reduced in the landward direction. Moreover, contrary to the common assumption that larger river discharge leads to heavier damping, we demonstrate that beyond a critical value tidal damping is slightly reduced with increasing river discharge, owing to the cumulative effect of the residual water level on the effective friction and channel convergence. Our contribution describes the seasonal patterns of tide-river dynamics in detail, which will, hopefully, enhance our understanding of the nonlinear tide-river interplay and guide effective and sustainable water management in the Yangtze River estuary and other estuaries with substantial freshwater discharge.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:0f965ef7-5b06-41d3-85b3-abef8940f37b","http://resolver.tudelft.nl/uuid:0f965ef7-5b06-41d3-85b3-abef8940f37b","Low-loss and wideband acoustic delay lines","Manzaneque Garcia, T. (TU Delft Dynamics of Micro and Nano Systems); Lu, Ruochen (University of Illinois at Urbana-Champaign); Yang, Yansong (University of Illinois at Urbana-Champaign); Gong, Songbin (University of Illinois at Urbana-Champaign)","","2019","This paper demonstrates low-loss acoustic delay lines (ADLs) based on shear-horizontal waves in thin-film LiNbO 3 for the first time. Due to its high electromechanical coupling, the shear-horizontal mode is suited for producing devices with large bandwidths. Here, we show that shear-horizontal waves in LiNbO 3 thin films are also excellent for implementing low-loss ADLs based on unidirectional transducers. The high acoustic reflections and large transducer unidirectionality induced by the mechanical loading of the electrodes on a LiNbO 3 thin film provide a great tradeoff between delay line insertion loss and bandwidth. The directionality for two different types of unidirectional transducers has been characterized. Delay lines with variations in the key design parameters have been designed, fabricated, and measured. One of our fabricated devices has shown a group delay of 75 ns with an IL below 2 dB over a 3-dB bandwidth of 16 MHz centered at 160 MHz (fractional bandwidth = 10%). The measured insertion loss for other devices with longer delays and different numbers of transducer cells are analyzed, and the loss contributing factors and their possible mitigation are discussed.","Acoustic devices; delay lines; lithium niobate (LiNbO3); microelectromechanical systems; piezoelectric transducers; transversal filters","en","journal article","","","","","","Accepted Author Manuscript","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:3be09481-2a62-4459-b7a5-117d01b1938b","http://resolver.tudelft.nl/uuid:3be09481-2a62-4459-b7a5-117d01b1938b","Thermal response of mortar panels with different forms of macro-encapsulated phase change materials: A finite element study","Kong, Sih Ying (Monash University Malaysia); Yang, Xu (Monash University); Paul, Suvash Chandra (Monash University Malaysia); Wong, Leong Sing (Universiti Tenaga Nasional); Šavija, B. (TU Delft Materials and Environment)","","2019","This paper presents a numerical investigation of thermal response of mortar panels, incorporating macro-encapsulated paraffin in different forms. Two types of macro capsules were fabricated and tested in this study using an instrumented hot plate device. The experimental results show that macro encapsulated paraffin reduced the temperature and increased time lag in the mortar panels due to the latent heat capacity of paraffin. Finite element models adopting the effective heat capacity method to model phase change effects were able to capture the overall thermal response of panels incorporated with paraffin well. Then, a parametric study was conducted using the validated finite element (FE) modelling technique to investigate the effects of different forms of macro capsules, the quantity of paraffin and the position of macro capsules. It was found that the tube and sphere macro capsules showed similar thermal responses, while the plate shaped capsules may cause a non-uniform temperature distribution in mortar panels. The quantity and position of paraffin have significant effects on the thermal response of the mortal panels. A higher paraffin content results in a significantly longer temperature lag and a lower temperature during the phase transition of paraffin. Furthermore, placing the paraffin away from the heating face can cause a longer temperature lag on the other face, which is desirable for building façade applications.","Finite element (FE) analysis; Macro capsules; Mortar; Phase change materials","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:dd3f7da5-0a28-4569-b7f1-c8978a489db5","http://resolver.tudelft.nl/uuid:dd3f7da5-0a28-4569-b7f1-c8978a489db5","A software-in-the-loop implementation of adaptive formation control for fixed-wing UAVs","Yang, Jun (China State Shipbuilding Corporation); Wang, X. (TU Delft Team Bart De Schutter); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Singh, Satish (Student TU Delft); Fari, S. (Politecnico di Milano; Institute of Space Systems)","","2019","This paper discusses the design and software-in-the-loop implementation of adaptive formation controllers for fixed-wing unmanned aerial vehicles (UAVs) with parametric uncertainty in their structure, namely uncertain mass and inertia. In fact, when aiming at autonomous flight, such parameters cannot assumed to be known as they might vary during the mission (e.g. depending on the payload). Modelingg and autopilot design for such autonomous fixed-wing UAVs are presented. The modeling is implemented in Matlab, while the autopilot is based on ArduPilot, a popular open-source autopilot suite. Specifically, the ArduPilot functionalities are emulated in Matlab according to the Ardupilot documentation and code, which allows us to perform software-in-the-loop simulations of teams of UAVs embedded with actual autopilot protocols. An overview of realtime path planning, trajectory tracking and formation control resulting from the proposed platform is given. The software-in-the-loop simulations show the capability of achieving different UAV formations while handling uncertain mass and inertia.","adaptive formation control; ArduPilot; Fixed-wing UAVs; software-in-the-loop simulations","en","journal article","","","","","","Accepted Author Manuscript","","","","","Team Bart De Schutter","","",""
"uuid:3c967673-b728-4ef3-ba8a-71d473b59273","http://resolver.tudelft.nl/uuid:3c967673-b728-4ef3-ba8a-71d473b59273","Potential impact of a large-scale cascade reservoir on the spawning conditions of critical species in the Yangtze River, China","Yu, Meixiu (Hohai University; Research Center for Climate Change of Ministry of Water Resources); Yang, Daqing (Environment Canada); Liu, X. (TU Delft Hydraulic Structures and Flood Risk; IHE Delft Institute for Water Education); Li, Qiongfang (Hohai University); Wang, Guoqing (Research Center for Climate Change of Ministry of Water Resources)","","2019","Dam building and reservoir operations alter the downstream hydrological regime, and as a result, affect the health of the river aquatic ecosystem, particularly for large-scale cascade reservoirs. This study investigated the impact of the Gezhouba Reservoir (GR) and the Three Gorges Reservoir (TGR) on the spawning conditions of two critical taxa, i.e., the endemic four major carps and the endangered Chinese sturgeon in the Yangtze River. We analyzed the flow, sediment, and thermal regime in these two taxa spawning seasons and compared their features between the predam and postdam periods. Our results revealed that the GR and the TGR had altered the frequency distributions of flow, sediment, and water temperature to different degrees, with the impact by the GR on the carps and Chinese sturgeon ranked as water temperature > flow, sediment > water temperature > flow, and the effect of the TGR on these two taxa were ordered as flow > water temperature, sediment > flow > water temperature. For the GR, the satisfying degree of the suitable flow and water temperature of the carps increased, whilst the suitable flow, sediment, and water temperature for the Chinese sturgeon decreased. These changes in TGR showed a significant ascending (descending) trend in the suitable flow (water temperature) for the carps, and a clear decreasing trend in the flow, sediment, and temperature for Chinese sturgeon. Both the TGR and the GR had negative impacts on the spawning of these two taxa in terms of the rising/falling flow characteristics.","Chinese sturgeon; Flow; Four major carps; Gezhouba Reservoir; Sediment; Three Gorges Reservoir; Water temperature","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:cb365e80-edac-4575-b0c6-418ac0145b45","http://resolver.tudelft.nl/uuid:cb365e80-edac-4575-b0c6-418ac0145b45","The internal and external flow fields of a structured porous coated cylinder and implications on flow-induced noise","Arcondoulis, Elias J. G. (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Aircraft Noise and Climate Effects); Avallone, F. (TU Delft Wind Energy); Liu, Yu (Southern University of Science and Technology); Yang, Yannian (Southern University of Science and Technology); Li, Zhiyong (Southern University of Science and Technology)","","2019","Porous coated cylinders have been shown to reduce the vortex shedding tone and broadband noise of a bare cylinder placed in uniform flow within specific Reynolds number regimes. The processes by which the vortex shedding and thus tone suppression take place are still uncertain despite numerous numerical and experimental studies. It is understood that adding a porous medium to a bare cylinder will have an influence on the Reynolds number of cylinder, yet the increase of outer diameter alone and the influences of surface roughness are insufficient to explain the changes in the shedding tone magnitude and frequency that are observed by many. Investigating the internal flow field of a porous coated cylinder could lead to a deeper understanding of the flow processes that result in the tonal noise reduction. This has not been achieved to date, as commonly used materials such as metal foam and polyurethane possess randomized porous structures, which make investigating the internal flow field nearly impossible without affecting the structure itself. This paper presents a preliminary analysis of the internal and external flow fields of two structured porous coated cylinders. The cylinders were manufactured using solid transparent materials that possess direct lines of sight through the pores in the axial and spanwise directions. Such structured porous coated cylinders have been previously successful in reducing the typical vortex shedding tone. Tomographic and 2-D planar Particle Image Velocimetry (PIV) were used in a water-tunnel facility to visualize the internal and external flow fields. To date only the 2-D planar PIV results have been post-processed that reveal differences in the wake for the two different cylinder types such as recirculation of flow around the pores. Vorticity flow structures are observed to vary along the cylinder span in the same pattern as the porous structure and streamlines at the windward cylinder side reveal the entry of flow into the porous medium.","","en","conference paper","American Institute of Aeronautics and Astronautics Inc. (AIAA)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:01057dec-59bb-4a8f-9adb-8e594674afca","http://resolver.tudelft.nl/uuid:01057dec-59bb-4a8f-9adb-8e594674afca","Comparison of Questionnaire Based and User Model Based Usability Evaluation Methods","Li, M. (TU Delft Applied Ergonomics and Design; Xi’an Jiaotong University); Albayrak, A. (TU Delft Applied Ergonomics and Design); Zhang, Yu (Xi’an Jiaotong University); van Eijk, D.J. (TU Delft Applied Ergonomics and Design); Yang, Zengyao (Xi’an Jiaotong University)","Bagnara, Sebastiano (editor); Tartaglia, Riccardo (editor); Albolino, Sara (editor); Alexander, Thomas (editor); Fujita, Yushi (editor)","2019","The usability now serves as a fundamental quality of a computational device, e.g. smartphone. Moreover, the smartphone has firmly embedded into our daily life as an indispensable part, so the context and style that user may interact with them are largely different from a decade ago. Nowadays, testing usability with end user has become a common sense. Thus, how valid a usability evaluation method could assess the ‘extent to which a product can be used by specified users’ (ISO 9241-11) to facilitate software design becomes an interesting question to explore. In this research, three usability evaluation methods are compared. Among these methods, IsoMetrics is a standard questionnaire aiming at offer usability data for summative and formative evaluation; SUMI aims to assess quality of software product from end users perspective; User Model Checklist is a method based on user’s cognition-motor chain in specific tasks. The coverage and amount of usability issues, user’s effort of evaluation and software developer’s feedback on evaluation result are compared under a simulated usability test on SMS function with a smartphone. The result indicate that User Model Checklist could cover 90.4% of the usability issues found by IsoMetrics and SUMI, while 26.3% usability issues found by User Model Checklist could not be covered by IsoMetrics and SUMI. Users put highest effort on accomplish IsoMetrics and lowest effort on User Model Checklist. Moreover, the feedbacks from the developers show that the User Model Checklist requires lower usability knowledge, offers clearer improvement points and supports detailed design better.","IsoMetrics; SUMI; Usability evaluation comparison; User Model Checklist","en","conference paper","Springer","","","","","Accepted author manuscript","","2020-08-12","","","Applied Ergonomics and Design","","",""
"uuid:8d9d51ed-50fe-43cc-9f85-f6356b9e17d3","http://resolver.tudelft.nl/uuid:8d9d51ed-50fe-43cc-9f85-f6356b9e17d3","Spin and orbital structure of the first six holes in a silicon metal-oxide-semiconductor quantum dot","Liles, S. D. (University of New South Wales); Li, R. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre); Yang, C. H. (University of New South Wales); Hudson, F. E. (University of New South Wales); Veldhorst, M. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; University of New South Wales); Dzurak, Andrew S. (University of New South Wales); Hamilton, A. R. (University of New South Wales)","","2018","Valence band holes confined in silicon quantum dots are attracting significant attention for use as spin qubits. However, experimental studies of single-hole spins have been hindered by challenges in fabrication and stability of devices capable of confining a single hole. To fully utilize hole spins as qubits, it is crucial to have a detailed understanding of the spin and orbital states. Here we show a planar silicon metal-oxide-semiconductor-based quantum dot device and demonstrate operation down to the last hole. Magneto-spectroscopy studies show magic number shell filling consistent with the Fock–Darwin states of a circular two-dimensional quantum dot, with the spin filling sequence of the first six holes consistent with Hund’s rule. Next, we use pulse-bias spectroscopy to determine that the orbital spectrum is heavily influenced by the strong hole–hole interactions. These results provide a path towards scalable silicon hole-spin qubits.","","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:12720d56-8a35-4b36-b287-2e301ae69bd0","http://resolver.tudelft.nl/uuid:12720d56-8a35-4b36-b287-2e301ae69bd0","Towards Practical Active Learning for Classification","Yang, Y. (TU Delft Pattern Recognition and Bioinformatics)","Loog, M. (promotor); Reinders, M.J.T. (promotor); Delft University of Technology (degree granting institution)","2018","In recent decades, the availability of a large amount of data has propelled the field of machine learning enormously. Machine learning, however, relies heavily on the availability of annotated data, typically labels indicating to which class a data instance belongs. With the huge amounts of data, this raises the question of how to efficiently annotate data, certainly when having limited resources. This thesis addresses the particular challenge of using as few annotations as possible, while at the same time, maintaining a good learning performance. For that we utilize active learning, which iteratively chooses the most valuable instances as to obtain the labels froman oracle (e.g. a human expert). Though many studies have demonstrated that active learning can reduce the annotation cost, there are still several issues that limit its practical use. This thesis makes a further step forwards making active learning more practical for real-world applications.
We first provide a benchmark and comparison of six different categories of active learning algorithms built on logistic regression. This work provides a better understanding of the underlying characteristics of various active learners and illustrates the potential benefits of using such techniques, but it also provides many cases for which active learning fails to outperform passive learning (i.e. randomly selecting instances for labeling). Those failed cases motivate us to propose two novel active learning methods that show a clear advantage over passive learning. The first one proposes to weight the so-called retraining-based criteria with an uncertainty score that is measured by the estimated posterior probability. The second one measures the usefulness of unlabeled instances according to the variance of the predictive probability. This method takes an additional step towards practical active learning, clearly outperforming current state of the art on binary andmulti-class classification tasks.
We further consider two realistic issues when applying active learning to real-world problems. One is how to find an initial set that contains at least one instance per class to start the active labeling cycle. The other one is dealing with the absence of human annotators in the interactive labeling loop. We propose new approaches to tackle the above problems and observe good performance compared to existing methods. This thesis concludes with an analysis of the contributions and limitations of our work, as well as research directions that deserve further studies.
We hope that this thesis also inspires others to make active learning more suitable for real-world applications.","","en","doctoral thesis","","978-94-6380-102-7","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:4adcc52f-65d1-41f4-adbb-3252bc932340","http://resolver.tudelft.nl/uuid:4adcc52f-65d1-41f4-adbb-3252bc932340","Hydrodynamic and debris-damming failure of bridge decks and piers in steady flow","Oudenbroek, Kevin (Student TU Delft); Naderi, Nader (Student TU Delft); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk); Yang, Y. (TU Delft Concrete Structures); van der Veen, C. (TU Delft Concrete Structures); Uijttewaal, W.S.J. (TU Delft Environmental Fluid Mechanics); Moriguchi, Shuji (Tohoku University); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk)","","2018","In countries with steep rivers, such as Japan and the United States, bridges fail on an annual basis. Bridges on spread footings are especially susceptible to failure by hydrodynamic loading, often exacerbated by debris damming. Here, such failures are investigated via small scale model laboratory experiments and full scale numerical simulations. In the laboratory, lift and drag forces and overturning moment on bridge decks, piers, and deck-pier systems, are measured and compared with threshold of failure criteria used in design guidelines. Effects of debris on lift, drag, and moment, as well as three-dimensional effects, are quantified. Via numerical simulations, flow patterns and free surface behaviour responsible for these forces are investigated, and described in a framework as a function of the water depth, flow speed, deck clearance, and girder height. Results show that current guidelines are non-conservative in some cases. Importantly, failure of both decks and piers can be prevented by strengthening pier-deck connections, or by streamlining decks.","Bridge; Computational fluid dynamics; Drag; Flood; Force; Lift; Load cell","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:6d3cee84-0b69-43bb-a5fc-b1eb03a59ef2","http://resolver.tudelft.nl/uuid:6d3cee84-0b69-43bb-a5fc-b1eb03a59ef2","Mapping surface deformation and thermal dilation of arch bridges by structure-driven multi-temporal DInSAR analysis","Qin, Xiaoqiong (Wuhan University; The Hong Kong Polytechnic University); Zhang, Lu (Wuhan University); Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Luo, Heng (Wuhan University); Liao, Mingsheng (Wuhan University; Ministry of Land and Resources of China); Ding, Xiaoli (The Hong Kong Polytechnic University)","","2018","Arch bridges are important transportation infrastructures widely distributed in China, but they are prone to structural defects due to aging without routine inspection and maintenance. Therefore, Structural Health Monitoring (SHM) of these bridges is urgently needed by civil engineers to effectively reduce the risk of bridge damage or collapse on public safety. An essential method for SHM, the modern Differential Synthetic Aperture Radar Interferometry (DInSAR) technique, can detect subtle deformation of bridges at relatively low costs. Nevertheless, identifying dense point-like targets (PTs) on such partially coherent arch bridges in SAR image is more difficult than that for other man-made objects, owing to their complex structures and backscattering behaviors. Furthermore, the complex mechanical properties of arch bridges, due to the varying arch-beam interactions, make it hard to separate the surface deformation and thermal dilation accurately, and the lack of specific structural knowledge, that can help to understand the deformation evolution process, limits the global structural risk assessment. Aiming at these problems, we developed a structure-driven multi-temporal DInSAR approach for arch bridge-specific SHM. By introducing three structure-driven steps, i.e. backscattering geometrical interpretation, linear thermal dilation estimation and validation, and Deformation Feature Points (DFPs) based risk assessment, into the traditional DInSAR method, the reliability of PTs identification, thermal dilation separation, and structural risk assessment for arch bridges are significantly improved. The effectiveness of our approach was fairly presented by two case studies of the Rainbow and Lupu bridges, and the experimental results were verified by leveling benchmark validation, cross-sensor comparison, as well as structural-reliability assessment. Our results revealed that arch bridges exhibit a similar pattern of PTs distribution that is dense around piers and sparse on the spans, as well as a symmetrical progressive pattern of surface deformation with the subsidence increasing from piers and reaching a peak at the central spans. In contrast, magnitudes and mechanisms of thermal dilation are different, and highly dependent on the materials and structural characteristics of specific bridges.","Arch bridges; Deformation Feature Points; Structural Health Monitoring (SHM); synthetic aperture radar interferometry (InSAR); Thermal dilation; Time-series analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-01-03","","","Mathematical Geodesy and Positioning","","",""
"uuid:11073df1-5da2-4c46-8bc1-1fe3d11090c7","http://resolver.tudelft.nl/uuid:11073df1-5da2-4c46-8bc1-1fe3d11090c7","Theory for 1D GPR data inversion for a dissipative layered medium","Yang, B. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2018","We present a data driven method of full waveform inversion in one dimension. This means that the inversion is carried out as a sequence of processing steps. The first step is known as Marchenko redatuming. In this step we retrieve focusing functions from the measured data. In the second step we isolate the last event in the focusing function to obtain the local reflection coefficient of a particular reflecting boundary. This is done for the dissipative and equivalent effectual model. An effectual medium amplifies a propagating wave in the same way as a dissipative medium attenuates it. From these two models the reflection coefficient of the corresponding lossless medium can be computed. This is then inverted for the electric permittivity. Once the permittivity is found, the individual layer thicknesses are obtained from the travel times. The ratio of the reflection coefficient in the physical and effectual medium provides an estimate of the attenuation in each layer from which the conductivity in each layer can be found. We show that in this case the full waveform inversion is a linear problem. We need reflection and transmission data measured at two sides of the medium. We use an unconditionally convergent iterative technique to compute the focusing functions. The method only needs the up-and downgoing parts of the electric field at the receiver levels. A 1D numerical example with a lossy model shows that the proposed GPR inversion method is effective on modeled data.","focusing function; Ground Penetrating Radar (GPR); lossy model; Marchenko inversion; separated wavefield","en","conference paper","Institute of Electrical and Electronics Engineers (IEEE)","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-02-23","","","Applied Geophysics and Petrophysics","","",""
"uuid:fa0011cc-a153-4f2d-952d-93fa43f35d67","http://resolver.tudelft.nl/uuid:fa0011cc-a153-4f2d-952d-93fa43f35d67","Frictional interactions between tidal constituents in tide-dominated estuaries","Cai, H. (Sun Yat-sen University); Toffolon, Marco (TU Delft Hydraulic Structures and Flood Risk; Università di Trento); Savenije, Hubert (TU Delft Water Resources); Yang, Qingshu (Sun Yat-sen University); Garel, Erwan (Universidade do Algarve)","","2018","When different tidal constituents propagate along an estuary, they interact because of the presence of nonlinear terms in the hydrodynamic equations. In particular, due to the quadratic velocity in the friction term, the effective friction experienced by both the predominant and the minor tidal constituents is enhanced. We explore the underlying mechanism with a simple conceptual model by utilizing Chebyshev polynomials, enabling the effect of the velocities of the tidal constituents to be summed in the friction term and, hence, the linearized hydrodynamic equations to be solved analytically in a closed form. An analytical model is adopted for each single tidal constituent with a correction factor to adjust the linearized friction term, accounting for the mutual interactions between the different tidal constituents by means of an iterative procedure. The proposed method is applied to the Guadiana (southern Portugal-Spain border) and Guadalquivir (Spain) estuaries for different tidal constituents (M2, S2, N2, O1, K1) imposed independently at the estuary mouth. The analytical results appear to agree very well with the observed tidal amplitudes and phases of the different tidal constituents. The proposed method could be applicable to other alluvial estuaries with a small tidal amplitude-to-depth ratio and negligible river discharge.","","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:44a3f674-f8e0-4414-a92e-fe1dd7139f96","http://resolver.tudelft.nl/uuid:44a3f674-f8e0-4414-a92e-fe1dd7139f96","Denoising controlled-source electromagnetic data using least-squares inversion","Yang, Yang (Central South University China; Shandong University); Li, Diquan (Central South University China); Tong, Tiegang (Central South University China); Zhang, D. (TU Delft ImPhys/Acoustical Wavefield Imaging); Zhou, Yatong (Hebei University of Technology); Chen, Yangkang (Zhejiang University)","","2018","Strong noise is one of the toughest problems in the controlled-source electromagnetic (CSEM) method, which highly affects the quality of recorded data. The three main types of noise existing in CSEM data are periodic noise, Gaussian white noise, and nonperiodic noise, among which the nonperiodic noise is thought to be the most difficult to remove. We have developed a novel and effective method for removing such nonperiodic noise by formulating an inverse problem that is based on inverse discrete Fourier transform and several time windows in which only Gaussian white noise exists. These critical locations, which we call reconstruction locations, can be found by taking advantage of the continuous wavelet transform (CWT) and the temporal derivative of the scalogram generated by CWT. The coefficients of the nonperiodic noise are first estimated using the new least-squares method, and then they are subtracted from the coefficients of the raw data to produce denoised data. Together with the nonperiodic noise, we also remove Gaussian noise using the proposed method. We validate the methodology using real-world CSEM data.","Electromagnetics; Least-squares; Noise; Signal processing; Wavelet","en","journal article","","","","","","","","","","","ImPhys/Acoustical Wavefield Imaging","","",""
"uuid:05af5faa-ea61-47c5-a299-9ccc3c79da48","http://resolver.tudelft.nl/uuid:05af5faa-ea61-47c5-a299-9ccc3c79da48","Interface-induced spin-orbit interaction in silicon quantum dots and prospects for scalability","Ferdous, Rifat (Purdue University); Chan, Kok W. (University of New South Wales); Veldhorst, M. (TU Delft QCD/Veldhorst Lab); Hwang, J. C.C. (University of New South Wales); Yang, C. H. (University of New South Wales); Sahasrabudhe, Harshad (Purdue University); Klimeck, Gerhard (Purdue University); Morello, Andrea (University of New South Wales); Dzurak, Andrew S. (University of New South Wales); Rahman, Rajib (Purdue University)","","2018","We identify the presence of monatomic steps at the Si/SiGe or Si/SiO2 interface as a dominant source of variations in the dephasing time of silicon (Si) quantum dot (QD) spin qubits. First, using atomistic tight-binding calculations we show that the g-factors and their Stark shifts undergo variations due to these steps. We compare our theoretical predictions with experiments on QDs at a Si/SiO2 interface, in which we observe significant differences in Stark shifts between QDs in two different samples. We also experimentally observe variations in the g-factors of one-electron and three-electron spin qubits realized in three neighboring QDs on the same sample, at a level consistent with our calculations. The dephasing times of these qubits also vary, most likely due to their varying sensitivity to charge noise, resulting from different interface conditions. More importantly, from our calculations we show that by employing the anisotropic nature of the spin-orbit interaction (SOI) in a Si QD, we can minimize and control these variations. Ultimately, we predict that the dephasing times of the Si QD spin qubits will be anisotropic and can be improved by at least an order of magnitude, by aligning the external dc magnetic field towards specific crystal directions, given other decoherence mechanisms do not dominate over charge noise.","","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:8acb9b48-bf77-45b2-a0d6-1cf6658f749e","http://resolver.tudelft.nl/uuid:8acb9b48-bf77-45b2-a0d6-1cf6658f749e","Numerical Modelling of Wheel-rail Dynamic Interactions with an Explicit Finite Element Method","Yang, Z. (TU Delft Railway Engineering)","Dollevoet, R.P.B.J. (promotor); Li, Z. (promotor); Delft University of Technology (degree granting institution)","2018","The modelling of wheel-rail dynamic interactions is crucial for accurately predicting wheel/track deterioration and dynamic behaviour. A reliable wheel-rail dynamic interaction model requires a careful treatment of wheel-rail frictional rolling contact and a proper consideration of dynamic effects related to the contact. Since the wheel-rail interaction due to the frictional rolling contact significantly influences the vehicle dynamics and stability, and the dynamic effects involved in wheel-rail interactions can be increased by wheel rail highspeed rolling, a systematic study of wheel-rail dynamic interactions is highly desired within the context of booming high-speed railways.","","en","doctoral thesis","","978-94-6366-048-8","","","","","","","","","Railway Engineering","","",""
"uuid:b6379a33-2f28-4960-98a2-f039245a3c16","http://resolver.tudelft.nl/uuid:b6379a33-2f28-4960-98a2-f039245a3c16","Mapping and characterizing thermal dilation of civil infrastructures with multi-temporal X-band synthetic Aperture Radar interferometry","Qin, Xiaoqiong (Wuhan University; The Hong Kong Polytechnic University); Zhang, Lu (Wuhan University); Ding, Xiaoli (The Hong Kong Polytechnic University); Liao, Mingsheng (Wuhan University; Ministry of Land and Resources of China); Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University)","","2018","Temperature variation plays a significant role in the long-term structural behaviour of civil infrastructures, but very few quantitative studies have measured and analysed the infrastructures' global thermal dilation because of their large sizes and geometric complexities. The modern Differential Synthetic Aperture Radar Interferometry (DInSAR) technique has great potential in applications of their thermal dilation mapping and characterization due to the techniques' unique capabilities for use in large areas, with high-resolution, and at low-costs for deformation measurements. However, the practical application of DInSAR in thermal dilation estimation is limited by difficulty in the precise separation from the residual topographic phase and the trend deformation phase. Moreover, due to a lack of thermal dilation characteristics analyses in previous studies, the thermal dilation mechanisms are still unclear to users, which restricts the accurate understanding of the thermal dilation evolution process. Given the above challenges, an advanced multi-temporal DInSAR approach is proposed in this study, and the effectiveness of this approach was presented using three cases studies concerning different infrastructure types. In this method, the coherent, incoherent, and semantic information of structures were combined in order to refine the detection of point-like targets (PTs). Interferometric subsets with small temporal baselines and temperature differences were used for high-resolution topography estimation. A pre-analysis was adopted to determine the transmission direction, spatial pattern, and temporal variation of the thermal dilation. Then, both the traditional least squares estimation and our robust coherence-weighted least squares regression analysis were performed between the time series displacements and the corresponding temperatures to quantitatively estimate the thermal dilation model. The results were verified in terms of the estimated linear thermal dilation coefficient, which indicates the greater reliability of our method. Furthermore, the thermal dilation characteristics of different civil infrastructure types were analysed, which facilitates a greater understanding of the thermal dilation evolution process of civil infrastructures.","Civil infrastructures; Least squares; Multi-temporal DInSAR analysis; TerraSAR-X; Thermal dilation characteristics","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:de09c56c-3683-4e00-a51d-251456e6e5ad","http://resolver.tudelft.nl/uuid:de09c56c-3683-4e00-a51d-251456e6e5ad","Applying independent component analysis on sentinel-2 imagery to characterize geomorphological responses to an extreme flood event near the non-vegetated Río Colorado terminus, Salar de Uyuni, Bolivia","Li, Jiaguang (China University of Geosciences, Wuhan; Chengdu University of Technology); Yang, Xiucheng (University of Strasbourg); Maffei, C. (TU Delft Optical and Laser Remote Sensing); Tooth, Stephen (Aberystwyth University); Yao, Guangqing (China University of Geosciences, Wuhan)","","2018","In some internally-draining dryland basins, ephemeral river systems terminate at the margins of playas. Extreme floods can exert significant geomorphological impacts on the lower reaches of these river systems and the playas, including causing changes to flood extent, channel-floodplain morphology, and sediment dispersal. However, the characterization of these impacts using remote sensing approaches has been challenging owing to variable vegetation and cloud cover, as well as the commonly limited spatial and temporal resolution of data. Here, we use Sentinel-2 Multispectral Instrument (MSI) data to investigate the flood extent, flood patterns and channel-floodplain morphodynamics resulting from an extreme flood near the non-vegetated terminus of the Río Colorado, located at the margins of the world's largest playa (Salar de Uyuni, Bolivia). Daily maximum precipitation frequency analysis based on a 42-year record of daily precipitation data (1976 through 2017) indicates that an approximately 40-year precipitation event (40.7 mm) occurred on 6 January 2017, and this was associated with an extreme flood. Sentinel-2 data acquired after this extreme flood were used to separate water bodies and land, first by using modified normalized difference water index (MNDWI), and then by subsequently applying independent component analysis (ICA) on the land section of the combined pre- and post-flood images to extract flooding areas. The area around the Río Colorado terminus system was classified into three categories: water bodies, wet land, and dry land. The results are in agreement with visual assessment, with an overall accuracy of 96% and Kappa of 0.9 for water-land classification and an overall accuracy of 83% and Kappa of 0.65 for dry land-wet land classification. The flood extent mapping revealed preferential overbank flow paths on the floodplain, which were closely related to geomorphological changes. Changes included the formation and enlargement of crevasse splays, channel avulsion, and the development of erosion cells (floodplain scour-transport-fill features). These changes were visualized by Sentinel-2 images along with WorldView satellite images. In particular, flooding enlarged existing crevasse splays and formed new ones, while channel avulsion occurred near the river's terminus. Greater overbank flow on the floodplain led to rapid erosion cell development, with changes to channelized sections occurring as a result of adjustments in flow sources and intensity combined with the lack of vegetation on the fine-grained (predominantly silt, clay) sediments. This study has demonstrated how ICA can be implemented on Sentinel-2 imagery to characterize the impact of extreme floods on the lower Río Colorado, and the method has potential application in similar contexts in many other drylands.","Extreme flood; Flood mapping; Floodplain channels; Geomorphologic changes; Río Colorado dryland river terminus; Sentinel-2","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:b1bcff30-612c-4364-8d87-99edf23f7904","http://resolver.tudelft.nl/uuid:b1bcff30-612c-4364-8d87-99edf23f7904","Security Vulnerabilities in LoRaWAN","Yang, Xueying; Karampatzakis, Evgenios; Dörr, C. (TU Delft Cyber Security); Kuipers, F.A. (TU Delft Embedded Systems)","","2018","LoRaWAN is a MAC-layer protocol for long-range low-power communication. Since its release in 2015, it has experienced a rapid adoption in the field of Internet-of-Things (IoT). However, given that LoRaWAN is fairly novel, its level of security has not been thoroughly analyzed, which is the main objective of this paper. We highlight the security features present in LoRaWAN, namely activation methods, key management, cryptography, counter management, and message acknowledgement. Subsequently, we discover and analyze several vulnerabilities of LoRaWAN. In particular, we design and describe 5 attacks: (1) a replay attack that leads to a selective denial-of-service on individual IoT devices, (2) plaintext recovery, (3) malicious message modification, (4) falsification of delivery reports, and (5) a battery exhaustion attack. As a proof-of-concept, the attacks are implemented and executed in a controlled LoRaWAN environment. Finally, we discuss how these attacks can be mitigated or protected against.","","en","conference paper","IEEE","","","","","","","","","","Embedded Systems","","",""
"uuid:fa4e2a36-aaea-40fe-bedb-e443486f8d04","http://resolver.tudelft.nl/uuid:fa4e2a36-aaea-40fe-bedb-e443486f8d04","Quantitative assessment of groundwater and Surface water interactions in the Hailiutu river basin, Erdos plateau, China","Yang, Z. (TU Delft Water Resources)","Uhlenbrook, S. (promotor); Zhou, Y. (promotor); Delft University of Technology (degree granting institution)","2018","This study presents a multi-disciplinary approach for investigating the interactions between groundwater and surface water in the semi-arid Hailiutu catchment in the Erdos Plateau, Northwest China. The study consists of statistical detection of river flow regime shifts at the basin level; multiple in-situ measurements for quantifying groundwater discharges using hydraulic, hydrochemical and temperature methods at a local scale; analysis and simulation of impacts of different land use scenarios on groundwater and surface water interactions at the subcatchment scale; and the quantification of temporal and spatial groundwater and surface water interactions with hydrochemical tracers and modelling methods at the basin scale. The study found that the river flow consists of mainly groundwater discharges at all scales. The river flow regime has been intensively altered by human activities, such as the construction of reservoirs, water diversion, groundwater exploitation, and reforestation. Water use by plants and crops consumes majority of the precipitation. Groundwater sustains vegetation growth and feeds river discharges. The water resources and ecosystem management priority should reduce evaporative water uses by promoting dry resistant plant species for vegetating sand dunes and lower irrigation demand crops for socio-economic development. Furthermore, the Hailiutu River catchment must manage the groundwater recharge for water resource conservation and the maintenance of healthy ecosystems","","en","doctoral thesis","CRC Press / Balkema - Taylor & Francis Group","978-1-138-59687-0","","","","Dissertation submitted in fulfillment of the requirements of the Board for Doctorates of Delft University of Technology and of the Academic Board of the UNESCO-IHE Institute for Water Education.","","","","","Water Resources","","",""
"uuid:28ae13db-bc86-46fb-bf20-0652a3fa2281","http://resolver.tudelft.nl/uuid:28ae13db-bc86-46fb-bf20-0652a3fa2281","Credibility and Social conflicts: Mining Institutions and Rural Community in China","Yang, X. (TU Delft Organisation & Governance)","Ho, P.P.S. (promotor); van Dijk, M.P. (promotor); Delft University of Technology (degree granting institution)","2018","The mining governance in China features a dilemma: on the one hand, there are various issues inherent in China’s mining institutions: 1) an outdated nature of the current legislation, 2) ambiguity in the regulations, and 3) administrative fragmentation of responsible authorities. On the other hand, China has witnessed a fast growing mining industry. Therefore, this study aims to explain this phenomenon by adopting the theories and conceptual framework on institutional function and credibility put forward by Ho (2005, 2014, 2016a). The central question is as follows: How do China’s mining institutions affect the local communities, and to what extent do the rural residents perceive these as credible?","","en","doctoral thesis","","978-94-6186-907-4","","","","","","","","","Organisation & Governance","","",""
"uuid:a3373029-f4b0-4cce-9515-c680ce0043ee","http://resolver.tudelft.nl/uuid:a3373029-f4b0-4cce-9515-c680ce0043ee","Cathodic Corrosion of a Bulk Wire to Nonaggregated Functional Nanocrystals and Nanoalloys","Feng, J. (Universiteit Leiden); Chen, Dong (Chinese Academy of Sciences); Sediq, Ahmad S. (Universiteit Leiden); Romeijn, Stefan (Universiteit Leiden); Tichelaar, F.D. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft); Jiskoot, Wim (Universiteit Leiden); Yang, J. (Chinese Academy of Sciences); Koper, M.T.M. (Universiteit Leiden)","","2018","A key enabling step in leveraging the properties of nanoparticles (NPs) is to explore new, simple, controllable, and scalable nanotechnologies for their syntheses. Among ""wet"" methods, cathodic corrosion has been used to synthesize catalytic aggregates with some control over their size and preferential faceting. Here, we report on a modification of the cathodic corrosion method for producing a range of nonaggregated nanocrystals (Pt, Pd, Au, Ag, Cu, Rh, Ir, and Ni) and nanoalloys (Pt50Au50, Pd50Au50, and AgxAu100-x) with potential for scaling up the production rate. The method employs poly(vinylpyrrolidone) (PVP) as a stabilizer in an electrolyte solution containing nonreducible cations (Na+, Ca2+), and cathodic corrosion of the corresponding wires takes place in the electrolyte under ultrasonication. The ultrasonication not only promotes particle-PVP interactions (enhancing NP dispersion and diluting locally high NP concentration) but also increases the production rate by a factor of ca. 5. Further increase in the production rate can be achieved through parallelization of electrodes to construct comb electrodes. With respect to applications, carbon-supported Pt NPs prepared by the new method exhibit catalytic activity and durability for methanol oxidation comparable or better than the commercial benchmark catalyst. A variety of AgxAu100-x nanoalloys are characterized by ultraviolet-visible absorption spectroscopy and high-resolution transmission electron microscopy. The protocol for NP synthesis by cathodic corrosion should be a step toward its further use in academic research as well as in its practical upscaling.","alloy nanoparticles; Cathodic corrosion; comb electrodes; electrocatalysis; methanol oxidation reaction; optical properties","en","journal article","","","","","","","","","","","QN/Zandbergen Lab","","",""
"uuid:3c190cb2-528e-4da9-90d8-deeec548e356","http://resolver.tudelft.nl/uuid:3c190cb2-528e-4da9-90d8-deeec548e356","Spatio-temporal characterization of a reclamation settlement in the Shanghai coastal area with time series analyses of X-, C-, and L-band SAR datasets","Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Yang, Tianliang (Ministry of Land and Resources; Shanghai Institute of Geological Survey); Zhang, L. (Collaborative Innovation Center for Geospatial Technology); Lin, Jinxin (Ministry of Land and Resources; Shanghai Institute of Geological Survey); Qin, Xiaoqiong (Wuhan University); Liao, Mingsheng (Wuhan University; Collaborative Innovation Center for Geospatial Technology)","","2018","Large-scale reclamation projects during the past decades have been recognized as one of the driving factors behind land subsidence in coastal areas. However, the pattern of temporal evolution in reclamation settlements has rarely been analyzed. In this work, we study the spatio-temporal evolution pattern of Linggang New City (LNC) in Shanghai, China, using space-borne synthetic aperture radar interferometry (InSAR) methods. Three data stacks including 11 X-band TerraSAR-X, 20 L-band ALOS PALSAR, and 35 C-band ENVISAT ASAR images were used to retrieve time series deformation from 2007 to 2010 in the LNC. An InSAR analysis from the three data stacks displays strong agreement in mean deformation rates, with coefficients of determination of about 0.9 and standard deviations for inter-stack differences of less than 4 mm/y. Meanwhile, validations with leveling data indicate that all the three data stacks achieved millimeter-level accuracies. The spatial distribution and temporal evolution of deformation in the LNC as indicated by these InSAR analysis results relates to historical reclamation activities, geological features, and soil mechanisms. This research shows that ground deformation in the LNC after reclamation projects experienced three distinct phases: primary consolidation, a slight rebound, and plateau periods.","ALOS PALSAR; ENVISAT ASAR; Lingang New City; Reclamation settlements; TerraSAR-X; Time series InSAR analysis","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:a5ad0d11-47a8-4d1c-b0ec-098af889d852","http://resolver.tudelft.nl/uuid:a5ad0d11-47a8-4d1c-b0ec-098af889d852","Effect of KAlF4 on the efficiency of hot metal desulphurisation with magnesium","Schrama, F.N.H. (TU Delft (OLD) MSE-3); Beunder, Elisabeth M. (Tata Steel Europe Limited); Ji, Fuzhong (Materials Processing Institute); Woolf, Rhian (Tata Steel); Barnes, Chris (Tata Steel); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2018","At the hot metal desulphurisation (HMD) stations of Tata Steel’s BOS plant in Port Talbot (UK), KAlF4 is injected together with magnesium in order to increase the fluidity of the slag and thus to decrease the iron loss. Although KAlF4 is successfully contributing to a lower iron loss during HMD, it also has a negative effect on the desulphurisation efficiency of magnesium. Analysis of slag samples from the plant and FactSage calculations showed that the fluorine in KAlF4 reacts with the magnesium, thus preventing the magnesium from reacting with the sulphur.","Hot Metal Desulphurisation; slag modifier; magnesium efficiency; fluorine; potassium cryolite","en","conference paper","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:dfb72e2c-6565-4006-a87e-ae5426a5949c","http://resolver.tudelft.nl/uuid:dfb72e2c-6565-4006-a87e-ae5426a5949c","On the Potential of Lattice Type Model for Predicting Shear Capacity of Reinforced Concrete and SHCC Structures","Lukovic, M. (TU Delft Concrete Structures; TU Delft Steel & Composite Structures); Yang, Y. (TU Delft Concrete Structures; TU Delft Steel & Composite Structures); Schlangen, E. (TU Delft Materials and Environment); Hordijk, D.A. (TU Delft Concrete Structures; TU Delft Steel & Composite Structures)","Hordijk, D. (editor); Lukovic, M. (editor)","2018","Different analytical models exist to predict the shear strength of reinforced concrete members. Generally, each of these shear strength models consists of a formulation based on certain underlying theory and fitted model coefficients. The model fitting parameters are usually established from the comparison with test data. Hence, the predictive value of a shear strength model depends, to some extent, on the quality and representativeness of the used test data.
This work investigates the predictive capability of several shear strength models for reinforced concrete beams without shear reinforcement. Particular attention is given to the application domain of relatively low reinforced and high depth concrete beams where limited shear test data is available. The predictive capability of the models for this area of interest is analyzed with Bayesian Inference. This probabilistic technique calculates the posterior distributions of uncertain parameters, given a set of measured test data and some prior knowledge.
The predictive capability of each shear strength model is quantified by means of a calculated model uncertainty. Furthermore, the influence of the uncertainty in model parameter values on the calculated model uncertainties is evaluated. Bayesian Inference is also used to estimate the model evidences conditionally on the used data.","Lattice model; Shear; Parameter analysis; Reinforced concrete; SHCC","en","conference paper","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-02","","","Concrete Structures","","",""
"uuid:15464d1f-e7eb-40bd-b096-4fe80e64a2fb","http://resolver.tudelft.nl/uuid:15464d1f-e7eb-40bd-b096-4fe80e64a2fb","Numerical study of wheel-rail impact contact solutions at an insulated rail joint","Yang, Z. (TU Delft Railway Engineering); Boogaard, M.A. (TU Delft Railway Engineering); Wei, Z. (TU Delft Railway Engineering); Liu, Jinzhao (China Academy of Railway Sciences); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2018","This paper presents an analysis of the transient contact solutions of wheel-rail frictional rolling impacts calculated by an explicit finite element model of the wheel-insulated rail joint (IRJ) dynamic interaction. The ability of the model to simulate the dynamic behavior of an IRJ has been validated against a comprehensive field measurement in a recent paper (Yang et al., 2018). In addition to the measured railhead geometry and bi-linear elastoplastic material model used in Yang et al. (2018), this study adopts a nominal railhead geometry and an elastic material model for the simulations to provide an overall understanding of the transient contact behavior of wheel-IRJ impacts. Each simulation calculates the evolution of the contact patch area, stress magnitude and direction, micro-slip distribution, and railhead nodal vibration velocity in the vicinity of the joint during the wheel-IRJ impacts. The simulations apply small computational and output time steps to capture the high-frequency dynamic effects at the wheel-IRJ impact contact. Regular wave patterns that indicate wave generation, propagation and reflection are produced by the simulations; this has rarely been reported in previous research. The simulated waves reflect continuum vibrations excited by wheel-rail frictional rolling and indicate that the simulated impact contact solutions are reliable.","Insulated rail joint (IRJ); Explicit FEM; Wheel-rail impact contact; Transient solution; Wave","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-08-12","","","Railway Engineering","","",""
"uuid:14f69451-c102-4ae8-acc0-e88fad060165","http://resolver.tudelft.nl/uuid:14f69451-c102-4ae8-acc0-e88fad060165","Numerical and experimental study of wheel-rail impact vibration and noise generated at an insulated rail joint","Yang, Z. (TU Delft Railway Engineering); Boogaard, M.A. (TU Delft Railway Engineering); Chen, Rong; Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2018","This paper presents an analysis of the transient contact solutions of wheel-rail frictional rolling impacts calculated by an explicit finite element model of the wheel-insulated rail joint (IRJ) dynamic interaction. The ability of the model to simulate the dynamic behavior of an IRJ has been validated against a comprehensive field measurement in a recent paper (Yang et al., 2018). In addition to the measured railhead geometry and bi-linear elastoplastic material model used in Yang et al. (2018), this study adopts a nominal railhead geometry and an elastic material model for the simulations to provide an overall understanding of the transient contact behavior of wheel-IRJ impacts. Each simulation calculates the evolution of the contact patch area, stress magnitude and direction, micro-slip distribution, and railhead nodal vibration velocity in the vicinity of the joint during the wheel-IRJ impacts. The simulations apply small computational and output time steps to capture the high-frequency dynamic effects at the wheel-IRJ impact contact. Regular wave patterns that indicate wave generation, propagation and reflection are produced by the simulations; this has rarely been reported in previous research. The simulated waves reflect continuum vibrations excited by wheel-rail frictional rolling and indicate that the simulated impact contact solutions are reliable.","","en","journal article","","","","","","","","2019-11-20","","","Railway Engineering","","",""
"uuid:6b57f4d7-e34c-46a5-9dac-20bcd8aa8680","http://resolver.tudelft.nl/uuid:6b57f4d7-e34c-46a5-9dac-20bcd8aa8680","Conflict over mining in rural China: A comprehensive survey of intentions and strategies for environmental activism","Ho, Peter (Tsinghua University; London School of Economics); Yang, X. (TU Delft Organisation & Governance)","","2018","Mining causes severe adverse effects such as pollution and forced resettlement. Accordingly, it has prompted conflicts that are also evident in China. Our study assesses whether and how rural residents' engage in environmental activism (EA) against mining. This is achieved by constructing a model of EA strategies, coupled to variables that examine respondents' intentions. The model uses data from a survey (n = 352) covering 37 villages spread over 5 provinces and 1 provincial-level municipality. The model is based on a refinement of the theory of planned behavior (TPB). Various findings are reported: (1) a majority of respondents (77%) believes that pollution in the mining areas is serious; (2) there is pessimism about the effects of EA with 41% believing it does not improve the environment, and less than one-fifth feeling the government supports EA, contradictorily; (3) well over half has engaged in one or more forms of EA, while (4) dominant EA strategies consist of complaining to local government or village authorities (both over 40%), or open protest (opted for by over 17%); (5) economic dependency and gender affect the intention for EA, as those employed in mining and women are less inclined to participate. Whereas studies pointed to ""inclined abstainers"" or the ""silent majority"", this study ascertains that-with regard to mining-rural residents are not silent. We posit that a threshold of environmental endurance might have been reached. In this context, policymakers need to tackle the adverse effects of mining, as it is likely to generate more violent confrontations that ultimately pose risks to political credibility and social stability.","Environmentalism; Farmers' resistance; Mining; Social conflict and protest","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:d7772074-6aec-49ac-9198-4df5f1bc3aa9","http://resolver.tudelft.nl/uuid:d7772074-6aec-49ac-9198-4df5f1bc3aa9","Social Gamification in Enterprise Crowdsourcing","Afentoulidis, G. (Student TU Delft); Szlávik, Z. (IBM Benelux); Yang, J. (University of Fribourg); Bozzon, A. (TU Delft Web Information Systems)","","2018","Enterprise crowdsourcing capitalises on the availability of employees for in-house data processing. Gamification techniques can help aligning employees' motivation to the crowdsourcing endeavour. Although hitherto, research efforts were able to unravel the wide arsenal of gamification techniques to construct engagement loops, little research has shed light into the social game dynamics that those foster and how those impact crowdsourcing activities. This work reports on a study that involved 101 employees from two multinational enterprises. We adopt a user-centric approach to apply and experiment with gamification for enterprise crowdsourcing purposes. Through a qualitative study, we highlight the importance of the competitive and collaborative social dynamics within the enterprise. By engaging the employees with a mobile crowdsourcing application, we showcase the effectiveness of competitiveness towards higher levels of engagement and quality of contributions. Moreover, we underline the contradictory nature of those dynamics, which combined might lead to detrimental effects towards the engagement to crowdsourcing activities.","enterprise crowdsourcing; gamification; social incentives","en","conference paper","ACM Sigmobile","","","","","Accepted Author Manuscript","","","","","Web Information Systems","","",""
"uuid:1717ead8-34f4-4bc0-945c-1c4f0fbba773","http://resolver.tudelft.nl/uuid:1717ead8-34f4-4bc0-945c-1c4f0fbba773","Transport Demand Management Policy Integration in Chinese Cities: A Proposed Analysis of Its Effects","Yang, W. (TU Delft Organisation & Governance); Veeneman, Wijnand (TU Delft Organisation & Governance); de Jong, W.M. (TU Delft Organisation & Governance)","","2018","Transport demand management (TDM) measures are widely regarded as essential tools to deal with traffic issues. Their effectiveness has been under scrutiny. Packaging of TDM measures has recently received much attention from researchers and governments because it can achieve more complex policy goals and resolve the negative effects of single TDM measures. Many studies have examined the concept of policy packaging, the ideal packaging process, and potential barriers at the theoretical level. However, the way TDM packaging as a concept works in a real-world context has received little attention. Additionally, there is little methodology to analyse its characteristics from a dynamic and historical perspective. Therefore, this study provides a methodology for analysing TDM packaging in four dimensions (i.e., density, classification, interaction, and time). These dimensions respectively reveal how many and what kind of TDM measures have been implemented, how they interact in a package, and how these characteristics change over time. We examine this methodology through comparative case studies based on policy document analysis in two Chinese cities, Dalian and Shenzhen, both of which adopt a large number of TDM measures. The results show that this methodology successfully reveals the characteristics of case cities: both tend to put more TDM measures into the transport policy package to deal with traffic issues, but the package in Shenzhen is more integrative than that in Dalian. We also find that with the integration of packaging increasing, transport systems are becoming more sustainable, and Shenzhen performs better in this regard than Dalian. This methodology can be used to analyse policy packaging in broader areas and to examine its influence on transport systems in more case studies in future research.","transport demand management (TDM); policy packaging; transport policy; congestion; emissions; Dalian; Shenzhen; China","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:797864cd-050e-4a30-b269-3602f51b579a","http://resolver.tudelft.nl/uuid:797864cd-050e-4a30-b269-3602f51b579a","Morphodynamic impacts of large-scale engineering projects in the Yangtze River delta","Luan, Hualong (East China Normal University; Changjiang River Scientific Research Institute (CRSRI)); Ding, P (East China Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; East China Normal University; Deltares); Yang, S.L. (East China Normal University); Lu, Jin You (Changjiang River Scientific Research Institute (CRSRI))","","2018","Morphodynamics of world's river deltas are increasingly affected by human activities, which are of great ecological, economic and social implications. However, impacts of human interventions in deltaic regions are insufficiently
understood, especially superimposed upon diminishing sediment supplies. This study uses the heavily interfered Yangtze River delta as an example to address this issue. The morphodynamic impacts of the Deepwater Navigation Channel Project (DNCP) during 1997–2013 are investigated through process-based
modeling approach (Delft3D) and bathymetric data analysis. The DNCP was implemented in the mouth bar area of the Yangtze River delta including the twin dikes and 19 groynes with the total length of 132.0 km. Hydrodynamic simulations indicate that the training walls resulted in weaker tidal flow and longer slack period at the East Hengsha Shoal (EHS) and stronger tidal flow at the subaqueous delta. Thus, the EHS is characterized as a sediment accumulation zone after the completion of the training walls. Subsequently, morphological
modeling shows enhanced accretion at the EHS and enhanced erosion at the subaqueous delta when the training walls are taken into account. Numerical experiments further demonstrate that the above changes are mainly attributed to the seaward half of the northern training walls constructed in 2002–2005. This is probably the reason for the observed accretion peak of the EHS in 2002–2007 and the gradual increase in the erosion rate of the subaqueous delta after 2002. The schematized paths of sediment transport after the DNCP indicate that
sediment eroded from the subaqueous delta serves as an important source for accretion of the mouth bar area. It is suggested that siltation promoting projects within the mouth bar area increased shallow shoal accretion and aggravated erosion at the subaqueous delta. With the overall erosion of the Yangtze River delta due to river sediment reduction, large-scale estuarine engineering projects substantially increase the complicacy of its morphodynamic pattern, which merits close attention for sustainable delta management.","Morphodynamics; Estuarine engineering projects; Process-based modeling; Yangtze river delta","en","journal article","","","","","","","","2020-09-03","","","Coastal Engineering","","",""
"uuid:fd1e3813-88f4-4c66-8794-1fcb489c035d","http://resolver.tudelft.nl/uuid:fd1e3813-88f4-4c66-8794-1fcb489c035d","Thermodynamic evaluation for reduction of iron oxide ore particles in a high temperature drop tube furnace","Chen, Z. (TU Delft (OLD) MSE-3); Qu, Yingxia (Northeastern University); Zeilstra, Christiaan (Tata Steel); van der Stel, Jan (Tata Steel); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2018","Melting and reduction of fine iron ore particles in the gas environment of a HIsarna smelting cyclone is a critically important topic, but very limited information is currently available except for some experimental data from high temperature drop tube furnace (HTDF). This work discusses the equilibrium state of reacting iron ore in the HTDF environment by thermodynamic calculations to strengthen the understanding of the HIsarna process. The limit of reduction termination of the ore particles was estimated in the calculation for the thermal decomposition and topochemical gas reduction. The theoretical calculation results are compared with the experimental data from the previous studies. Furthermore, variation of slag composition and iron valence states were estimated theoretically to understand the effects of post combustion ratio value and hydrogen/carbon ratio on the equilibrium state of the ore particles in the reducing gas.","gas–solid particle reduction; haematite ore; HIsarna process; thermodynamics","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:03473111-4388-44ac-8eff-fa31512414b2","http://resolver.tudelft.nl/uuid:03473111-4388-44ac-8eff-fa31512414b2","Stop criteria for proof load tests verified with field and laboratory testing of the Ruytenschildt Bridge","Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Yang, Y. (TU Delft Concrete Structures); van der Veen, C. (TU Delft Concrete Structures); Hordijk, D.A. (TU Delft Concrete Structures); De Boer, Ane (Ministry of Infrastructure and the Environment)","","2018","As the existing bridge stock is aging, improved assessment methods such as proof load testing become increasingly important. Proof load testing involves large loads, and as such the risk for the structure and personnel can be significant. To capture the structural response, extensive measurements are applied to proof load tests. Stop criteria, based on the measured quantities, are used to identify when further loading in a proof load test is not permitted. For proof load testing of buildings, stop criteria are available in existing codes. For bridges, recently stop criteria based on laboratory tests on beams reinforced with plain bars have been proposed. Subsequently, improved stop criteria were developed based on theoretical considerations for bending moment and shear. The stop criteria from the codes and the proposed stop criteria are compared to the results from field testing to collapse on the Ruytenschildt Bridge, and to the results from laboratory tests on beams sawn from the Ruytenschildt Bridge. This comparison shows that only a small change to the stop criteria derived from laboratory testing is necessary. The experimental evidence strengthens the recommendation for using the proposed stop criteria in proof load tests on bridges for bending moment, whereas further testing to confirm the stop criteria for shear is necessary.","","en","conference paper","","","","","","","","","","","Concrete Structures","","",""
"uuid:9848d77d-a98a-45c5-8077-aa3735d53f04","http://resolver.tudelft.nl/uuid:9848d77d-a98a-45c5-8077-aa3735d53f04","Production and immobilization of lipase PCL and its application in synthesis of α‐linolenic acid‐rich diacylglycerol","Liu, Nan (South China University of Technology); Liu, D. (South China University of Technology); Wang, Weifei (Guangdong Academy of Agricultural Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Xu, Long (South China University of Technology); Ma, Yunjian (South China University of Technology); Yang, Bo (South China University of Technology); Bai, Weidong (Zhongkai University of Agriculture and Engineering); Sun, Xiaotao (Beijing Technology and Business University); Wang, Yonghua (South China University of Technology)","","2018","α‐Linolenic acid‐rich diacylglycerol has been demonstrated with promising health promotion functions. This study examined the production, immobilization of lipase PCL, and its application in the synthesis of diacylglycerol by esterification of α‐linolenic acid with glycerol. The resin ECR8806 was selected as an effective support for the immobilization of lipase PCL. Fourier transform infrared and Laser scanning confocal microscope analysis proved that the lipase was successfully immobilized on the resin. Compared with the free PCL, the immobilized one exhibited higher temperature tolerance. Under optimized reaction conditions, a DAG content of 54.49% were obtained. After further purified by molecular distillation, the purity of DAG was up to 99.28%. During esterification, the immobilized PCL was quite stable and retained more than 91.60% of its initial activity after 10 cycles. These new findings on the immobilized PCL will make it to be a prospective enzyme in oils and fats industry.","diacylglycerol; esterification; immobilization; reusability; a-Linolenic acid","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-01-23","","","BT/Biocatalysis","","",""
"uuid:ebc550c6-9ac5-4df6-bf08-65591d320e6d","http://resolver.tudelft.nl/uuid:ebc550c6-9ac5-4df6-bf08-65591d320e6d","LearningQ: A Large-scale Dataset for Educational Question Generation","Chen, G. (TU Delft Web Information Systems); Yang, J. (University of Fribourg); Hauff, C. (TU Delft Web Information Systems); Houben, G.J.P.M. (TU Delft Web Information Systems)","","2018","We present LearningQ, a challenging educational question generation dataset containing over 230K document-question pairs. It includes 7K instructor-designed questions assessing knowledge concepts being taught and 223K learner-generated questions seeking in-depth understanding of the taught concepts. We show that, compared to existing datasets that can be used to generate educational questions, LearningQ (i) covers a wide range of educational topics and (ii) contains long and cognitively demanding documents for which question generation requires reasoning over the relationships between sentences and paragraphs. As a result, a significant percentage of LearningQ questions (~30%) require higher-order cognitive skills to solve (such as applying, analyzing), in contrast to existing question-generation datasets that are designed mostly for the lowest cognitive skill level (i.e. remembering). To understand the effectiveness of existing question generation methods in producing educational questions, we evaluate both rule-based and deep neural network based methods on LearningQ. Extensive experiments show that state-of-the-art methods which perform well on existing datasets cannot generate useful educational questions. This implies that LearningQ is a challenging test bed for the generation of high-quality educational questions and worth further investigation. We open-source the dataset and our codes at https://dataverse.mpi-sws.org/dataverse/icwsm18.","Automatic Question Generation; Deep Neural Network; Human Learning; Bloom's Revised Taxonomy","en","conference paper","Association for the Advancement of Artificial Intelligence (AAAI)","","","","","","","","","","Web Information Systems","","",""
"uuid:7be16c7f-8c03-4f39-a319-c6e60ea0a9a6","http://resolver.tudelft.nl/uuid:7be16c7f-8c03-4f39-a319-c6e60ea0a9a6","Recovery of neodymium as (Na, Nd)(SO4)2 from the ferrous fraction of a general WEEE shredder stream","Peelman, S. (TU Delft (OLD) MSE-3); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2018","Neodymium is a critical element used in many high-tech applications. However, despite this, the EU is entirely dependent on China for its Nd supply. This has driven the EU to develop recycling strategies to recover its Nd from end-of-life (EoL) products and wastes, and establish a domestic supply. This paper proposes a process to recycle Nd from NdFeB magnet particles present in the ferrous fraction of shredded “Waste Electrical and Electronic Equipment” (WEEE), after physical upgrading. This WEEE fraction represents a waste stream that has not been previously considered a source of Nd. A three-step process was developed. First, the upgraded ferrous WEEE fraction is oxidized by means of water corrosion. Second, the oxidized WEEE is leached with diluted H2SO4 to selectively extract Nd and other nonferrous elements. And finally, the leach liquor is treated with Na2SO4 to precipitate the Nd as its double sulfate (Nd, Na)(SO4)2. The oxidation process oxidizes 93% of the metallic iron to Fe(OH)3, leaving 7% of the iron unoxidized. The leaching process dissolves between 70 and 99% of the Nd, depending on the temperature and liquid/solid ratio (L/S); this is accompanied by an iron coextraction between 9 and 20%. The precipitation recovers 92% of the leached Nd. The purity of the obtained precipitates is dependent on the pH at which the precipitation takes place. A pH below 0.5 is required to prevent Fe contamination, and a pH below 0 reduces the Ca contamination to below 1 wt%. The developed process provides an effective and low-cost method to recycle Nd from a shredded WEEE stream with an overall Nd recovery of over 90%.","WEEE recycling; Neodymium; Rare earth elements; Secondary resources; Rare earth recycling","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:2a235e83-475e-4f95-8004-fed141a08673","http://resolver.tudelft.nl/uuid:2a235e83-475e-4f95-8004-fed141a08673","Hydrometallurgical recovery of rare earth elements from mine tailings and WEEE","Peelman, S. (TU Delft (OLD) MSE-3); Kooijman, D. (Elemetal BV); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2018","The present study proposes three distinct processes to recycle rare earth elements (REE) from two low-grade secondary resources: REE-containing mine tailings and ferrous scrap from shredded waste of electrical and electronic equipment (WEEE). The first developed process extracts both REE and phosphorus from the apatite mineral contained within the mine tailings by way of acidic leaching, followed by cryogenic crystallization and solvent extraction to purify both the REE and P products. This process successfully recovers 70–100% of the REE from the apatite and over 99% of its P. The second developed process is a low-cost, efficient method to recover Nd from the ferrous scrap of shredded WEEE. This is achieved by a water corrosion step followed by acidic leaching and precipitation. The overall Nd recovery of this approach is over 90%. The final process recovers both the Nd and the Fe from the shredded WEEE scrap. This is done by smelting the shredded WEEE scrap prior to leaching to produce metallic Fe- and a Nd-rich slag. The recovery rates of both Nd and Fe are over 90% and minimal waste is produced; however, the energy consumption is considerable.","WEEE recycling; Low-grade resources; Rare earth elements; Secondary resources; Rare earth recycling","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:e4c73450-bc1a-414a-8891-6b815575d49c","http://resolver.tudelft.nl/uuid:e4c73450-bc1a-414a-8891-6b815575d49c","Characterization of the burden behaviour of iron ore pellets mixed with nut coke under simulated blast furnace conditions","Gavel, D.J. (TU Delft (OLD) MSE-3); Song, Qingshi (Boashan Iron and Steel Company); Adema, A.T. (Tata Steel); van der Stel, Jan (Tata Steel); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2018","In the blast furnace, nut coke is utilized in a mixture with the ferrous burden to improve the gas permeability. Although applied in a broad range (10–40 mm, 2–23 wt-%), limited information is available on changed burden behaviour in its presence. In the present study, the detailed characterization was performed on the iron ore pellets quenched during sintering, softening and before complete melting. The quantified information of the phase distribution across the pellets is compared for the samples mixed with and without nut coke. The principal role played by the nut coke is on bringing higher reduction and lower sintering among the pellets. For the pellet mixed with nut coke, at the core, ∼25 vol.-% of the material is observed in a network arrangement. The core structure consists of a wüstite matrix (10–20 vol.-%) reinforced with the iron nuclei (5–15 vol.-%). On the contrary, in the absence of nut coke, the pellet core is observed being hollow.","Ironmaking; nut coke; olivine pellets; reduction; sintering; softening-melting","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:b47f237e-dc01-40ba-9e48-da5ad0d6e2b6","http://resolver.tudelft.nl/uuid:b47f237e-dc01-40ba-9e48-da5ad0d6e2b6","Ergonomic evaluation of pilot oxygen mask designs","Lee, W. (TU Delft Applied Ergonomics and Design); Yang, Xiaopeng (Pohang University of Science and Technology); Jung, Daehen (Korea Air Force Academy); Park, Seikwon (Korea Air Force Academy); Kim, Heeeun (Kyungpook National University); You, Heecheon (Pohang University of Science and Technology)","","2018","A revised pilot oxygen mask design was developed for better fit to the Korean Air Force pilots’ faces. The present study compared an existing pilot oxygen mask and a prototype of the revised mask design with 88 Korean Air Force pilots in terms of subjective discomfort, facial contact pressure, and slip distance on the face in high gravity. The average discomfort levels, facial contact pressures, and slip distance of the revised mask were reduced by 33%–56%, 11%–33%, and 24%, respectively, compared to those of the existing oxygen mask. The mask evaluation method employed in the study can be applied to ergonomic evaluation of full- or half-face mask designs.","Ergonomic evaluation; Facial contact pressure; Mask slip distance; Pilot oxygen mask; Subjective discomfort","en","journal article","","","","","","","","2019-10-10","","","Applied Ergonomics and Design","","",""
"uuid:7950fa2e-2144-4287-9f43-7146e1675c60","http://resolver.tudelft.nl/uuid:7950fa2e-2144-4287-9f43-7146e1675c60","An environmentally friendly electro-oxidative approach to recover valuable elements from NdFeB magnet waste","Venkatesan, P. (TU Delft (OLD) MSE-3); Sun, Z.H.I. (Chinese Academy of Sciences); Sietsma, J. (TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2018","In this manuscript, we demonstrate a room temperature electrochemical process for efficiently recycling NdFeB magnet waste. First, the magnet waste was completely leached with HCl and then, in-situ electrochemical oxidation was performed to selectively oxidize Fe(II) in the leachate to Fe(III). Finally, oxalic acid was added directly to the electro-oxidized leachate which selectively precipitated more than 98% of rare earth elements as rare-earth oxalates. The calcination of rare-earth oxalates produced mixed rare-earth oxides of 99.2% purity and a marketable Fe(III) solution as by-product. The electro-oxidized leachate was also subjected to an alternative neutralization route in which ammonium hydroxide was added to remove iron as ferric hydroxide. The iron free leachate with rare earth elements and cobalt was then subjected to oxalic acid precipitation treatment, which finally produced rare-earth oxides of 99.7% purity. Furthermore, a cobalt-rich solution was obtained in the end and electrowinning studies performed on the solution showed the feasibility of recovering pure metallic cobalt.","","en","journal article","","","","","","Accepted Author Manuscript","","2019-10-05","","","(OLD) MSE-3","","",""
"uuid:3b198f57-90dd-4e51-926c-d81dd94a96b0","http://resolver.tudelft.nl/uuid:3b198f57-90dd-4e51-926c-d81dd94a96b0","Set organic pollution as an impact category to achieve more comprehensive evaluation of life cycle assessment in wastewater-related issues","Zhao, X. (TU Delft Sanitary Engineering; Harbin Institute of Technology); Yang, Jixian (Harbin Institute of Technology); Ma, Fang (Harbin Institute of Technology)","","2018","For wastewater-related issues (WRI), life cycle assessment (LCA) is often used to evaluate environmental impacts and derive optimization strategies. To promote the application of LCA for WRI, it is critical to incorporate local impact of water pollutants. Organic pollution, a main type of water pollution, has not been given much consideration in current LCA systems. This paper investigates the necessity of setting a regionalized impact category to reflect the local impact of organic pollution. A case study is conducted concerning an upgraded wastewater treatment plant (WWTP) in China, which is assumed to meet different sewage control strategies. Chemical oxygen demand (COD) is selected to represent the organic pollution and treated as an individual impact category. CML 2002 is used to quantify the environmental impacts of different strategies. Results show that abnormal LCA results are generated with the traditional eutrophication impact category, and after the introduction of COD, more reasonable LCA results are obtained, making the entire comparison of different control strategies more meaningful and compelling. Moreover, BEES, Ecovalue 08, and Chinese factors are adopted here as different weighting methods. Different weighting results exhibited various trade-offs for the increasingly strict control strategies; the results of BEES and Ecovalue08 underlined the potential environmental burden, but the results of Chinese factors only emphasized the local environmental improvement. It is concluded that setting regionalized impact category for organic pollution can make LCA results more reasonable in wastewater treatment, especially in evaluating Chinese cases because of the serious water pollution caused by large quantities of COD emission.","Chemical oxygen demand; Life cycle assessment; Wastewater treatment; Weighting method","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:2c9a3726-3395-4ac0-b490-75d5cb4b207b","http://resolver.tudelft.nl/uuid:2c9a3726-3395-4ac0-b490-75d5cb4b207b","Selective electrochemical extraction of REEs from NdFeB magnet waste at room temperature","Venkatesan, P. (TU Delft (OLD) MSE-3); Vander Hoogerstraete, Tom (Katholieke Universiteit Leuven); Hennebel, Tom (Universiteit Gent); Binnemans, Koen (Katholieke Universiteit Leuven); Sietsma, J. (TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2018","NdFeB magnet waste is one of the important secondary resources from which rare-earth elements (REEs) can be recovered. Herein we present an electrochemical route to selectively extract REEs from the magnet waste at room temperature. First, the magnet waste was partially leached with HCl. The partial leachate along with undissolved magnet waste was taken in the anolyte side of a two compartment reactor separated by an anion exchange membrane whereas the catholyte consisted of sodium chloride solution. The Fe(ii) present in the leachate was oxidized and precipitated as Fe(OH)3 while more than 95% of REEs were extracted into the solution. Subsequently, oxalic acid was used to selectively precipitate REEs as rare-earth oxalates. Hydrochloric acid liberated during the oxalic acid precipitation process could be directly reused in the partial leaching step. Sodium chloride was the only chemical consumed during the electrolysis. The effect of the NaCl concentration in the anolyte and catholyte on the extraction of metals was investigated. From magnet waste to rare-earth oxides, the developed recycling process is environmentally friendly and consumes only electricity, NaCl and oxalic acid.","","en","journal article","","","","","","Accepted Author Manuscript","","2019-01-29","","","(OLD) MSE-3","","",""
"uuid:1c0e80f9-0a8e-4e34-9c0c-188f868a86ad","http://resolver.tudelft.nl/uuid:1c0e80f9-0a8e-4e34-9c0c-188f868a86ad","A variance maximization criterion for active learning","Yang, Y. (TU Delft Pattern Recognition and Bioinformatics; National University of Defense Technology); Loog, M. (TU Delft Pattern Recognition and Bioinformatics; University of Copenhagen)","","2018","Active learning aims to train a classifier as fast as possible with as few labels as possible. The core element in virtually any active learning strategy is the criterion that measures the usefulness of the unlabeled data based on which new points to be labeled are picked. We propose a novel approach which we refer to as maximizing variance for active learning or MVAL for short. MVAL measures the value of unlabeled instances by evaluating the rate of change of output variables caused by changes in the next sample to be queried and its potential labelling. In a sense, this criterion measures how unstable the classifier's output is for the unlabeled data points under perturbations of the training data. MVAL maintains, what we refer to as, retraining information matrices to keep track of these output scores and exploits two kinds of variance to measure the informativeness and representativeness, respectively. By fusing these variances, MVAL is able to select the instances which are both informative and representative. We employ our technique both in combination with logistic regression and support vector machines and demonstrate that MVAL achieves state-of-the-art performance in experiments on a large number of standard benchmark datasets.","Active learning; Retraining information matrix; Variance maximization","en","journal article","","","","","","Accepted Author Manuscript","","2020-02-10","","","Pattern Recognition and Bioinformatics","","",""
"uuid:9a3559e9-27b6-47cd-820d-d7ecc76cbc06","http://resolver.tudelft.nl/uuid:9a3559e9-27b6-47cd-820d-d7ecc76cbc06","Recurrent knowledge graph embedding for effective recommendation","Sun, Zhu (Nanyang Technological University); Yang, J. (University of Fribourg); Zhang, J. (Nanyang Technological University); Bozzon, A. (TU Delft Web Information Systems); Huang, Long Kai (Nanyang Technological University); Xu, Chi (Singapore Institute of Manufacturing Technology)","","2018","Knowledge graphs (KGs) have proven to be effective to improve recommendation. Existing methods mainly rely on hand-engineered features from KGs (e.g., meta paths), which requires domain knowledge. This paper presents RKGE, a KG embedding approach that automatically learns semantic representations of both entities and paths between entities for characterizing user preferences towards items. Specifically, RKGE employs a novel recurrent network architecture that contains a batch of recurrent networks to model the semantics of paths linking a same entity pair, which are seamlessly fused into recommendation. It further employs a pooling operator to discriminate the saliency of different paths in characterizing user preferences towards items. Extensive validation on real-world datasets shows the superiority of RKGE against state-of-the-art methods. Furthermore, we show that RKGE provides meaningful explanations for recommendation results.","Attention Mechanism; Knowledge Graph; Recurrent Neural Network; Semantic Representation","en","conference paper","Association for Computer Machinery","","","","","Accepted author manuscript","","","","","Web Information Systems","","",""
"uuid:46ef3f74-0cf8-4637-a215-f09084439cef","http://resolver.tudelft.nl/uuid:46ef3f74-0cf8-4637-a215-f09084439cef","Combined Effects of Unsteady River Discharges and Wave Conditions on River Mouth Bar Morphodynamics","Gao, Weilun (Beijing Normal University); Shao, Dongdong (Beijing Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; Beijing Normal University; Deltares); Nardin, William (University of Maryland); Yang, Wei (Beijing Normal University); Sun, Tao (Beijing Normal University); Cui, Baoshan (Beijing Normal University)","","2018","River mouth bar formation, a key process in fluvial-deltaic morphodynamics, is subject to both river discharge and waves. Given the increasing variability of both forcings under continuous climate change and human interventions, assessing their combined effects on mouth bar formatio n is an imperative issue. In this study, an extensive set of combined high and low river flows coupled with varying wave conditions and sediment grain sizes was assumed for numerical experiments conducted in Delft3D-SWAN. The results suggested that three regimes existed for mouth bar formation, namely, stable, ephemeral, and absent. These regimes corresponded to consistently weak, initially-weak-then-strong, and initially strong relative wave strengths, respectively, during the onset and reworking stages. Suppression of mouth bar formation further led to the inhibition of deltaic distributary networks. These findings have important implications for water and sediment management strategies, such as water diversion and dam regulation, in estuaries and deltas to prevent coastal erosion.","","en","journal article","","","","","","","","2019-07-01","","","Coastal Engineering","","",""
"uuid:a2ec304d-0cd7-4d00-9664-963383c2f05b","http://resolver.tudelft.nl/uuid:a2ec304d-0cd7-4d00-9664-963383c2f05b","A novel approach for modeling neural responses to joint perturbations using the NARMAX method and a hierarchical neural network","Tian, Runfeng (Northwestern University); Yang, Y. (Northwestern University); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University); Dewald, J.P.A. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University)","","2018","The human nervous system is an ensemble of connected neuronal networks. Modeling and system identification of the human nervous system helps us understand how the brain processes sensory input and controls responses at the systems level. This study aims to propose an advanced approach based on a hierarchical neural network and non-linear system identification method to model neural activity in the nervous system in response to an external somatosensory input. The proposed approach incorporates basic concepts of Non-linear AutoRegressive Moving Average Model with eXogenous input (NARMAX) and neural network to acknowledge non-linear closed-loop neural interactions. Different from the commonly used polynomial NARMAX method, the proposed approach replaced the polynomial non-linear terms with a hierarchical neural network. The hierarchical neural network is built based on known neuroanatomical connections and corresponding transmission delays in neural pathways. The proposed method is applied to an experimental dataset, where cortical activities from ten young able-bodied individuals are extracted from electroencephalographic signals while applying mechanical perturbations to their wrist joint. The results yielded by the proposed method were compared with those obtained by the polynomial NARMAX and Volterra methods, evaluated by the variance accounted for (VAF). Both the proposed and polynomial NARMAX methods yielded much better modeling results than the Volterra model. Furthermore, the proposed method modeled cortical responded with a mean VAF of 69.35% for a three-step ahead prediction, which is significantly better than the VAF from a polynomial NARMAX model (mean VAF 47.09%). This study provides a novel approach for precise modeling of cortical responses to sensory input. The results indicate that the incorporation of knowledge of neuroanatomical connections in building a realistic model greatly improves the performance of system identification of the human nervous system.","EEG; NARMAX; Neural modeling; Neural network; Non-linear system identification","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:4db1637e-554b-4c6e-9c92-99cab869ca3f","http://resolver.tudelft.nl/uuid:4db1637e-554b-4c6e-9c92-99cab869ca3f","Dynamic information flow based on EEG and diffusion MRI in stroke: A proof-of-principle study","Filatova, O.G. (TU Delft Biomechatronics & Human-Machine Control); Yang, Y. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University); Dewald, J.P.A. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University); Tian, Runfeng (Student TU Delft); Maceira-Elvira, Pablo (Swiss Federal Institute of Technology; Student TU Delft); Takeda, Yusuke (RIKEN Center for Emergent Matter Science (CEMS); ATR); Kwakkel, Gert (Amsterdam UMC); Yamashita, Okito (RIKEN Center for Emergent Matter Science (CEMS); ATR); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University)","","2018","In hemiparetic stroke, functional recovery of paretic limb may occur with the reorganization of neural networks in the brain. Neuroimaging techniques, such as magnetic resonance imaging (MRI), have a high spatial resolution which can be used to reveal anatomical changes in the brain following a stroke. However, low temporal resolution of MRI provides less insight of dynamic changes of brain activity. In contrast, electro-neurophysiological techniques, such as electroencephalography (EEG), have an excellent temporal resolution to measure such transient events, however are hindered by its low spatial resolution. This proof-of-principle study assessed a novel multimodal brain imaging technique namely Variational Bayesian Multimodal Encephalography (VBMEG), which aims to improve the spatial resolution of EEG for tracking the information flow inside the brain and its changes following a stroke. The limitations of EEG are complemented by constraints derived from anatomical MRI and diffusion weighted imaging (DWI). EEG data were acquired from individuals suffering from a stroke as well as able-bodied participants while electrical stimuli were delivered sequentially at their index finger in the left and right hand, respectively. The locations of active sources related to this stimulus were precisely identified, resulting in high Variance Accounted For (VAF above 80%). An accurate estimation of dynamic information flow between sources was achieved in this study, showing a high VAF (above 90%) in the cross-validation test. The estimated dynamic information flow was compared between chronic hemiparetic stroke and able-bodied individuals. The results demonstrate the feasibility of VBMEG method in revealing the changes of information flow in the brain after stroke. This study verified the VBMEG method as an advanced computational approach to track the dynamic information flow in the brain following a stroke. This may lead to the development of a quantitative tool for monitoring functional changes of the cortical neural networks after a unilateral brain injury and therefore facilitate the research into, and the practice of stroke rehabilitation.","Brain dynamics; Diffusion MRI; EEG; Somatosensory evoked potentials (SEP); Stroke","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:49044731-c1e5-4f17-ba32-f13dbfa57b25","http://resolver.tudelft.nl/uuid:49044731-c1e5-4f17-ba32-f13dbfa57b25","Multi-disciplinary and multi-objective optimization problem re-formulation in computational design exploration: A case of conceptual sports building design","Yang, D. (TU Delft Design Informatics; South China University of Technology); Ren, Shibo (Arup Limited, Netherlands); Turrin, M. (TU Delft Design Informatics); Sariyildiz, I.S. (TU Delft Design Informatics); Sun, Y. (South China University of Technology)","","2018","The benefits of applying multi-objective optimization (MOO) in building design have been increasingly recognized in recent decades. The existing or traditional computational design optimization (CDO) approaches mostly focus on optimization problem solving (OPS), as they often conduct optimizations directly by assuming the optimization problems in question are good enough. In contrast, the computational design exploration (CDE) approaches defined in this research mainly focus on optimization problem formulation (OPF), which are considered more essential and aim to achieve or ensure appropriate optimization problems before conducting optimizations. However, the application of the CDE is very limited especially in conceptual architectural design. The necessity of re-formulating original optimization problems and its potential impacts on optimization results are often overlooked or not emphasized enough. This paper proposes a new CDE approach that highlights the knowledge-supported re-formulation of a changeable initial optimization problem. It improves upon the traditional CDO approach by introducing a changeable initial OPF and inserting a CDE module. The changeable initial OPF allows expanding the dimensionality of an objective space and design space being investigated, and the CDE module can re-formulate the changeable optimization problem using the information and knowledge extracted from statistical analyses. To facilitate designers in achieving the proposed approach, an improved computational platform is used which combines parametric modeling software (including simulation plug-ins) and design optimization software. Assisted by the platform, the proposed approach is applied to the conceptual design of an indoor sports building that considers multi-disciplinary performance criteria (including architecture-, climate- and structure-related criteria) and a wide range of geometric variations. Through the case study, this paper demonstrates the use of the proposed approach, verifies its benefits over the traditional method, and unveils the factors that may affect the behaviour of the proposed approach. Besides, it also shows the suitability of the computational platform used.","Architectural performance; Climate performance; Computational design exploration; Knowledge extraction; Multi-disciplinary optimization; Multi-objective optimization; Optimization problem re-formulation; Sports buildings; Statistical analysis techniques; Structural performance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-10-25","","","Design Informatics","","",""
"uuid:b3149ecd-3583-44cd-a12c-cfdf4ba7a50b","http://resolver.tudelft.nl/uuid:b3149ecd-3583-44cd-a12c-cfdf4ba7a50b","Voxel-Based Extraction and Classification of 3-D Pole-Like Objects From Mobile LiDAR Point Cloud Data","Kang, Z. (Capital Normal University); Yang, Juntao (Capital Normal University); Zhong, Ruofei (Capital Normal University); Wu, Yongxing (Capital Normal University); Shi, Zhenwei (Capital Normal University); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing)","","2018","The digital mapping of road environment is an important task for road infrastructure inventory and urban planning. Automatic extraction and classification of pole-like objects can remarkably reduce mapping cost and enhance work efficiency. Therefore, this paper proposes a voxel-based method that automatically extracts and classifies three-dimensional (3-D) pole-like objects by analyzing the spatial characteristics of objects. First, a voxel-based shape recognition is conducted to generate a set of pole-like object candidates. Second, according to their isolation and vertical continuity, the pole-like objects are detected and individualized using the proposed circular model with an adaptive radius and the vertical region growing algorithm. Finally, several semantic rules, consisting of shape features and spatial topological relationships, are derived for further classifying the extracted pole-like objects into four categories (i.e., lamp posts, utility poles, tree trunks, and others). The proposed method was evaluated using three datasets from mobile LiDAR point cloud data. The experimental results demonstrate that the proposed method efficiently extracted the pole-like objects from the three datasets, with extraction rates of 85.3%, 94.1%, and 92.3%. Moreover, the proposed method can achieve robust classification results, especially for classifying tree trunks.","Classification; Data mining; detection; Feature extraction; Laser radar; mobile LiDAR; Principal component analysis; principal component analysis (PCA); Shape; Three-dimensional displays; Vegetation; vertical pole-like objects","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:8716e1c8-6c3b-4617-8163-eb7e2519a44f","http://resolver.tudelft.nl/uuid:8716e1c8-6c3b-4617-8163-eb7e2519a44f","A benchmark and comparison of active learning for logistic regression","Yang, Y. (TU Delft Pattern Recognition and Bioinformatics; National University of Defense Technology); Loog, M. (TU Delft Pattern Recognition and Bioinformatics; University of Copenhagen)","","2018","Logistic regression is by far the most widely used classifier in real-world applications. In this paper, we benchmark the state-of-the-art active learning methods for logistic regression and discuss and illustrate their underlying characteristics. Experiments are carried out on three synthetic datasets and 44 real-world datasets, providing insight into the behaviors of these active learning methods with respect to the area of the learning curve (which plots classification accuracy as a function of the number of queried examples) and their computational costs. Surprisingly, one of the earliest and simplest suggested active learning methods, i.e., uncertainty sampling, performs exceptionally well overall. Another remarkable finding is that random sampling, which is the rudimentary baseline to improve upon, is not overwhelmed by individual active learning techniques in many cases.","Active learning; Benchmark; Experimental design; Logistic regression; Preference maps","en","journal article","","","","","","Accepted Author Manuscript","","2020-06-22","","","Pattern Recognition and Bioinformatics","","",""
"uuid:b7e2f1d9-bbb0-485d-9739-7aab7c168dc7","http://resolver.tudelft.nl/uuid:b7e2f1d9-bbb0-485d-9739-7aab7c168dc7","Erratum: Conflict over Mining in Rural China: A comprehensive survey of intentions and strategies for environmental activism [Sustainability, 10 (2018) (1669)]","Yang, X. (TU Delft Organisation & Governance); Ho, Peter (Tsinghua University; London School of Economics and Political Science)","","2018","br","","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:06dc42dc-8ffd-41b0-8378-7e48ac3ec7b9","http://resolver.tudelft.nl/uuid:06dc42dc-8ffd-41b0-8378-7e48ac3ec7b9","A tradeoff between the losses caused by computer viruses and the risk of the manpower shortage","Bi, Jichao (Chongqing University); Yang, L. (TU Delft Network Architectures and Services); Yang, Xiaofan (Chongqing University); Wu, Yingbo (Chongqing University); Tang, Yuan Yan (University of Macau)","","2018","This article addresses the tradeoff between the losses caused by a new virus and the size of the team for developing an antivirus against the virus. First, an individual-level virus spreading model is proposed to capture the spreading process of the virus before the appearance of its natural enemy. On this basis, the tradeoff problem is modeled as a discrete optimization problem. Next, the influences of different factors, including the infection force, the infection function, the available manpower, the alarm threshold, the antivirus development effort and the network topology, on the optimal team size are examined through computer simulations. This work takes the first step toward the tradeoff problem, and the findings are instructive to the decision makers of network security companies.","","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:20e281ef-aecc-44d0-8245-23c9646ee134","http://resolver.tudelft.nl/uuid:20e281ef-aecc-44d0-8245-23c9646ee134","Influence of Pressure on the Mechanical and Electronic Properties of Wurtzite and Zinc-Blende GaN Crystals","Qin, Hongbo (Guilin University of Electronic Technology); Kuang, Tianfeng (Guilin University of Electronic Technology); Luan, Xinghe (Guilin University of Electronic Technology); Li, Wangyun (Guilin University of Electronic Technology); Xiao, Jing (Guilin University of Electronic Technology); Zhang, Ping (Guilin University of Electronic Technology; Nanjing University of Science and Technology); Yang, Daoguo (Guilin University of Electronic Technology; Student TU Delft); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","The mechanical and electronic properties of two GaN crystals, wurtzite and zinc-blende GaN, under various hydrostatic pressures were investigated using first principles calculations. The results show that the lattice constants of the two GaN crystals calculated in this study are close to previous experimental results, and the two GaN crystals are stable under hydrostatic pressures up to 40 GPa. The pressure presents extremely similar trend effect on the volumes of unit cells and average Ga-N bond lengths of the two GaN crystals. The bulk modulus increases while the shear modulus decreases with the increase in pressure, resulting in the significant increase of the ratios of bulk moduli to shear moduli for the two GaN polycrystals. Different with the monotonic changes of bulk and shear moduli, the elastic moduli of the two GaN polycrystals may increase at first and then decrease with increasing pressure. The two GaN crystals are brittle materials at zero pressure, while they may exhibit ductile behaviour under high pressures. Moreover, the increase in pressure raises the elastic anisotropy of GaN crystals, and the anisotropy factors of the two GaN single crystals are quite different. Different with the obvious directional dependences of elastic modulus, shear modulus and Poisson’s ratio of the two GaN single crystals, there is no anisotropy for bulk modulus, especially for that of zinc-blende GaN. Furthermore, the band gaps of GaN crystals increase with increasing pressure, and zinc-blende GaN has a larger pressure coefficient. To further understand the pressure effect on the band gap, the band structure and density of states (DOSs) of GaN crystals were also analysed in this study.","Electronic property; First principle; Gan; Mechanical property; Pressure","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:fb27b8a1-c8a6-411f-9710-76bae7f0ca39","http://resolver.tudelft.nl/uuid:fb27b8a1-c8a6-411f-9710-76bae7f0ca39","Regionalization of Social Interactions and Points-of-Interest Location Prediction with Geosocial Data","Psyllidis, A. (TU Delft Web Information Systems); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Web Information Systems)","","2018","Traditional methods for studying the activity dynamics of people and their social interactions in cities require time-consuming and resource-intensive observations and surveys. Dynamic online trails from geosocial networks (e.g. Twitter, Instagram, Flickr etc.) have been increasingly used as proxies for human activity, focusing on mobility behavior, spatial interaction, and social connectivity, among others. Social media records incorporate geo-tags, timestamps, textual components, user-profile attributes and points-ofinterest (POI) features, which respectively address spatial, temporal, topical, demographic, and contextual dimensions of human activity. While the information contained in social media data is complex and highdimensional, there is a lack of studies exploiting the combined potential of their information layers. This article introduces a framework that considers multiple dimensions (i.e. spatial, temporal, topical, and demographic) of information from social media data, and combines Geo-Self-Organizing Maps (GeoSOMs) in conjunction with contiguity-constrained hierarchical clustering, to identify homogeneous regions of social interaction in cities and, subsequently, estimate appropriate locations for new POIs. Drawing on the discovered regions, we build a Factorization Machine-based model to estimate appropriate locations for new POIs in different urban contexts. Using geo-referenced Twitter records and Foursquare data from Amsterdam, Boston, and Jakarta, we evaluate the potential of machine learning techniques in discovering knowledge about the geography of social dynamics from unstructured and high-dimensional social web data. Moreover, we demonstrate that the discovered homogeneous regions are significant predictors of new POI locations.","Geospatial Analysis; Recommender Systems; Self-organizing feature maps; Social Network Services","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:15ae4ff7-8148-421c-8380-892eb0df0e45","http://resolver.tudelft.nl/uuid:15ae4ff7-8148-421c-8380-892eb0df0e45","Recovery of cerium from glass polishing waste: A critical review","Borra, C.R. (TU Delft (OLD) MSE-1); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Yang, Y. (TU Delft (OLD) MSE-3); Offerman, S.E. (TU Delft (OLD) MSE-1)","","2018","Ceria is the main component in glass polishing powders due to its special physico-chemical properties. Glass polishing powder loses its polishing ability gradually during usage due to the accumulation of other compounds on the polishing powder or due to changes in the particle size distribution. The recovery of cerium from the glass polishing waste results in the efficient utilization of natural resources. This paper reviews processes for the recovery of rare earths from polishing waste. Glass polishing powder waste can be reused via physical, physico-chemical or chemical processes by removing silica and/or alumina. The removal of silica and/or alumina only improves the life span up to some extent. Therefore, removal of other elements by chemical processes is required to recover a cerium or cerium-rich product. However, cerium leaching from the polishing waste is challenging due to the difficulties associated with the dissolution of ceria. Therefore, high acid concentrations, high temperatures or costly reducing agents are required for cerium dissolution. After leaching, cerium can be extracted from the leach solution by solvent extraction or selective precipitation. The product can be used either in glass polishing again or other high value added applications.","Cerium; Flotation; Glass polishing waste; Gravity separation; Leaching; Precipitation; Rare-earths; Recycling; Reuse; Solvent extraction","en","journal article","","","","","","","","","","","(OLD) MSE-1","","",""
"uuid:d0d99406-5b8f-4292-89c0-cf02de707903","http://resolver.tudelft.nl/uuid:d0d99406-5b8f-4292-89c0-cf02de707903","Detection of CRISPR-dCas9 on DNA with Solid-State Nanopores","Yang, W.W.W. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Restrepo Perez, L. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); Bengtson, M.L. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Heerema, S.J. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Birnie, A.T.F. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); van der Torre, J. (TU Delft BN/Technici en Analisten; Kavli institute of nanoscience Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2018","Solid-state nanopores have emerged as promising platforms for biosensing including diagnostics for disease detection. Here we show nanopore experiments that detect CRISPR-dCas9, a sequence-specific RNA-guided protein system that specifically binds to a target DNA sequence. While CRISPR-Cas9 is acclaimed for its gene editing potential, the CRISPR-dCas9 variant employed here does not cut DNA but instead remains tightly bound at a user-defined binding site, thus providing an excellent target for biosensing. In our nanopore experiments, we observe the CRISPR-dCas9 proteins as local spikes that appear on top of the ionic current blockade signal of DNA molecules that translocate through the nanopore. The proteins exhibit a pronounced blockade signal that allows for facile identification of the targeted sequence. Even at the high salt conditions (1 M LiCl) required for nanopore experiments, dCas9 proteins are found to remain stably bound. The binding position of the target sequence can be read from the spike position along the DNA signal. We anticipate applications of this nanopore-based CRISPR-dCas9 biosensing approach in DNA-typing based diagnostics such as quick disease-strain identification, antibiotic-resistance detection, and genome typing.","biosensing; CRISPR-Cas9; diagnostics; Nanopores","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:0636166a-62d1-4f38-a10d-8f1a3a21f62c","http://resolver.tudelft.nl/uuid:0636166a-62d1-4f38-a10d-8f1a3a21f62c","Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes","Verschueren, D.V. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Yang, W.W.W. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2018","We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.","","en","journal article","","","","","","","","2019-02-20","","","BN/Cees Dekker Lab","","",""
"uuid:66ab4ea4-2b2d-48f2-a83a-fc11c61c66c1","http://resolver.tudelft.nl/uuid:66ab4ea4-2b2d-48f2-a83a-fc11c61c66c1","The effects of graphene stacking on the performance of methane sensor: A first-principles study on the adsorption, band gap and doping of graphene","Yang, Ning (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology); Chen, Liangbiao (Lamar University); Liu, Dongjing (Guilin University of Electronic Technology); Cai, Miao (Guilin University of Electronic Technology); Fan, Xuejun (Lamar University)","","2018","The effects of graphene stacking are investigated by comparing the results of methane adsorption energy, electronic performance, and the doping feasibility of five dopants (i.e., B, N, Al, Si, and P) via first-principles theory. Both zigzag and armchair graphenes are considered. It is found that the zigzag graphene with Bernal stacking has the largest adsorption energy on methane, while the armchair graphene with Order stacking is opposite. In addition, both the Order and Bernal stacked graphenes possess a positive linear relationship between adsorption energy and layer number. Furthermore, they always have larger adsorption energy in zigzag graphene. For electronic properties, the results show that the stacking effects on band gap are significant, but it does not cause big changes to band structure and density of states. In the comparison of distance, the average interlamellar spacing of the Order stacked graphene is the largest. Moreover, the adsorption effect is the result of the interactions between graphene and methane combined with the change of graphene’s structure. Lastly, the armchair graphene with Order stacking possesses the lowest formation energy in these five dopants. It could be the best choice for doping to improve the methane adsorption.","Adsorption; Doping; Electronic performance; First-principles theory; Graphene stacking; Methane sensor","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0dd62c21-4cc4-4a72-b8a4-fc5e92ba3008","http://resolver.tudelft.nl/uuid:0dd62c21-4cc4-4a72-b8a4-fc5e92ba3008","Using Social Media for Attendees Density Estimation in City-Scale Events","Gong, X. (TU Delft Transport and Planning); Yang, J. (University of Fribourg); Daamen, W. (TU Delft Transport and Planning); Bozzon, A. (TU Delft Web Information Systems); Hoogendoorn, S.P. (TU Delft Transport and Planning); Houben, G.J.P.M. (TU Delft Web Information Systems)","","2018","City-scale events attract large amounts of attendees in temporarily re-purposed urban environments. In this setting, the real-time measurement of the density of attendees stationing in – or moving through – the event terrain is central to applications such as crowd management, emergency support, and quality of service evaluation. Sensing or communication infrastructures (e.g. sensor networks, mobile phones) can be deployed to estimate the number of attendees currently occupying an area. However, the adoption of these technologies is hindered by their cost or sensing resolution. There is evidence that social media data can provide a real-time and semantically rich insight into attendees’ behaviour during city-scale events. Their suitability as a data source for attendees density estimation is yet to be investigated. With this paper we aim at filling this knowledge gap by studying how micro-posts harvested from social media can be used during city-scale events to estimate the density of attendees in a given terrain. To cope with issues of temporal and spatial resolution, we propose 3 classes of density estimation strategies, i.e. geo-based, speed-based and flow-based strategy, inspired by elements of pedestrian traffic flow theory that were successfully assessed during city-scale events. We study the performance of these strategies in the context of SAIL Amsterdam 2015 (Sail) and Kingsday Amsterdam 2016 (Kingsday), two city-scale events that attracted 2 and 1.5 million of attendees in the span of 5 days and 1 day, respectively. We defined four experimental terrains for the Sail event and one for the Kingsday event, and compare density estimates from social media data with measures obtained from counting systems and Wi-Fi sensors. Results show the potential of solutions embedding elements from pedestrian traffic flow theory, which yielded estimates with strong temporal correlations with the sensor observation, and limited mean errors.","Computational Social Science; Data Science; Density Estimation; Social Sensing; Traffic Flow State; Urban Analytics","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:37139ba4-c390-4a99-8d64-3fe7f6fee824","http://resolver.tudelft.nl/uuid:37139ba4-c390-4a99-8d64-3fe7f6fee824","A semi-analytical thermal modelling approach for selective laser melting","Yang, Y. (TU Delft Computational Design and Mechanics); Knol, Marius (Student TU Delft); van Keulen, A. (TU Delft Computational Design and Mechanics); Ayas, C. (TU Delft Computational Design and Mechanics)","","2018","Selective laser melting (SLM) wherein a metal part is built in a layer-by-layer manner in a powder bed is a promising and versatile way for manufacturing components with complex geometry. However, components built by SLM suffer from substantial deformation of the part and residual stresses. Residual stresses arise due to temperature gradients inherent to the process and the accompanying deformation. It is well known that the SLM process parameters and the laser scanning strategy have a substantial effect on the temperature transients of the part and henceforth on the degree of deformations and residual stresses. In order to provide a tool to investigate this relation, a semi-analytical thermal model of the SLM process is presented which determines the temperature evolution in a 3D part by way of representing the moving laser spot with a finite number of point heat sources. The solution of the thermal problem is constructed from the superposition of analytical solutions for point sources which are known in semi-infinite space and complimentary numerical/analytical fields to impose the boundary conditions. The unique property of the formulation is that numerical discretisation of the problem domain is decoupled from the steep gradients in the temperature field associated with localised laser heat input. This enables accurate and numerically tractable simulation of the process. The predictions of this semi-analytical model are validated by experiments and the exact solution known for a simple thermal problem. Simulations for building a complete layer using two different scanning patterns and subsequently building of multiple layers with constant and rotating scanning patterns in successive layers are performed. The computational efficiency of the semi-analytical tool is assessed which demonstrates its potential to gain physical insight in the full SLM process with acceptable computational costs.","Additive manufacturing; Cost efficient thermal modelling; Scanning strategy; Semi-analytical model; SLM; Superposition principle","en","journal article","","","","","","Accepted Author Manuscript","","2020-03-29","","","Computational Design and Mechanics","","",""
"uuid:3d44fa57-426e-4d5a-925f-5adcd8a17f37","http://resolver.tudelft.nl/uuid:3d44fa57-426e-4d5a-925f-5adcd8a17f37","Integrated silicon qubit platform with single-spin addressability, exchange control and single-shot singlet-triplet readout","Fogarty, M. A. (University of New South Wales); Chan, W.K. (University of New South Wales); Hensen, B.J. (University of New South Wales); Huang, W. (University of New South Wales); Tanttu, T. (University of New South Wales); Yang, C.H. (University of New South Wales); Laucht, A. (University of New South Wales); Veldhorst, M. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Hudson, F. E. (University of New South Wales); Itoh, K. M. (Keio University)","","2018","Silicon quantum dot spin qubits provide a promising platform for large-scale quantum computation because of their compatibility with conventional CMOS manufacturing and the long coherence times accessible using 28Si enriched material. A scalable error-corrected quantum processor, however, will require control of many qubits in parallel, while performing error detection across the constituent qubits. Spin resonance techniques are a convenient path to parallel two-axis control, while Pauli spin blockade can be used to realize local parity measurements for error detection. Despite this, silicon qubit implementations have so far focused on either single-spin resonance control, or control and measurement via voltage-pulse detuning in the two-spin singlet-triplet basis, but not both simultaneously. Here, we demonstrate an integrated device platform incorporating a silicon metal-oxide-semiconductor double quantum dot that is capable of single-spin addressing and control via electron spin resonance, combined with high-fidelity spin readout in the singlet-triplet basis.","","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:a36fdf28-a85f-4a2a-8ef3-f2064bdbc419","http://resolver.tudelft.nl/uuid:a36fdf28-a85f-4a2a-8ef3-f2064bdbc419","Poly-crystalline silicon-oxide films as carrier-selective passivating contacts for c-Si solar cells","Yang, G. (TU Delft Photovoltaic Materials and Devices); Guo, Peiqing (Student TU Delft); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; ECN, Petten); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2018","The poly-Si carrier-selective passivating contacts (CSPCs) parasitically absorb a substantial amount of light, especially in the form of free carrier absorption. To minimize these losses, we developed CSPCs based on oxygen-alloyed poly-Si (poly-SiOx) and deployed them in c-Si solar cells. Transmission electron microscopy analysis indicates the presence of nanometer-scale silicon crystals within such poly-SiOx layers. By varying the O content during material deposition, we can manipulate the crystallinity of the poly-SiOx material and its absorption coefficient. Also, depending on the O content, the bandgap of the poly-SiOx material can be widened, making it transparent for longer wavelength light. Thus, we optimized the O alloying, doping, annealing, and hydrogenation conditions. As a result, an extremely high passivation quality for both n-type poly-SiOx (J0 = 3.0 fA/cm2 and iVoc = 740 mV) and p-type poly-SiOx (J0 = 17.0 fA/cm2 and iVoc = 700 mV) is obtained. A fill factor of 83.5% is measured in front/back-contacted solar cells with both polarities made up of poly-SiOx. This indicates that the carrier transport through the junction between poly-SiOx and c-Si is sufficiently efficient. To demonstrate the merit of poly-SiOx layers' high transparency at long wavelengths, they are deployed at the back side of interdigitated back-contacted (IBC) solar cells. A preliminary cell efficiency of 19.7% is obtained with much room for further improvement. Compared to an IBC solar cell with poly-Si CSPCs, a higher internal quantum efficiency at long wavelengths is observed for the IBC solar cell with poly-SiOx CSPCs, thus demonstrating the potential of poly-SiOx in enabling higher JSC.","","en","journal article","","","","","","Accepted Author Manuscript","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:4c742c25-ad37-4392-bb4b-16b6a69c577e","http://resolver.tudelft.nl/uuid:4c742c25-ad37-4392-bb4b-16b6a69c577e","Interfacial Failure Characterization of Electronic Packaging Component Using a Multiscale Modelling Approach","Cui, Z. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Zhang, Yingying (Chongqing University); Yang, Qun (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Chen, Xianping (Chongqing University)","","2018","Interfacial properties of Cu/SiO2 in semiconductor devices has been a challenging study for many years because of its difficulties in experimentally quantifying the critical strength of interface. In this paper, a multi-scale modeling approach is built to characterize the interfacial properties between Cu and SiO2. The Cu and SiO2 are bonded by three types of chemical bonds, which cause three atomistic interfacial structures. The fracture of Cu-O and Cu-Si bonded interfaces occur at the interface, however, the fracture for Cu-OO interface occurs at copper layer near the interface, indicating two different fracture criterions coexist in Cu/SiO2 system.","Load modeling; Loading; Substrates; Copper; Adhesives; Solid modeling; Computational modeling","en","conference paper","IEEE","","","","","Accepted author manuscript","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9e215466-bc4a-40f1-96f9-6cdba36441e5","http://resolver.tudelft.nl/uuid:9e215466-bc4a-40f1-96f9-6cdba36441e5","Promoting sensitivity and selectivity of HCHO sensor based on strained InP3 monolayer: A DFT study","Yang, Huiru (Chongqing University); Wang, Zeping (Chongqing University); Ye, H. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Zhang, Kai (Guangdong University of Technology); Chen, X. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","Sensitive materials for formaldehyde (HCHO) sensor need high sensitivity and selectivity. The research on two dimensional (2D) sensitive material is growing, and most studies focus on the pristine or modified graphene. So it is essential to introduce other 2D materials into HCHO gas sensor. In this report, the adsorption behaviors of organic gas molecules including C2H6, C2H4, C2H2, C6H6, C2H5OH and HCHO over indium triphosphide (InP3) monolayer were studied by using first-principle atomistic simulations. The calculation results demonstrate that InP3 monolayer has a high sensitivity and selectivity to HCHO than others. By comparing the structures and adsorption results of InP3 monolayer, graphene and single-layered MoS2, it was found that the polarity bonds and steric effect of the site on monolayer play an important role in the detection of HCHO. The effect of strain on the gas/substrate adsorption systems was also studied, implying that the stained InP3 monolayer could enhance the sensitivity and selectivity to HCHO. This study provides useful insights into the gas-surface interaction that may assist future experimental development of 2D material for HCHO sensing and performance optimization based on strain.","First-principles calculation; HCHO sensing; InP monolayer; Strain","en","journal article","","","","","","Accepted author manuscript","","2020-08-09","","","Electronic Components, Technology and Materials","","",""
"uuid:4efdd42b-7eac-40ee-8036-4d7b313a7dff","http://resolver.tudelft.nl/uuid:4efdd42b-7eac-40ee-8036-4d7b313a7dff","Analysis of the interaction between moving α/γ interfaces and interphase precipitated carbides during cyclic phase transformations in a Nb-containing Fe-C-Mn alloy","Dong, Haokai (Tsinghua University); Chen, Hao (Tsinghua University); Wang, Wei (Baosteel Research Institute); Zhang, Yongjie (Tohoku University); Miyamoto, Goro (Tohoku University); Furuhara, Tadashi (Tohoku University); Zhang, Chi (Tsinghua University); Yang, Zhigang (Tsinghua University); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2018","The interaction between moving α/γ interfaces and interphase precipitated (IPd) carbides during the austenite (γ) to ferrite (α) and the ferrite (α) to austenite (γ) transformation has been systematically investigated through cyclic phase transformation experiments for a 0.1C-1.5Mn alloy containing 0.1 wt% Niobium (Nb) and its Nb-free counterpart. Shifts in the critical reaction temperatures during continuous heating and cooling are observed, which are attributed to the pinning force (PF) originating from the IPd carbides present. By applying the Gibbs energy balance (GEB) model to analyze experimental results, the PF was derived to be about 15 J/mol for the α→γ transformation and about 5 J/mol for the γ→α transformation, respectively, both of which are quite small compared to chemical driving force of phase transformations. Moreover, various modified Zener pinning equations have also been used to predict the PF, and it was found that these values are comparable with those obtained from experiments, which suggests that the classical Zener theory still has promising potential for carbide-interface interaction analysis.","Carbide; Interface migration; Interphase precipitation; Phase transformation; Pinning force","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-04-01","","","Novel Aerospace Materials","","",""
"uuid:2e269108-ede2-4d99-9116-e80dd92cbb6f","http://resolver.tudelft.nl/uuid:2e269108-ede2-4d99-9116-e80dd92cbb6f","Video Captioning by Adversarial LSTM","Yang, Yang (University of Electronic Science and Technology of China); Zhou, Jie (University of Electronic Science and Technology of China); Ai, Jiangbo (University of Electronic Science and Technology of China); Bin, Yi (University of Electronic Science and Technology of China); Hanjalic, A. (TU Delft Intelligent Systems); Shen, Heng Tao (University of Electronic Science and Technology of China)","","2018","In this paper, we propose a novel approach to video captioning based on adversarial learning and long short-term memory (LSTM). With this solution concept, we aim at compensating for the deficiencies of LSTM-based video captioning methods that generally show potential to effectively handle temporal nature of video data when generating captions but also typically suffer from exponential error accumulation. Specifically, we adopt a standard generative adversarial network (GAN) architecture, characterized by an interplay of two competing processes: a 'generator' that generates textual sentences given the visual content of a video and a 'discriminator' that controls the accuracy of the generated sentences. The discriminator acts as an 'adversary' toward the generator, and with its controlling mechanism, it helps the generator to become more accurate. For the generator module, we take an existing video captioning concept using LSTM network. For the discriminator, we propose a novel realization specifically tuned for the video captioning problem and taking both the sentences and video features as input. This leads to our proposed LSTM-GAN system architecture, for which we show experimentally to significantly outperform the existing methods on standard public datasets.","adversarial training; LSTM; Video captioning","en","journal article","","","","","","Accepted author manuscript","","","","Intelligent Systems","","","",""
"uuid:ea55ccaf-b8c4-47dc-80fa-8654164144d0","http://resolver.tudelft.nl/uuid:ea55ccaf-b8c4-47dc-80fa-8654164144d0","High-efficiency black IBC c-Si solar cells with poly-Si as carrier-selective passivating contacts","Yang, G. (TU Delft Photovoltaic Materials and Devices); Guo, Peiqing (Student TU Delft); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Limodio, G. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices; ECN Solar Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2018","In this work, we present the application of poly-Si carrier-selective passivating contacts (CSPCs) as both polarities in interdigitated back-contacted (IBC) solar cell architectures. We compared two approaches to form a gap between the back-surface field (BSF) and emitter fingers. It is proved that the gaps prepared by both approaches are efficient in preventing carriers’ recombination. To minimize the reflection losses, we developed a novel modulated surface texturing (MST) structure as anti-reflection coating (ARC). It is obtained by superposing a nano-textured SiO2 layer on the conventional micro-textured pyramids, which are passivated with a-Si:H / SiNx:H layers. This approach decouples the light harvesting from the Si surface passivation, which potentially results in the highest possible optical and electrical performances of the solar cells. The reflectance (R) of the MST-ARC is very close to that of the high-aspect ratio nano-structured silicon (black-Silicon), achieving R < 1% between 450 and 1000 nm. The J0 of MST-ARC passivated Si surface (6.3 fA/cm2) is the same as that of standard a-Si:H/SiNx:H layers passivated pyramidally-textured Si surface. By applying this novel MST-ARC in our IBC solar cell, the highest JSC observed in a device is 42.2 mA/cm2 with a VOC as high as 701 mV. A spectral response enhancement in case of the MST-ARC cell is observed over the whole wavelength range with respect to the cell with standard SiNx:H ARC. The highest efficiency achieved in this work is 23.0%, with the potential to reach 24.0% in short term by using more conductive metal fingers.","Carrier selective passivating contact; IBC c-Si solar cells; Light in-coupling; Poly-silicon","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:98c7e658-2d10-415d-ada2-ae205777da33","http://resolver.tudelft.nl/uuid:98c7e658-2d10-415d-ada2-ae205777da33","Theoretical evaluation of contact stack for high efficiency IBC-SHJ solar cells","Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2018","In this work we present a theoretical analysis of charge carriers transport mechanisms in IBC-SHJ solar cells. The concepts of contact and transport selectivity are correlated through the band bending at c-Si interface and are used to identify thin-film silicon parameters affecting fill factor (FF) and open-circuit voltage (VOC). Additionally, the transport of carriers is associated to energy barriers at the conduction band for electrons and at the valence band for holes. In case of p-type contact, the transport of holes is mainly affected by activation energy and band gap of the p-type layer and work function of the TCO. In case of n-type contact, the activation energy and work function of the doped layer impact the most on transport of electrons. Selective transport is improved by maximizing the collection of majority carrier in each doped contact stack while blocking minority carriers. In particular, low activation energy values of doped layers are crucial to minimize energy barriers for majority carriers and increase the band bending at c-Si interface. Simulation results based on TCAD Sentaurus reveal that the FF increases as the activation energy of the doped layers is reduced. Also, for the p-type contact, the bandgap of p-type layer strongly affects the band bending at c-Si interface. Particularly, widening the bandgap of p-type layer enhances passivation and transport in terms of VOC and FF but work function mismatch between the p-type layer and the related transparent conductive oxide (TCO) strongly increases as bandgap increases. This possibly makes the device less performant because it is more sensitive to activation energy of the p-layer in combination with the choice of the proper TCO. Considering realistic deposited layers, a wide bandgap p-type layer, in combination with low activation energy, potentially improves hole collection leading to maximal simulated FF = 86.8% and VOC = 754 mV for a conversion efficiency η = 27.2%.","Fill Factor and passivation; IBC silicon heterojunction solar cell; Numerical simulations; Thin film silicon alloys; Transport mechanisms","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:eac86bd0-26de-4a64-9599-fcc7e0ace7a2","http://resolver.tudelft.nl/uuid:eac86bd0-26de-4a64-9599-fcc7e0ace7a2","Efficient two-port electron beam splitter via a quantum interaction-free measurement","Yang, Yujia (Massachusetts Institute of Technology); Kim, Chung Soo (Massachusetts Institute of Technology); Hobbs, Richard G. (Massachusetts Institute of Technology); Kruit, P. (TU Delft ImPhys/Charged Particle Optics); Berggren, Karl K. (Massachusetts Institute of Technology)","","2018","Semitransparent mirrors are standard elements in light optics for splitting light beams or creating two versions of the same image. Such mirrors do not exist in electron optics, although they could be beneficial in existing techniques such as electron interferometry and holography and could enable alternative electron imaging and spectroscopy techniques. We propose a design for an electron beam splitter using the concept of quantum interaction-free measurement (IFM). The design combines an electron resonator with a weak phase grating. Fast switching gates allow electrons to enter and exit the resonator. While in the resonator, the phase grating transfers intensity from the direct beam into one of the weakly diffracted beams at each pass. To make the beam splitter an efficient two-port splitter, the intensity in all other diffracted beams is blocked by an aperture. The IFM principle minimizes the loss of total intensity by this aperture. We use a scattering matrix method to analyze the performance of the beam splitter, including the effects of inelastic scattering in the phase grating. This design can be generalized to beam splitters for not only electrons, but also photons, neutrons, atoms, and other quantum mechanical systems.","","en","journal article","","","","","","","","","","","ImPhys/Charged Particle Optics","","",""
"uuid:d0fc73d6-3110-45ba-a1d6-cf2f6fa30565","http://resolver.tudelft.nl/uuid:d0fc73d6-3110-45ba-a1d6-cf2f6fa30565","Germanene on single-layer ZnSe substrate: Novel electronic and optical properties","Ye, H. (TU Delft Electronic Components, Technology and Materials; Chongqing University); Hu, F.F. (Chongqing University); Tang, H. (TU Delft Electronic Components, Technology and Materials); Yang, L.W. (General Research Institute for Nonferrous Metals); Chen, X.P. (Chongqing University); Wang, L.G. (General Research Institute for Nonferrous Metals); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","In this work, the structural, electronic and optical properties of germanene and ZnSe substrate nanocomposites have been investigated using first-principles calculations. We found that the large direct-gap ZnSe semiconductors and zero-gap germanene form a typical orbital hybridization heterostructure with a strong binding energy, which shows a moderate direct band gap of 0.503 eV in the most stable pattern. Furthermore, the heterostructure undergoes semiconductor-to-metal band gap transition when subjected to external out-of-plane electric field. We also found that applying external strain and compressing the interlayer distance are two simple ways of tuning the electronic structure. An unexpected indirect-direct band gap transition is also observed in the AAII pattern via adjusting the interlayer distance. Quite interestingly, the calculated results exhibit that the germanene/ZnSe heterobilayer structure has perfect optical absorption in the solar spectrum as well as the infrared and UV light zones, which is superior to that of the individual ZnSe substrate and germanene. The staggered interfacial gap and tunability of the energy band structure via interlayer distance and external electric field and strain thus make the germanene/ZnSe heterostructure a promising candidate for field effect transistors (FETs) and nanoelectronic applications.","","en","journal article","","","","","","Accepted author manuscript","","2019-06-21","","","Electronic Components, Technology and Materials","","",""
"uuid:84176c3b-9d3f-44ef-ac1b-ce5579440c64","http://resolver.tudelft.nl/uuid:84176c3b-9d3f-44ef-ac1b-ce5579440c64","The role of storm scale, position and movement in controlling urban flood response","ten Veldhuis, Marie-claire (TU Delft Water Resources; Princeton University); Zhou, Zhengzheng (Princeton University; Tongji University; UNEP-Tongji Institute of Environment for Sustainable Development); Yang, Long (Princeton University); Liu, Shuguang (UNEP-Tongji Institute of Environment for Sustainable Development); Smith, James (Princeton University)","","2018","The impact of spatial and temporal variability of rainfall on hydrological response remains poorly understood, in particular in urban catchments due to their strong variability in land use, a high degree of imperviousness and the presence of stormwater infrastructure. In this study, we analyze the effect of storm scale, position and movement in relation to basin scale and flow-path network structure on urban hydrological response. A catalog of 279 peak events was extracted from a high-quality observational dataset covering 15 years of flow observations and radar rainfall data for five (semi)urbanized basins ranging from 7.0 to 111.1km2 in size. Results showed that the largest peak flows in the event catalog were associated with storm core scales exceeding basin scale, for all except the largest basin. Spatial scale of flood-producing storm events in the smaller basins fell into two groups: Storms of large spatial scales exceeding basin size or small, concentrated events, with storm core much smaller than basin size. For the majority of events, spatial rainfall variability was strongly smoothed by the flow-path network, increasingly so for larger basin size. Correlation analysis showed that position of the storm in relation to the flow-path network was significantly correlated with peak flow in the smallest and in the two more urbanized basins. Analysis of storm movement relative to the flow-path network showed that direction of storm movement, upstream or downstream relative to the flow-path network, had little influence on hydrological response. Slow-moving storms tend to be associated with higher peak flows and longer lag times. Unexpectedly, position of the storm relative to impervious cover within the basins had little effect on flow peaks. These findings show the importance of observation-based analysis in validating and improving our understanding of interactions between the spatial distribution of rainfall and catchment variability.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:449945b8-db7c-4faf-88be-4f98606efd09","http://resolver.tudelft.nl/uuid:449945b8-db7c-4faf-88be-4f98606efd09","Advances in delamination modeling of metal/polymer systems: Atomistic aspects","Van Der Sluis, Olaf (Philips Research; Eindhoven University of Technology); Iwamoto, Nancy (Honeywell Performance Materials and Technologies); Qu, Jianmin (Tufts University); Yang, Shaorui (Apple Inc.); Yuan, C.A. (TU Delft Electronic Components, Technology and Materials; Ichijouriki LS R and D); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Philips Lighting Research); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2018","Adhesion and delamination have been pervasive problems hampering the performance and reliability of micro-and nano-electronic devices. In order to understand, predict, and ultimately prevent interface failure in electronic devices, development of accurate, robust, and efficient delamination testing and prediction methods is crucial. Adhesion is essentially a multi-scale phenomenon: at the smallest scale possible, it is defined by the thermodynamic work of adhesion. At larger scales, additional dissipative mechanisms may be active which results in enhanced adhesion at the macroscopic scale and are the main cause for the mode angle dependency of the interface toughness. Undoubtedly, the macroscopic adhesion properties are a complex function of all dissipation mechanisms across the scales. Thorough understanding of the significance of each of these dissipative mechanisms is of utmost importance in order to establish physically correct, unambiguous, values of the adhesion properties, which can only be achieved by proper multi-scale techniques. The topic “Advances in Delamination Modeling” has been split into two separate chapters: this chapter discusses the atomistic aspects of delamination, while the preceding chapter deals with the atomistic aspects of interface separation. The chapter starts with a concise overview of molecular simulation strategies. Next, examples are provided which represent actual materials being developed for electronic packaging: (1) the prediction of thermomechanical properties of an epoxy molding compound (EMC) and the adhesion properties of an EMC/copper interface by means of MD and CG MD approaches; (2) the modeling of wetting, adhesion, and reliability cycling of die attach and via fills; (3) model scaling to discrete element modeling (DEM) for understanding underfill flow; (4) CG modeling of an epoxy molding compound which relates to the first example; (5) molecular modeling of silicate layers used in planarization and encapsulant layers for flat panel displays; (6) mesoscale modeling of diffusion of organic bases which is of concern to photoresist poisoning; and (7) the prediction of thermomechanical properties of a low-k dielectric material, SiOC:H.","","en","book chapter","Springer","","","","","","","2021-05-27","","","Electronic Components, Technology and Materials","","",""
"uuid:03d0fd16-cef6-4156-bea8-1634731ddbd1","http://resolver.tudelft.nl/uuid:03d0fd16-cef6-4156-bea8-1634731ddbd1","Urban travel time reliability at different traffic conditions","Zheng, Fangfang (Southwest Jiaotong University); Li, Jie (Hunan University); van Zuylen, H.J. (TU Delft Transport and Planning; Hunan University; Southwest Jiaotong University); Liu, Xiaobo (Southwest Jiaotong University); Yang, Hongtai (Southwest Jiaotong University)","","2017","The decision making of travelers for route choice and departure time choice depends on the expected travel time and its reliability. A common understanding of reliability is that it is related to several statistical properties of the travel time distribution, especially to the standard deviation of the travel time and also to the skewness. For an important corridor in Changsha (P.R. China) the travel time reliability has been evaluated and a linear model is proposed for the relationship between travel time, standard deviation, skewness, and some other traffic characteristics. Statistical analysis is done for both simulation data from a delay distribution model and for real life data from automated number plate recognition (ANPR) cameras. ANPR data give unbiased travel time data, which is more representative than probe vehicles. The relationship between the mean travel time and its standard deviation is verified with an analytical model for travel time distributions as well as with the ANPR travel times. Average travel time and the standard deviation are linearly correlated for single links as well as corridors. Other influence factors are related to skewness and travel time standard deviations, such as vehicle density and degree of saturation. Skewness appears to be less well to explain from traffic characteristics than the standard deviation is.","automated number plate recognition; skewness; travel time reliability; travel time standard deviation; urban traffic","en","journal article","","","","","","","","2019-01-01","","","Transport and Planning","","",""
"uuid:5bd0b964-ed2d-463b-bcdf-d61221a0a259","http://resolver.tudelft.nl/uuid:5bd0b964-ed2d-463b-bcdf-d61221a0a259","Health diagnosis of major transportation infrastructures in Shanghai metropolis using high- resolution persistent scatterer interferometry","Qin, Xiaoqiong (Wuhan University; Ministry of Land and Resources); Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Zhang, L. (Wuhan University); Yang, Tianliang (Ministry of Land and Resources; Shanghai Institute of Geological Survey); Liao, Mingsheng (Wuhan University; Ministry of Land and Resources)","","2017","Since the Persistent Scatterer Synthetic Aperture Radar (SAR) Interferometry (PSI) technology allows the detection of ground subsidence with millimeter accuracy, it is becoming one of the most powerful and economical means for health diagnosis of major transportation infrastructures. However, structures of different types may suffer from various levels of localized subsidence due to the different structural characteristics and subsidence mechanisms. Moreover, in the complex urban scenery, some segments of these infrastructures may be sheltered by surrounding buildings in SAR images, obscuring the desirable signals. Therefore, the subsidence characteristics on different types of structures should be discussed separately and the accuracy of persistent scatterers (PSs) should be optimized. In this study, the PSI-based subsidence mapping over the entire transportation network of Shanghai (more than 10,000 km) is illustrated, achieving the city-wide monitoring specifically along the elevated roads, ground highways and underground subways. The precise geolocation and structural characteristics of infrastructures were combined to effectively guide more accurate identification and separation of PSs along the structures. The experimental results from two neighboring TerraSAR-X stacks from 2013 to 2016 were integrated by joint estimating the measurements in the overlapping area, performing large-scale subsidence mapping and were validated by leveling data, showing highly consistent in terms of subsidence velocities and time-series displacements. Spatial-temporal subsidence patterns on each type of infrastructures are strongly dependent on the operational durations and structural characteristics, as well as the variation of the foundation soil layers.","Deformation; High-resolution InSAR; Large infrastructures; Shanghai","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:ed22a51a-3469-4699-836d-19322b9537c9","http://resolver.tudelft.nl/uuid:ed22a51a-3469-4699-836d-19322b9537c9","Crowd Knowledge Creation Acceleration","Yang, J. (TU Delft Web Information Systems)","Houben, G.J.P.M. (promotor); Bozzon, A. (promotor); Delft University of Technology (degree granting institution)","2017","Crowd knowledge creation plays a central role in many types of Web based information systems, ranging from community question-answering (CQA) systems (e.g. StackOverflow and Quora) to micro-task crowdsourcing systems (e.g. Amazon mTurk and CrowdFlower). In these systems, knowledge demands are generally fulfilled by means of tasks (e.g. questions in CQA systems, micro-tasks in crowdsourcing systems) executed by group of individuals (e.g. contributors in CQA systems, workers in crowdsourcing systems). Despite of the success in some platforms, knowledge creation tasks so far are assumed to be of low cognitive complexity and are generally solved as a bottom-up process; as a consequence, outcomes are heavily dependent on the spontaneous and autonomous contribution of crowds. This limits our ability to control the volume, speed, and quality of knowledge creation. By unlocking the value of features related to human knowledge, e.g. expertise and motivation, we envision that crowd knowledge creation can reach its full potential where complex, cognitively intensive tasks are solved and thus high-quality knowledge is efficiently generated...","Knowledge Creation; Acceleration; Human Computation; Crowd-sourcing; Recommender Systems; User Modeling","en","doctoral thesis","","978-94-6186-865-7","","","","SIKS Dissertation Series No. 2017-47","","","","","Web Information Systems","","",""
"uuid:a5598fb5-a321-4b70-80eb-2763c8a5f600","http://resolver.tudelft.nl/uuid:a5598fb5-a321-4b70-80eb-2763c8a5f600","Numerical analysis of propeller induced ground vortices by actuator disk model","Yang, Y. (TU Delft Flight Performance and Propulsion); Veldhuis, L.L.M. (TU Delft Flight Performance and Propulsion); Eitelberg, G. (TU Delft Flight Performance and Propulsion)","","2017","Abstract: During the ground operation of aircraft, the interaction between the propulsor-induced flow field and the ground may lead to the generation of ground vortices. Utilizing numerical approaches, the source of vorticity entering ground vortices is investigated. The results show that the production of wall-parallel components of vorticity has a strong contribution from the wall-parallel components of the pressure gradient on the wall, which is generated by the action of the propulsor. This mechanism is a supplementation for the vorticity transported from the far-field boundary layer, which has been assumed the main vorticity source in a number of previous publications. Furthermore, the quantitative prediction of the occurrence of ground vortices is performed from the numerical results. As the distance of the propeller form the ground decreases, and as the thrust of the propeller increases, ground vortices are generated from the ground and enter the propeller. In addition, the vortices which exist near the ground but does not enter the propeller plane are observed and visualized by three-dimensional data. Graphical abstract: [Figure not available: see fulltext.]","Actuator disk; Ground vortex; Large eddy simulation; Propeller aerodynamics; Vorticity source","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:6cd9173e-7c76-472b-9824-5d3656b0a9b5","http://resolver.tudelft.nl/uuid:6cd9173e-7c76-472b-9824-5d3656b0a9b5","CitRec 2017: International Workshop on Recommender Systems for Citizens","Yang, J. (TU Delft Web Information Systems); Sun, Zhu (Nanyang Technological University); Bozzon, A. (TU Delft Web Information Systems); Zhang, J. (Nanyang Technological University); Larson, M.A. (TU Delft Multimedia Computing; Radboud Universiteit Nijmegen)","","2017","The ""International Workshop on Recommender Systems for Citizens"" (CitRec) is focused on a novel type of recommender systems both in terms of ownership and purpose: recommender systems run by citizens and serving society as a whole.","Citizens; Recommender systems; Smart Cities; Spatio-temporal context-aware recommendation","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Web Information Systems","","",""
"uuid:63bfbf38-11e0-413c-8e5c-2538b3ddf384","http://resolver.tudelft.nl/uuid:63bfbf38-11e0-413c-8e5c-2538b3ddf384","The complexities of urban flood response: Flood frequency analyses for the Charlotte metropolitan region","Zhou, Zhengzheng (Tongji University; Princeton University; UNEP-Tongji Institute of Environment for Sustainable Development); Smith, James A. (Princeton University); Yang, Long (Princeton University); Baeck, Mary Lynn (Princeton University); Chaney, Molly (Princeton University); ten Veldhuis, Marie-claire (TU Delft Water Resources); Deng, Huiping (UNEP-Tongji Institute of Environment for Sustainable Development; Tongji University); Liu, Shuguang (Tongji University; UNEP-Tongji Institute of Environment for Sustainable Development)","","2017","We examine urban flood response through data-driven analyses for a diverse sample of “small” watersheds (basin scale ranging from 7.0 to 111.1 km2) in the Charlotte Metropolitan region. These watersheds have experienced extensive urbanization and suburban development since the 1960s. The objective of this study is to develop a broad characterization of land surface and hydrometeorological controls of urban flood hydrology. Our analyses are based on peaks-over-threshold flood data developed from USGS streamflow observations and are motivated by problems of flood hazard characterization for urban regions. We examine flood-producing rainfall using high-resolution (1 km2 spatial resolution and 15 min time resolution), bias-corrected radar rainfall fields that are developed through the Hydro-NEXRAD system. The analyses focus on the 2001–2015 period. The results highlight the complexities of urban flood response. There are striking spatial heterogeneities in flood peak magnitudes, response times, and runoff ratios across the study region. These spatial heterogeneities are mainly linked to watershed scale, the distribution of impervious cover, and storm water management. Contrasting land surface properties also determine the mixture of flood-generating mechanisms for a particular watershed. Warm-season thunderstorm systems and tropical cyclones are main flood agents in Charlotte, with winter/spring storms playing a role in less-urbanized watersheds. The mixture of flood agents exerts a strong impact on the upper tail of flood frequency distributions. Antecedent watershed wetness plays a minor role in urban flood response, compared with less-urbanized watersheds. Implications for flood hazard characterization in urban watersheds and for advances in flood science are discussed.","flood frequency analysis; flood response; urban watersheds","en","journal article","","","","","","","","2018-03-01","","","Water Resources","","",""
"uuid:0895480b-77c7-46a3-b013-f2ef0cb34019","http://resolver.tudelft.nl/uuid:0895480b-77c7-46a3-b013-f2ef0cb34019","Structural Health and Stability Assessment of High-Speed Railways via Thermal Dilation Mapping With Time-Series InSAR Analysis","Qin, Xiaoqiong (Wuhan University); Liao, Mingsheng (Wuhan University); Zhang, L (Wuhan University); Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University)","","2017","Thermal dilation is a vital component of deformation along the extensive railway network infrastructure. To monitor subtle deformation, the synthetic aperture radar interferometry (InSAR) technique has been adopted as a space-borne geodetic tool. However, InSAR applications in railway stability surveillance have been largely limited by the sparseness of detectable point-like targets (PTs). Moreover, only one-dimensional linear displacements in radar line-of-sight direction can be measured by a single data stack. To address these issues, we developed an improved persistent scatterers InSAR approach that can retrieve thermal dilation effects with an increased number of PTs along the railways. This proposed strategy effectively combines SAR amplitude, interferometric phase, and the spatial information of railway structures to maximize the number of PTs. A least square fitting of the residual phase obtained by iterative spatial-temporal filtering with respect to temperature difference is used to estimate the thermal dilation of metal and concrete-asphalt materials. To validate the effectiveness of this approach, case studies using ENVISAT ASAR (ASAR) and TerraSAR-X (TSX) datasets were carried out on the railways of Beijing–Tianjin, Beijing–Shanghai, and Shanghai–Hangzhou. Subsidence velocity, gradient, and thermal dilation were used to identify hazardous grades along each railway. Furthermore, linear deformation rates in two dimensions, i.e., vertical and west-east directions, along Shanghai–Hangzhou Railway were inverted from ascending ASAR and descending TSX observations to reveal track conditions at a high level of detail.","Gradient; high-speed railway; Monitoring; Radar tracking; Rail transportation; Remote sensing; risk assessment; Stability analysis; Target tracking; thermal dilation; Thermal stability","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:2b0318ed-d8ef-414a-8763-bc68c388d19f","http://resolver.tudelft.nl/uuid:2b0318ed-d8ef-414a-8763-bc68c388d19f","Analysis of propeller-induced ground vortices by particle image velocimetry","Yang, Y. (TU Delft Flight Performance and Propulsion); Sciacchitano, A. (TU Delft Aerodynamics); Veldhuis, L.L.M. (TU Delft Flight Performance and Propulsion); Eitelberg, G. (TU Delft Flight Performance and Propulsion)","","2017","Abstract: The interaction between a propeller and its self-induced vortices originating on the ground is investigated in a scaled experiment. The velocity distribution in the flow field in two different planes containing the self-induced vortices is measured by particle image velocimetry (PIV). These planes are a wall–parallel plane in close proximity to the ground and a wall–normal plane just upstream of the propeller. Based on the visualization of the flow field in these two planes, the occurrence of ground vortices and its domain boundary are analysed. The elevation of the propeller from the ground and the thrust of the propeller are two parameters that determine the occurrence of ground vortices. The main features of the propeller inflow in the presence of the ground vortices are highlighted. Moreover, the analysis of the non-uniform inflow in the azimuthal direction shows that with increasing the propeller thrust coefficient and decreasing the elevation of the propeller above the ground, the variation of the inflow angle of the blade increases. Graphical Abstract: [Figure not available: see fulltext.]","Ground vortices; Non-uniform inflow; Particle image velocimetry; Propeller","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:fd2760b5-b7a5-4bdd-a053-9f7e01c5886b","http://resolver.tudelft.nl/uuid:fd2760b5-b7a5-4bdd-a053-9f7e01c5886b","Determination of the intrinsic α/γ interface mobility during massive transformations in interstitial free Fe-X alloys","Zhu, Jianing (Tsinghua University); Luo, Haiwen (University of Science and Technology Beijing); Yang, Zhigang (Tsinghua University); Zhang, Chi (Tsinghua University); van der Zwaag, S. (TU Delft Novel Aerospace Materials); Chen, H. (Tsinghua University)","","2017","Kinetics of the austenite (γ) to ferrite (α) transformation and the reverse ferrite (α) to austenite (γ) transformation in a series of Fe-X (X = Ni, Mn and Co) binary alloys has been experimentally and theoretically investigated. A transition from partitioning to partitionless transformation has been predicted to occur during both the γ→α and α→γ transformations by a so called Gibbs Energy Balance (GEB) model, in which the chemical driving force is assumed to be equal to the energy dissipation due to interface friction and diffusion of X inside the migrating interfaces. The transition temperature is found to depend on the kind of X and its concentration, which is in good agreement with experimental results. The intrinsic mobility of the α/γ interface has been derived from the kinetic curves of both the γ→α and α→γ transformations in the investigated alloys, and its value seems to be marginally affected by the transformation direction and alloying elements.","Austenite; Ferrite; Interface mobility; Massive transformation","en","journal article","","","","","","","","2019-05-29","","","Novel Aerospace Materials","","",""
"uuid:d7a38a53-828d-4822-b565-c4b9cb424434","http://resolver.tudelft.nl/uuid:d7a38a53-828d-4822-b565-c4b9cb424434","Optimization of culturing conditions for isolated Arthrobacter sp. ZXY-2, an effective atrazine-degrading and salt-adaptive bacterium","Zhao, X. (TU Delft Sanitary Engineering; Harbin Institute of Technology); Wang, Li (Harbin Institute of Technology); Du, Linna (Wenzhou Vocational College of Science and Technology); Yang, Jixian (Harbin Institute of Technology); Dong, Jing (Harbin Institute of Technology); Ma, Fang (Harbin Institute of Technology)","","2017","The increasing salinity in aquatic environments has had a negative impact on the biodegradation of atrazine, an extensively used herbicide which has been proven to pollute soil and water ecosystems. In the present study, a novel atrazine-degrading strain (ZXY-2) was isolated from industrial wastewater and identified as the Arthrobacter genus with the 16S rRNA gene. Results indicated that the strain showed a high salinity tolerance, and was able to tolerate NaCl concentrations up to 10% (w/w). Plackett–Burman (PB) multifactorial design and response surface methodology (RSM) were then employed to optimize the culturing conditions. Results showed that among the selected fifteen factors, six contributing factors were obtained. Subsequently, by employing the RSM to model and optimize atrazine degradation, a biodegradation efficiency of 12.73 mg L−1 h−1 was reached under optimal conditions (34.04 °C, pH 9.0, inoculum size 10% (v/v), 2.212 g L−1 of sucrose, 6 g L−1 of Na2HPO4·12H2O, and 50 mg L−1 of atrazine). In addition, a statistically quadratic polynomial mathematical model was suggested (R2 = 0.9873). In contrast to other atrazine-degrading bacteria, ZXY-2 appears to be adapted to life under high salinity conditions and sustains excellent atrazine degradation performance. Therefore it could potentially be applied in atrazine bioremediation.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:cf0113e3-b540-45d1-9968-f358a0224e1c","http://resolver.tudelft.nl/uuid:cf0113e3-b540-45d1-9968-f358a0224e1c","High-Density Microporous Li4Ti5O12 Microbars with Superior Rate Performance for Lithium-Ion Batteries","Tang, Linkai (Tsinghua University); He, Yan Bing (Tsinghua University); Wang, Chao (Tsinghua University); Wang, Shuan (Tsinghua University); Wagemaker, M. (TU Delft RST/Fundamental Aspects of Materials and Energy); Li, Baohua (Tsinghua University); Yang, Quan Hong (Tsinghua University); Kang, Feiyu (Tsinghua University)","","2017","Nanosized Li4Ti5O12 (LTO) materials enabling high rate performance suffer from a large specific surface area and low tap density lowering the cycle life and practical energy density. Microsized LTO materials have high density which generally compromises their rate capability. Aiming at combining the favorable nano and micro size properties, a facile method to synthesize LTO microbars with micropores created by ammonium bicarbonate (NH4HCO3) as a template is presented. The compact LTO microbars are in situ grown by spinel LTO nanocrystals. The as-prepared LTO microbars have a very small specific surface area (6.11 m2 g−1) combined with a high ionic conductivity (5.53 × 10−12 cm−2 s−1) and large tap densities (1.20 g cm−3), responsible for their exceptionally stable long-term cyclic performance and superior rate properties. The specific capacity reaches 141.0 and 129.3 mAh g−1 at the current rate of 10 and 30 C, respectively. The capacity retention is as high as 94.0% and 83.3% after 500 and 1000 cycles at 10 C. This work demonstrates that, in situ creating micropores in microsized LTO using NH4HCO3 not only facilitates a high LTO tap density, to enhance the volumetric energy density, but also provides abundant Li-ion transportation channels enabling high rate performance.","high tap densities; Li-ion batteries; lithium titanate; microporous microbars; NHHCO templates","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:9b56bb6c-0119-44f1-87a0-9af5d4c65129","http://resolver.tudelft.nl/uuid:9b56bb6c-0119-44f1-87a0-9af5d4c65129","High resolution neutron Larmor diffraction using superconducting magnetic Wollaston prisms","Li, Fankang (Oak Ridge National Laboratory; Indiana University - Purdue University); Feng, Hao (Indiana University - Purdue University); Thaler, Alexander N. (Oak Ridge National Laboratory); Parnell, S.R. (TU Delft RST/Fundamental Aspects of Materials and Energy); Hamilton, William A. (Oak Ridge National Laboratory); Crow, Lowell (Oak Ridge National Laboratory); Yang, Wencao (Indiana University - Purdue University); Jones, Amy B. (Oak Ridge National Laboratory); Bai, Hongyu (SLAC National Accelerator Laboratory); Matsuda, Masaaki (Oak Ridge National Laboratory); Baxter, David V. (Indiana University - Purdue University); Keller, Thomas (Max-Planck-Institut für Festkörperforschung); Fernandez-Baca, Jaime A. (Oak Ridge National Laboratory; University of Tennessee); Pynn, Roger (Oak Ridge National Laboratory; Indiana University - Purdue University)","","2017","The neutron Larmor diffraction technique has been implemented using superconducting magnetic Wollaston prisms in both single-arm and double-arm configurations. Successful measurements of the coefficient of thermal expansion of a single-crystal copper sample demonstrates that the method works as expected. The experiment involves a new method of tuning by varying the magnetic field configurations in the device and the tuning results agree well with previous measurements. The difference between single-arm and double-arm configurations has been investigated experimentally. We conclude that this measurement benchmarks the applications of magnetic Wollaston prisms in Larmor diffraction and shows in principle that the setup can be used for inelastic phonon line-width measurements. The achievable resolution for Larmor diffraction is comparable to that using Neutron Resonance Spin Echo (NRSE) coils. The use of superconducting materials in the prisms allows high neutron polarization and transmission efficiency to be achieved.","Characterization and analytical techniques","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:48239759-6d0c-4aea-a203-a1313270040c","http://resolver.tudelft.nl/uuid:48239759-6d0c-4aea-a203-a1313270040c","Engineering a lipase B from Candida antactica with efficient perhydrolysis performance by eliminating its hydrolase activity","Wang, Xu Ping (South China University of Technology); Zhou, Peng Fei (South China University of Technology); Li, Zhi Gang (South China University of Technology); Yang, Bo (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yong Hua (South China University of Technology)","","2017","A Ser105Ala mutant of the lipase B from Candida antarctica enables 'perhydrolase-only' reactions. At the example of the chemoenzymatic Baeyer-Villiger oxidation of cyclohexanone, we demonstrate that with this mutant selective oxidation can be achieved in deep eutectic solvent while essentially eliminating the undesired hydrolysis reaction of the product.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:c8ac51cf-26b8-4a8f-a48d-dbe419e9f7aa","http://resolver.tudelft.nl/uuid:c8ac51cf-26b8-4a8f-a48d-dbe419e9f7aa","Deep Eutectic Solvents Enable More Robust Chemoenzymatic Epoxidation Reactions","Zhou, Pengfei (South China University of Technology); Wang, Xuping (South China University of Technology); Zeng, Chaoxi (South China University of Technology); Wang, Weifei (South China University of Technology); Yang, Bo (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology)","","2017","A chemoenzymatic method for the production of epoxidized vegetable oils was developed. The unique combination of the commercial lipase G from Penicillieum camembertii with certain deep eutectic solvents enabled the efficient production of epoxidized vegetable oils.","chemoenzymatic synthesis; deep eutectic solvents; enzymes; epoxidation; fatty acids","en","journal article","","","","","","Accepted Author Manuscript","","2017-12-25","","","BT/Biocatalysis","","",""
"uuid:9efb571c-0441-4690-84ca-7c5d5e8bfea6","http://resolver.tudelft.nl/uuid:9efb571c-0441-4690-84ca-7c5d5e8bfea6","Aerodynamic Interaction between Propeller and Vortex","Yang, Y. (TU Delft Flight Performance and Propulsion)","Veldhuis, L.L.M. (promotor); Eitelberg, G. (promotor); Delft University of Technology (degree granting institution)","2017","","Propeller; Vortex; ground vortex; Blade vortex interaction","en","doctoral thesis","","9789462335806","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:dead25f2-ec5b-4a5a-a35d-661754f52425","http://resolver.tudelft.nl/uuid:dead25f2-ec5b-4a5a-a35d-661754f52425","Lake seasonality across the Tibetan Plateau and their varying relationship with regional mass changes and local hydrology","Lei, Yanbin (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System); Yao, Tandong (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System); Yang, Kun (Chinese Academy of Sciences); Sheng, Yongwei (University of California); Kleinherenbrink, M. (TU Delft Physical and Space Geodesy); Yi, Shuang (Chinese Academy of Sciences); Bird, Broxton W. (Indiana University - Purdue University); Zhang, Xiaowen (Chinese Academy of Sciences); Zhu, La (Chinese Academy of Sciences); Zhang, Guoqing (Chinese Academy of Sciences; CAS Center for Excellence in Tibetan Plateau Earth System)","","2017","The recent growth and deepening of inland lakes in the Tibetan Plateau (TP) may be a salient indicator of the consequences of climate change. The seasonal dynamics of these lakes is poorly understood despite this being potentially crucial for disentangling contributions from glacier melt and precipitation, which are all sensitive to climate, to lake water budget. Using in situ observations, satellite altimetry and gravimetry data, we identified two patterns of lake level seasonality. In the central, northern, and northeastern TP, lake levels are characterized by considerable increases during warm seasons and decreases during cold seasons, which is consistent with regional mass changes related to monsoon precipitation and evaporation. In the northwestern TP, however, lake levels exhibit dramatic increases during both warm and cold seasons, which deviate from regional mass changes. This appears to be more connected with high spring snowfall and large summer glacier melt. The variable lake level response to different drivers indicates heterogeneous sensitivity to climate change between the northwestern TP and other regions.","cryosphere hydrolgoy; lake seasonality; Tibetan Plateau","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:efcf655b-e0b1-48b7-9102-87c8c9764e2b","http://resolver.tudelft.nl/uuid:efcf655b-e0b1-48b7-9102-87c8c9764e2b","Hybrid Plasmonics Slot THz Waveguide for Subwavelength Field Confinement and Crosstalk between Two Waveguides","Xiao, Jing (Guilin University of Electronic Technology); Wei, Qi-Qin (Guilin University of Electronic Technology); Yang, Duo Guo (Guilin University of Electronic Technology); Zhang, Ping (Guilin University of Electronic Technology); He, Ning (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Chen, Xian Ping (Chongqing University)","","2017","The slot waveguide has attracted considerable attention because of its ability to confine and guide electromagnetic energy at the subwavelength scale beyond the diffraction limit. We propose a novel terahertz slot waveguide structure to achieve a better tradeoff between propagation length and field confinement capacity, the novel waveguide consisting of a two slot structure. The performances of terahertz waveguides were investigated using the finite-element method. The results demonstrated that the hybrid slot waveguide (HSW) provides significantly enhanced field confinement in low index slot regions: more than five times that of traditional low index slot waveguides (LISWs). An optimized HSW structure was achieved by tuning the tradeoff between mode confinement and propagation length. We also showed that its integration in conventional planar waveguide circuits was greatly improved compared with the LISWs, by comparing their crosstalk. The proposed new HSW structure has great potential to enable THz production of compact integration and could lead to true semiconductor-basedTHz applications with high performance.","crosstalk; enhanced field; propagation length; slot THz waveguide; Terahertz radiation","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:842cbcd5-dc80-474a-93be-2ba49a5dd025","http://resolver.tudelft.nl/uuid:842cbcd5-dc80-474a-93be-2ba49a5dd025","Desulphurisation of Hisarna hot metal: a comparisson study based on plant data","Schrama, F.N.H. (TU Delft (OLD) MSE-3); Beunder, Elisabeth M. (Tata Steel Europe Limited); van Boggelen, J.W.K. (Tata Steel Europe Limited); Boom, R. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2017","HIsarna is a smelting reduction ironmaking process that is currently in the pilot plant development phase. HIsarna produces hot metal with higher sulphur, lower phosphorus and manganese, almost no silicon and titanium and a lower temperature compared to the blast furnace. Because of that, desulphurisation of the HIsarna hot metal is one of the challenges to ensure its use for steelmaking. Plant data from different Tata Steel plants in Europe and India was used to study the effect of carbon, silicon, phosphorus, manganese, titanium, chromium
and temperature on hot metal desulphurisation by magnesium lime co-injection. The analysis of the plant data implies that the composition of HIsarna hot metal will be in favour of sulphur removal. Furthermore significant correlations were found between carbon, silicon and desulphurisation efficiency, that needs further research.","HIsarna; Hot Metal Desulphurisation; magnesium consumption; hot metal composition","en","conference paper","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:75e31abb-9592-4d9e-addf-c4738ad70931","http://resolver.tudelft.nl/uuid:75e31abb-9592-4d9e-addf-c4738ad70931","On the Predictability of PS occurrence and location based on 3D Ray-tracing models","Yang, M. (TU Delft Mathematical Geodesy and Positioning; Wuhan University); Dheenathayalan, P. (TU Delft Mathematical Geodesy and Positioning); Biljecki, F. (TU Delft Urban Data Science); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2017","","","en","abstract","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:54341eb8-4282-4d17-aa74-ff41bbe65ff2","http://resolver.tudelft.nl/uuid:54341eb8-4282-4d17-aa74-ff41bbe65ff2","Stochastic optimization with randomized smoothing for image registration","Sun, Wei (Erasmus MC; University of Southern California); Poot, D.H.J. (TU Delft ImPhys/Quantitative Imaging; Erasmus MC); Smal, Ihor (Erasmus MC); Yang, Xuan (Shenzhen University); Niessen, W.J. (TU Delft ImPhys/Quantitative Imaging; Erasmus MC); Klein, S. (Erasmus MC)","","2017","Image registration is typically formulated as an optimization process, which aims to find the optimal transformation parameters of a given transformation model by minimizing a cost function. Local minima may exist in the optimization landscape, which could hamper the optimization process. To eliminate local minima, smoothing the cost function would be desirable. In this paper, we investigate the use of a randomized smoothing (RS) technique for stochastic gradient descent (SGD) optimization, to effectively smooth the cost function. In this approach, Gaussian noise is added to the transformation parameters prior to computing the cost function gradient in each iteration of the SGD optimizer. The approach is suitable for both rigid and nonrigid registrations. Experiments on synthetic images, cell images, public CT lung data, and public MR brain data demonstrate the effectiveness of the novel RS technique in terms of registration accuracy and robustness.","Image registration; Local minima; Randomized smoothing; Stochastic gradient descent","en","journal article","","","","","","Accepted Author Manuscript","","2018-07-13","","","ImPhys/Quantitative Imaging","","",""
"uuid:4ab895c0-86fa-4baf-a787-1f8b69acaf6a","http://resolver.tudelft.nl/uuid:4ab895c0-86fa-4baf-a787-1f8b69acaf6a","Uniform definability in propositional dependence logic","Yang, F. (TU Delft Ethics & Philosophy of Technology)","","2017","Both propositional dependence logic and inquisitive logic are expressively complete. As a consequence, every formula in the language of inquisitive logic with intuitionistic disjunction or intuitionistic implication can be translated equivalently into a formula in the language of propositional dependence logic without these two connectives. We show that although such a (noncompositional) translation exists, neither intuitionistic disjunction nor intuitionistic implication is uniformly definable in propositional dependence logic.","compositionality; dependence logic; inquisitive logic; team semantics; uniform definability","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:896d5bfb-c46c-40a6-9ed8-037d0af66b11","http://resolver.tudelft.nl/uuid:896d5bfb-c46c-40a6-9ed8-037d0af66b11","Sulphur removal in ironmaking and oxygen steelmaking","Schrama, F.N.H. (TU Delft (OLD) MSE-3; Tata Steel); Beunder, E.M. (Tata Steel); van den Berg, B (Danieli Corus); Yang, Y. (TU Delft (OLD) MSE-3); Boom, R. (TU Delft (OLD) MSE-1)","","2017","Sulphur removal in the ironmaking and oxygen steelmaking process is reviewed. A sulphur balance is made for the steelmaking process of Tata Steel IJmuiden, the Netherlands. There are four stages where sulphur can be removed: in the blast furnace (BF), during hot metal (HM) pretreatment, in the converter and during the secondary metallurgy (SM) treatment. For sulphur removal a low oxygen activity and a basic slag are required. In the BF typically 90% of the sulphur is removed; still, the HM contains about 0.03% of sulphur. Different HM desulphurisation processes are used worldwide. With co-injection or the Kanbara reactor, sulphur concentrations below 0.001% are reached. Basic slag helps desulphurisation in the converter. However, sulphur increase is not uncommon in the converter due to high oxygen activity and sulphur input via scrap and additions. For low sulphur concentrations SM desulphurisation, with a decreased oxygen activity and a basic slag, is always required.","Desulphurisation; hot metal desulphurisation methods; ironmaking; kinetics; steelmaking; thermodynamics","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:9d0c27d7-bf2d-4b02-b2dc-27aa54d50b21","http://resolver.tudelft.nl/uuid:9d0c27d7-bf2d-4b02-b2dc-27aa54d50b21","Beam Experiments on Acceptance Criteria for Bridge Load Tests","Lantsoght, E.O.L. (TU Delft Steel & Composite Structures); Yang, Y. (TU Delft Steel & Composite Structures); van der Veen, C. (TU Delft Steel & Composite Structures); de Boer, A. (Ministry of Infrastructure and the Environment); Hordijk, D.A. (TU Delft Steel & Composite Structures)","","2017","Loading protocols and acceptance criteria are available in the literature for load tests on buildings. For bridges, proof load tests are interesting when crucial information about the structure is missing, or when the uncertainties about the structural response are large. The acceptance criteria can then be applied to evaluate if further loading is acceptable, or could lead to permanent damage to the structure. To develop loading protocols and acceptance criteria for proof loading of reinforced concrete bridges, beam experiments were analyzed. In these experiments, different loading speeds, constant load level times, numbers of loading cycles, and required number of load levels were evaluated. The result of these experiments is the development of a standard loading protocol for the proof loading of reinforced concrete bridges. Based on these limited test results, recommendations for acceptance criteria are also proposed.","acceptance criteria; beam test; bending; load test; loading protocol; proof loading; reinforced concrete slab; shear; slab bridge","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:c5383747-d468-468d-bf88-52b332d25ee2","http://resolver.tudelft.nl/uuid:c5383747-d468-468d-bf88-52b332d25ee2","Impact of g -factors and valleys on spin qubits in a silicon double quantum dot","Hwang, J.C.C. (University of New South Wales); Yang, C.H. (University of New South Wales); Veldhorst, M. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; University of New South Wales); Hendrickx, N.W. (TU Delft QCD/Veldhorst Lab); Fogarty, M. A. (University of New South Wales); Huang, W. (University of New South Wales); Hudson, F. E. (University of New South Wales); Morello, A. (University of New South Wales); Dzurak, A. S. (University of New South Wales)","","2017","We define single electron spin qubits in a silicon metal-oxide-semiconductor double quantum dot system. By mapping the qubit resonance frequency as a function of a gate-induced electric field, the spectrum reveals an anticrossing that is consistent with an intervalley spin-orbit coupling. We fit the data from which we extract an intervalley coupling strength of 43 MHz. In addition, we observe a narrow resonance near the primary qubit resonance when we operate the device in the (1,1) charge configuration. The experimental data are consistent with a simulation involving two weakly exchanged-coupled spins with a Zeeman energy difference of 1 MHz, of the same order as the Rabi frequency. We conclude that the narrow resonance is the result of driven transitions between the T- and T+ triplet states, using an electron spin resonance signal of frequency located halfway between the resonance frequencies of the two individual spins. The findings presented here offer an alternative method of implementing two-qubit gates, of relevance to the operation of larger-scale spin qubit systems.","","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:16eb02a3-9208-4235-804d-2e7b18db36dd","http://resolver.tudelft.nl/uuid:16eb02a3-9208-4235-804d-2e7b18db36dd","Electrochemistry of zirconium in molten chlorides","Xu, L. (Northeastern University); Xiao, Y (Anhui University of Technology); Xu, Q (Shanghai University); Song, Qiushi (Northeastern University); Yang, Y. (TU Delft (OLD) MSE-3; Anhui University of Technology)","","2017","In this work, the electrochemical behavior of zirconium was studied on an inert molybdenum electrode at 550 °C in a LiCl-KCl-K2ZrF6 molten salt system, which is considered as an ideal electrolyte for the zirconium electrorefining process. Several transient electrochemical techniques were used such as cyclic voltammetry, chronopotentiometry, square wave voltammetry, and open circuit chronopotentiometry. The reduction of Zr (IV) was determined to follow a two-step mechanism of Zr (IV)/Zr (II) and Zr (II)/Zr. The diffusion coefficient of Zr (IV) was investigated with cyclic voltammetry and chronopotentiometry, and the results turned out to be in fair agreement from the both methods, as to be 4.26×10-5 and 4.98×10-5 cm2/s, respectively. The present study aims to provide a theoretical reference for the Zr electrorefining process.","Electrochemistry; Molten salt; Redox mechanism; Zirconium","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:2655481c-cd76-4cf0-828d-f95e74c1615a","http://resolver.tudelft.nl/uuid:2655481c-cd76-4cf0-828d-f95e74c1615a","Dynamic causal modeling of the cortical responses to wrist perturbations","Yang, Y. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University); Guliyev, Bekir; Schouten, A.C. (TU Delft Biomechatronics & Human-Machine Control; University of Twente)","","2017","Mechanical perturbations applied to the wrist joint typically evoke a stereotypical sequence of cortical and muscle responses. The early cortical responses (<100 ms) are thought be involved in the “rapid” transcortical reaction to the perturbation while the late cortical responses (>100 ms) are related to the “slow” transcortical reaction. Although previous studies indicated that both responses involve the primary motor cortex, it remains unclear if both responses are engaged by the same effective connectivity in the cortical network. To answer this question, we investigated the effective connectivity cortical network after a “ramp-and-hold” mechanical perturbation, in both the early (<100 ms) and late (>100 ms) periods, using dynamic causal modeling. Ramp-and-hold perturbations were applied to the wrist joint while the subject maintained an isometric wrist flexion. Cortical activity was recorded using a 128-channel electroencephalogram (EEG). We investigated how the perturbation modulated the effective connectivity for the early and late periods. Bayesian model comparisons suggested that different effective connectivity networks are engaged in these two periods. For the early period, we found that only a few cortico-cortical connections were modulated, while more complicated connectivity was identified in the cortical network during the late period with multiple modulated cortico-cortical connections. The limited early cortical network likely allows for a rapid muscle response without involving high-level cognitive processes, while the complexity of the late network may facilitate coordinated responses.","sensory feedback; stretch response; dynamic causal modeling; sensorimotor network; EEG; effective connectivity; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:fe7b8284-0b07-450b-990d-1e02a451ceef","http://resolver.tudelft.nl/uuid:fe7b8284-0b07-450b-990d-1e02a451ceef","Proof load testing of the viaduct De Beek","Lantsoght, E.O.L. (TU Delft Steel & Composite Structures); Koekkoek, R.T. (TU Delft Steel & Composite Structures); Yang, Y. (TU Delft Steel & Composite Structures); van der Veen, C. (TU Delft Steel & Composite Structures); de Boer, A. (Ministry of Infrastructure and the Environment); Hordijk, D.A. (TU Delft Steel & Composite Structures)","","2017","Proof load testing can be a suitable method to show that a bridge can carry the required loads from the code without distress. This paper addresses the preparation, execution, and analysis of a proof load test on a four-span reinforced concrete solid slab bridge, viaduct de Beek. The bridge has one lane in each direction, but was restricted to a single lane, since an assessment showed that the capacity is not sufficient to allow both lanes. For this proof load test, the bridge was heavily equipped with sensors, so that early signs of distress can be seen. The difficulty in this test was that, for safety reasons, only the first span could be tested, but that the lowest ratings were found in the second span. A direct approval of the viaduct by proof loading was thus not possible, and an analysis was necessary after the field test. The result of this analysis is that only by allowing 6.7% of plastic redistribution in the second span, sufficient capacity can be demonstrated.","existing bridges; load testing; proof load testing; reinforced concrete bridges; bending moment capacity; sensors; slab bridges","en","conference paper","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:7f142c6f-4ec8-42fa-9037-aaf204eaa3ea","http://resolver.tudelft.nl/uuid:7f142c6f-4ec8-42fa-9037-aaf204eaa3ea","Epidemic Processes on Complex Networks","Yang, L. (TU Delft Network Architectures and Services); Deng, Yong (Southwestern University); Piqueira, Jose Roberto Castilho (Universidade de São Paulo)","","2017","As a fascinating branch of the emerging network science, the mission of the epidemic dynamics on networks is to understand how objects spread in networks and thereby to work out cost-effective strategy for restraining undesirable objects or promoting desirable objects. This special issue contains ten excellent papers about this subject.","","en","contribution to periodical","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:64962754-6867-4ebf-a3ec-2b57e74f299a","http://resolver.tudelft.nl/uuid:64962754-6867-4ebf-a3ec-2b57e74f299a","Recommender systems for citizens: The CitRec'17 workshop manifesto","Yang, J. (TU Delft Web Information Systems); Cantador, Iván (Campus de Cantoblanco); Nurbakova, Diana (INSA Lyon); Cortés-Cediel, María E. (Universidad Complutense de Madrid); Bozzon, A. (TU Delft Web Information Systems)","","2017","This manifesto summarises the outcomes of the 1st Workshop on Recommender Systems for Citizens (CitRec'17), held at the 11th ACM Conference on Recommender Systems, in August 2017 in Como, Italy. We discuss challenges and opportunities for the development of recommender systems for citizens, including: the clarification of the role of recommender systems for cities and citizens; in this context, the identification of classes of items to be recommended; the need for targeting and engaging the right population, involving the right stakeholders; and the existence of underlying ethical issues such as fairness and consensus. We further provide an action plan to bring forward the research and application of recommender systems for citizens.","Citizens; Recommender systems; Smart cities","en","conference paper","Association for Computing Machinery (ACM)","","","","","Accepted Author Manuscript","","","","","Web Information Systems","","",""
"uuid:6a80cee8-e86a-4aeb-904d-d4675016c09f","http://resolver.tudelft.nl/uuid:6a80cee8-e86a-4aeb-904d-d4675016c09f","A semi-analytical thermal model of selective laser melting process","Yang, Y. (TU Delft Computational Design and Mechanics); Ayas, C. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics)","","2017","","Additive manufacturing; Flow optimization; Overhang; Topology optimization","en","abstract","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:8d0eec42-e313-4b1f-8338-526f1967217f","http://resolver.tudelft.nl/uuid:8d0eec42-e313-4b1f-8338-526f1967217f","A computationally efficient process modelling approach for selective laser melting","Yang, Y. (TU Delft Computational Design and Mechanics); Ayas, C. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics)","","2017","","Additive manufacturing; Flow optimization; Overhang; Topology optimization","en","abstract","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:32d9b0b8-d50c-431a-b2ae-1761bc08212b","http://resolver.tudelft.nl/uuid:32d9b0b8-d50c-431a-b2ae-1761bc08212b","Characterisation of glass polishing waste samples","Borra, C.R. (TU Delft (OLD) MSE-1); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Offerman, S.E. (TU Delft (OLD) MSE-1); Yang, Y. (TU Delft (OLD) MSE-3)","","2017","The present work describes the characterisation of the two different polishing waste samples. The samples were analysed with laser particle size analyser, XRD, XRF, TGA, and SEM. In the sample A, a large amount of calcite (CaCO3) together with silica and aluminosilicates were observed with SEM. In the minerology, only CaCO3, CeO2 and LnO0.65F1.7 were found. In the sample B, very small amounts of impurities (<2%) were found. The particle size of sample B was decreased compared to it’s original polishing powder. CeO2 and LnO0.65F1.7 compounds were found in the XRD analysis.","","en","poster","","","","","","","","","","","(OLD) MSE-1","","",""
"uuid:4faa2692-c2b7-40dc-8b34-6f7ddb87f493","http://resolver.tudelft.nl/uuid:4faa2692-c2b7-40dc-8b34-6f7ddb87f493","Unveiling neural coupling within the sensorimotor system: directionality and nonlinearity","Yang, Y. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University); Dewald, J.P.A. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University); Schouten, A.C. (TU Delft Biomechatronics & Human-Machine Control; Northwestern University; University of Twente)","","2017","Neural coupling between the central nervous system and the periphery is essential for the neural control of movement. Corticomuscular coherence is a popular linear technique to assess synchronised oscillatory activity in the sensorimotor system. This oscillatory coupling originates from ascending somatosensory feedback and descending motor commands. However, corticomuscular coherence cannot separate this bidirectionality. Furthermore, the sensorimotor system is nonlinear, resulting in cross-frequency
coupling. Cross-frequency oscillations cannot be assessed nor exploited by linear measures. Here, we emphasise the need of novel coupling measures, which provide directionality and acknowledge nonlinearity, to unveil neural coupling in the sensorimotor system. We highlight recent advances in the field and argue that assessing directionality and nonlinearity of neural coupling
will break new ground in the study of the control of movement in healthy and neurologically impaired individuals.","corticomuscular interaction; cross-frequency coupling; granger causality; sensorimotor system; sensory feedback","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:7db2d2d5-0ab5-4e63-8943-6431818a7fda","http://resolver.tudelft.nl/uuid:7db2d2d5-0ab5-4e63-8943-6431818a7fda","MRLR: Multi-level representation learning for personalized ranking in recommendation","Sun, Zhu (Nanyang Technological University); Yang, J. (TU Delft Web Information Systems); Zhang, Jie (Nanyang Technological University); Bozzon, A. (TU Delft Web Information Systems); Chen, Yu (Nanyang Technological University); Xu, Chi (Singapore Institute of Manufacturing Technology)","Sierra, C. (editor)","2017","Representation learning (RL) has recently proven to be effective in capturing local item relationships by modeling item co-occurrence in individual user's interaction record. However, the value of RL for recommendation has not reached the full potential due to two major drawbacks: 1) recommendation is modeled as a rating prediction problem but should essentially be a personalized ranking one; 2) multi-level organizations of items are neglected for fine-grained item relationships. We design a unified Bayesian framework MRLR to learn user and item embeddings from a multi-level item organization, thus benefiting from RL as well as achieving the goal of personalized ranking. Extensive validation on real-world datasets shows that MRLR consistently outperforms state-of-the-art algorithms.","","en","conference paper","International Joint Conferences on Artificial Intelligence (IJCAI)","","","","","","","","","","Web Information Systems","","",""
"uuid:09192ff6-c894-4862-9ce8-999c9b84f3e6","http://resolver.tudelft.nl/uuid:09192ff6-c894-4862-9ce8-999c9b84f3e6","Potential impact of methyl isobutyl ketone (MIBK) on phenols degradation in an UASB reactor and its degradation properties","Wang, Wei (Hefei University of Technology); Yang, Kai (Hefei University of Technology); Muñoz Sierra, Julian (TU Delft Sanitary Engineering); Zhang, X. (TU Delft Sanitary Engineering); Yuan, Shoujun (Hefei University of Technology); Hu, Zhenhu (Hefei University of Technology)","","2017","Methyl isobutyl ketone (MIBK) as a solvent is extensively used for the phenols extraction from the wastewater, so it is unavoidable to expose in the effluent due to the solubility and leakage problem. The present study evaluated the impact of MIBK on phenols degradation in an UASB reactor and analyzed its degradation properties. The results indicated that the continuous dosing (0.1 g L−1) and impact (10 g L−1) of MIBK had limited effect on phenols removal (1–2% reduction) in the UASB reactor, but the specific methanogenic activity (SMA) values of sludge decreased by 45–75% after MIBK exposure. Anaerobic degradation rate of MIBK fitted well to a pseudo-first-order kinetic equation with respect to the initial concentration of 35 mg L−1 (k = 0.0115 h−1, R2 = 0.9664). Furthermore, the relative methane generation rate constants of MIBK were 0.00816, 0.00613, 0.00273, and 0.00207 d−1 at the initial concentrations of 0.1, 0.5, 5, and 10 g L−1, respectively. MIBK showed higher inhibitory effect on the methanogenesis than on phenols degradation. This study pointed out that the industrial installations should consider the influence of solvent on anaerobic treatment of phenolic wastewater.","Methyl isobutyl ketone; Phenolic compounds; Solvent; Specific methanogenic activity; UASB","en","journal article","","","","","","","","2019-03-22","","","Sanitary Engineering","","",""
"uuid:2e80324f-47b2-43a5-86fa-1782e5e3915f","http://resolver.tudelft.nl/uuid:2e80324f-47b2-43a5-86fa-1782e5e3915f","Electrochemical extraction of rare earth metals in molten fluorides: Conversion of rare earth oxides into rare earth fluorides using fluoride additives","Abbasalizadeh, A. (TU Delft (OLD) MSE-3); Malfliet, Annelies (Katholieke Universiteit Leuven); Seetharaman, Seshadri (KTH Royal Institute of Technology); Sietsma, J. (TU Delft (OLD) MSE-3); Yang, Y. (TU Delft (OLD) MSE-3)","","2017","In the present research on rare earth extraction from rare earth oxides (REOs), conversion of rare earth oxides into rare earth fluorides with fluoride fluxes is investigated in order to overcome the problem of low solubility of the rare earth oxides in molten fluoride salts as well as the formation of oxyfluorides in the fluorination process. Based on thermodynamic calculations, a series of experiments were performed for converting the rare earth oxides into rare earth fluorides using AlF3, ZnF2, FeF3, and Na3AlF6 as fluorinating agents in a LiF–Nd2O3 system. The formation of neodymium fluoride as a result of the reactions between these fluxes and neodymium oxide is confirmed. The rare earth fluoride thus formed can subsequently be processed through the electrolysis route in the same reactor, and rare earth metal can be produced as the cathodic deposit. In this concept, the REO dissolution in molten fluorides would become unnecessary due to the complete conversion of the oxide into the fluoride, REF3. The results of XRD and EPMA analysis of the reacted samples indicate that AlF3, ZnF2, and FeF3 can act as strong fluorinating agents for the neodymium oxide giving rise to a complete conversion of neodymium oxide into neodymium fluoride.","Rare earth oxides; Recycling; Fluorination","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:dcf0d64c-e4f2-4e93-9a5a-939a4270776e","http://resolver.tudelft.nl/uuid:dcf0d64c-e4f2-4e93-9a5a-939a4270776e","Color Shift Modeling of Light-Emitting Diode Lamps in Step-Loaded Stress Testing","Cai, Miao (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Huang, J. (TU Delft Electronic Components, Technology and Materials); Zhang, Maofen (Guilin University of Electronic Technology); Chen, Xianping (Chongqing University); Liang, Caihang (Guilin University of Electronic Technology); Koh, S.W. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Chinese Academy of Sciences)","","2017","The color coordinate shift of light-emitting diode (LED) lamps is investigated by running three stress-loaded testing methods, namely step-up stress accelerated degradation testing, step-down stress accelerated degradation testing, and constant stress accelerated degradation testing. A power model is proposed as the statistical model of the color shift (CS) process of LED products. Consequently, a CS mechanism constant is obtained for detecting the consistency of CS mechanisms among various stress-loaded conditions. A statistical procedure with the proposed power model is then derived for the CS paths of LED lamps in step-loaded stress testing. Two types of commercial LED lamps with different capabilities of heat dissipation (CHDs) are investigated. Results show that the color coordinates of lamps are easily modified in various stress-loaded conditions, and different CHDs of lamps may play a crucial role in the various CS processes. Furthermore, the proposed statistic power model is adequate for the CS process of LED lamps. The consistency of CS mechanisms in step-loaded stress testing can also be detected effectively by applying the proposed statistic procedure with the power model. Moreover, the constant assumption in the model is useful for judging the consistency of CS mechanisms under various stress-loaded conditions.","color shift (CS); degradation mechanism; Light-emitting diodes (LEDs); reliability modeling","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:92b1afee-41e4-429d-b381-965673f893ef","http://resolver.tudelft.nl/uuid:92b1afee-41e4-429d-b381-965673f893ef","REE recovery from end-of-life NdFeB permanent magnet scrap: A critical review","Yang, Y. (TU Delft (OLD) MSE-3); Walton, A (University of Birmingham); Sheridan, R. (University of Birmingham); Güth, K. (Fraunhofer ISC); Gauß, R. (Fraunhofer ISC); Gutfleisch, O (Technische Universität Darmstadt); Buchert, M (Öko-Institut); Steenari, B-M, (Chalmers University of Technology); Van Gerven, T (Katholieke Universiteit Leuven); Jones, P.T. (Katholieke Universiteit Leuven); Binnemans, K (Katholieke Universiteit Leuven)","","2017","NdFeB permanent magnets have different life cycles, depending on the applications: from as short as 2–3 years in consumer electronics to 20–30 years in wind turbines. The size of the magnets ranges from less than 1 g in small consumer electronics to about 1 kg in electric vehicles (EVs) and hybrid and electric vehicles (HEVs), and can be as large as 1000–2000 kg in the generators of modern wind turbines. NdFeB permanent magnets contain about 31–32 wt% of rare-earth elements (REEs). Recycling of REEs contained in this type of magnets from the End-of-Life (EOL) products will play an important and complementary role in the total supply of REEs in the future. However, collection and recovery of the magnets from small consumer electronics imposes great social and technological challenges. This paper gives an overview of the sources of NdFeB permanent magnets related to their applications, followed by a summary of the various available technologies to recover the REEs from these magnets, including physical processing and separation, direct alloy production, and metallurgical extraction and recovery. At present, no commercial operation has been identified for recycling the EOL NdFeB permanent magnets and the recovery of the associated REE content. Most of the processing methods are still at various research and development stages. It is estimated that in the coming 10–15 years, the recycled REEs from EOL permanent magnets will play a significant role in the total REE supply in the magnet sector, provided that efficient technologies will be developed and implemented in practice.","Critical raw materials; Neodymium; Rare earths; Rare-earth magnets; Recycling; Urban mining","en","review","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:abe60e9d-e473-416d-89a0-847caee2465b","http://resolver.tudelft.nl/uuid:abe60e9d-e473-416d-89a0-847caee2465b","Erosion potential of the Yangtze Delta under sediment starvation and climate change","Yang, H. F. (Shanghai Estuarine and Coastal Science Research Center; Louisiana State University); Yang, S. L. (Shanghai Estuarine and Coastal Science Research Center); Xu, K. H. (Louisiana State University); Wu, H. (Shanghai Estuarine and Coastal Science Research Center); Shi, B. W. (Louisiana State University; Nanjing University); Zhu, Q. (TU Delft Coastal Engineering); Zhang, W. X. (Shanghai Estuarine and Coastal Science Research Center); Yang, Z. (Ocean University of China)","","2017","Deltas are widely threatened by sediment starvation and climate change. Erosion potential is an important indicator of delta vulnerability. Here, we investigate the erosion potential of the Yangtze Delta. We found that over the past half century the Yangtze's sediment discharge has decreased by 80% due to the construction of >50,000 dams and soil conservation, whereas the wind speed and wave height in the delta region have increased by 5-7%, and the sea level has risen at a rate of 3 mm/yr. According to hydrodynamic measurements and analyses of seabed sediments, the period when bed shear stress due to combined current-wave action under normal weather conditions exceeds the critical bed shear stress for erosion (τcr) accounts for 63% of the total observed period on average and can reach 100% during peak storms. This explains why net erosion has occurred in some areas of the subaqueous delta. We also found that the increase with depth of τcr is very gradual in the uppermost several metres of the depositional sequence. We therefore expect that the Yangtze subaqueous delta will experience continuous erosion under sediment starvation and climate change in the next decades of this century or even a few centuries.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:fef219f6-1576-4202-b294-33f5271e8e5a","http://resolver.tudelft.nl/uuid:fef219f6-1576-4202-b294-33f5271e8e5a","Adversarial Cross-Modal Retrieval","Wang, Bokun (University of Electronic Science and Technology of China); Yang, Yang (University of Electronic Science and Technology of China); Xing, Xu (University of Electronic Science and Technology of China); Hanjalic, A. (TU Delft Multimedia Computing); Shen, Heng Tao (University of Electronic Science and Technology of China)","","2017","Cross-modal retrieval aims to enable flexible retrieval experience across different modalities (e.g., texts vs. images). The core of crossmodal retrieval research is to learn a common subspace where the items of different modalities can be directly compared to each other. In this paper, we present a novel Adversarial Cross-Modal Retrieval (ACMR) method, which seeks an effective common subspace based on adversarial learning. Adversarial learning is implemented as an interplay between two processes. The first process, a feature projector, tries to generate a modality-invariant representation in the common subspace and to confuse the other process, modality classifier, which tries to discriminate between different modalities based on the generated representation. We further impose triplet constraints on the feature projector in order to minimize the gap among the representations of all items from different modalities with same semantic labels, while maximizing the distances among semantically different images and texts. Through the joint exploitation of the above, the underlying cross-modal semantic structure of multimedia data is better preserved when this data is projected into the common subspace. Comprehensive experimental results on four widely used benchmark datasets show that the proposed ACMR method is superior in learning effective subspace representation and that it significantly outperforms the state-of-the-art cross-modal retrieval methods.","Adversarial learning; Cross-modal retrieval; Modality gap","en","conference paper","Association for Computing Machinery (ACM)","","","","","","","","","","Multimedia Computing","","",""
"uuid:dd10f8b1-05a0-4190-a352-b4724a91afbb","http://resolver.tudelft.nl/uuid:dd10f8b1-05a0-4190-a352-b4724a91afbb","A Matrix-Based Structure for Vario-Scale Vector Representation over a Wide Range of Map Scales: The Case of River Network Data","Huang, L. (Wuhan University); Ai, Tinghua (Wuhan University); van Oosterom, P.J.M. (TU Delft OLD Department of GIS Technology); Yan, Xiongfeng (Wuhan University); Yang, Min (Wuhan University)","","2017","The representation of vector data at variable scales has been widely applied in geographic information systems and map-based services. When the scale changes across a wide range, a complex generalization that involves multiple operations is required to transform the data. To present such complex generalization, we proposed a matrix model to combine different generalization operations into an integration. This study was carried on a set of river network data, where two operations, i.e., network pruning accompanied with river simplification, were hierarchically constructed as the rows and columns of a matrix. The correspondence between generalization operations and scale, and the scale linkage of multiple operations were also explicitly defined. In addition, we developed a vario-scale data structure to store the generalized river network data based on the proposed matrix. The matrix model was validated and assessed by a comparison with traditional methods that conduct generalization operations in sequence. It was shown that the matrix model enabled complex generalization with good generalization quality. Taking advantage of the corresponding vario-scale data structure, the river network data could be obtained at any arbitrary scale, and the vario-scale representation was achieved across a wide scale range.","Complex generalization; Hydrographic network generalization; Matrix model; Vario-scale representation","en","journal article","","","","","","","","","","","OLD Department of GIS Technology","","",""
"uuid:863d7ab7-4a9f-4f99-91da-f286ce669274","http://resolver.tudelft.nl/uuid:863d7ab7-4a9f-4f99-91da-f286ce669274","A computational design exploration platform supporting the formulation of design concepts","Yang, D. (TU Delft Design Informatics; South China University of Technology); Sun, Yimin (South China University of Technology); Di Stefano, D. (ESTECO SpA); Turrin, M. (TU Delft Design Informatics; South China University of Technology)","Turrin, Michela (editor); Peters, Brady (editor); O'Brien, William (editor); Stouffs, Rudi (editor); Dogan, Timur (editor)","2017","The comparison of various competing design concepts during conceptual architectural design is commonly needed for achieving a good final concept. For this, computational design exploration is a key approach. Unfortunately, most
of existing research tends to skip this crucial process, and purely focuses on the late-stage design optimization based on a single concept that, they assume, has been good enough or accepted already. This paper focuses on information or knowledge extracted from a multi-objective design exploration for the formulation of a good geometrical building design concept. To better support the exploration process, a new integration plug-in is developed to integrate parametric modelling software and process integration and optimization software. Through a case study that investigates the daylight and energy performances of a large indoor space, this paper 1) tackles the importance of design exploration on the formulation of a good design concept; 2) presents and shows the usability of the new integration plug-in for supporting the exploration process.","Multi-objective design exploration; Design concepts; Trade-off; Comparison; Top daylighting; Energy; Daylight","en","conference paper","Simulation Councils","","","","","","","","","","Design Informatics","","",""
"uuid:7f10c742-83d5-4acf-96cc-8b023ce7ffe0","http://resolver.tudelft.nl/uuid:7f10c742-83d5-4acf-96cc-8b023ce7ffe0","Bed-level changes on intertidal wetland in response to waves and tides: A case study from the Yangtze River Delta","Zhu, Q. (TU Delft Coastal Engineering; East China Normal University); van Prooijen, Bram (TU Delft Environmental Fluid Mechanics); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares; East China Normal University); Yang, SL","","2017","Short-term bed-level variability in tidal wetlands has important implication both for ecology and engineering. In this study, we combined in situ measurements with model simulations to quantify short-term bed-level changes on a meso-macrotidal wetland in the Yangtze River Delta. On the middle flat, we observed erosion during neap-to-mean tides under onshore moderate-to-strong winds, and bed recovery during subsequent spring tides, when winds were both offshore and weaker, suggesting that winds can overturn the neap–spring cyclicity of bed-level changes even on meso–macrotidal mudflats. The magnitude of bed-level changes was smaller on both sides of the middle flat, while the smallest changes occurred on the salt marsh. Observed bed-level changes were reconstructed using a single-point bed-level change model, which incorporates in situ measured parameters of hydrodynamics (waves and currents), suspended sediment concentrations, and bed sediment properties. We conclude that the relative importance of waves and tides in intertidal wetland erosion and accretion can vary temporally (due to changes in balance between wave and tidal energies) and spatially (because of changes in elevation and vegetation in the cross-shore profile). Our study also reflects the advantage of combination of in situ measurement with simulation in detecting short-term variability of tidal flats.","","en","journal article","","","","","","","Campus only","","","","Coastal Engineering","","",""
"uuid:a472564f-492d-4094-8de3-fdf53a84d095","http://resolver.tudelft.nl/uuid:a472564f-492d-4094-8de3-fdf53a84d095","Chemoenzymatic epoxidation of alkenes with Candida antarctica lipase B and hydrogen peroxide in deep eutectic solvents","Zhou, Pengfei (South China University of Technology); Wang, Xuping (South China University of Technology); Yang, Bo (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology)","","2017","Epoxides are important synthetic intermediates for the synthesis of a broad range of industrial products. This study presents a promising solution to the current limitation of enzyme instability. By using simple deep eutectic solvents such as choline chloride/sorbitol, significant stabilization of the biocatalyst has been achieved leading to more robust reactions while using environmentally more acceptable solvents as compared to ionic liquids.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:34f368c1-ccbe-49f1-8752-33d1813212f4","http://resolver.tudelft.nl/uuid:34f368c1-ccbe-49f1-8752-33d1813212f4","Resilience of epidemics for SIS model on networks","Lu, Dan (Beihang University); Yang, Shunkun (Beihang University); Zhang, Jiaquan (Beihang University); Wang, H. (TU Delft Multimedia Computing); Li, Daqing (Beihang University)","","2017","Epidemic propagation on complex networks has been widely investigated, mostly with invariant parameters. However, the process of epidemic propagation is not always constant. Epidemics can be affected by various perturbations and may bounce back to its original state, which is considered resilient. Here, we study the resilience of epidemics on networks, by introducing a different infection rate λ2 during SIS (susceptible-infected-susceptible) epidemic propagation to model perturbations (control state), whereas the infection rate is λ1 in the rest of time. Noticing that when λ1 is below λc, there is no resilience in the SIS model. Through simulations and theoretical analysis, we find that even for λ2 < λc, epidemics eventually could bounce back if the control duration is below a threshold. This critical control time for epidemic resilience, i.e., cdmax, seems to be predicted by the diameter (d) of the underlying network, with the quantitative relation cdmax ~ dα. Our findings can help to design a better mitigation strategy for epidemics.","","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:cb49544d-d809-46b9-b965-f05cd4e834f3","http://resolver.tudelft.nl/uuid:cb49544d-d809-46b9-b965-f05cd4e834f3","Poly-Si(O)x passivating contacts for high-efficiency c-Si IBC solar cells","Yang, G. (TU Delft Photovoltaic Materials and Devices); Zhang, Y. (TU Delft EKL Processing); Procel Moya, P.A. (TU Delft Photovoltaic Materials and Devices); Weeber, A.W. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Electrical Sustainable Energy)","","2017","Highest conversion efficiency in crystalline silicon (c-Si) solar cells can be enabled by quenching minority carriers' recombination at c-Si/contact interface owing to carrier-selective passivating contacts. With the semi-insulating poly-crystalline silicon (SIPOS, poly-Si) a very good passivation of c-Si surfaces was obtained. We have explored these passivating structures on IBC solar cells and obtained over 22% efficiency with over 23% within reach on the short term. We present in detail the passivation quality of p-type and n-type ion-implanted LPCVD poly-crystalline silicon (poly-Si) and its relation to the doping profile. Optimized poly-Si layers in the role of emitter and BSF showed excellent passivation (J0,emitter = 11.5 fA/cm2 and J0,BSF = 4.5 fA/cm2) and have been deployed in FSF-based IBC c-Si solar cells using a simple self-aligned patterning process. Applying an optimized passivation of FSF by PECVD a-Si:H/SiNx layer (J0,FSF = 6.5 fA/cm2) leads to a cell with efficiency of 22.1% (VOC = 709 mV, JSC = 40.7 mA/cm2, FF = 76.6%). Since over 83% FF has been reached with adjusted metallization technology on similar IBC structures, we believe 23% efficiency is within reach on the short term. Further improvement, especially at JSC level, is expected by deploying less absorbing carrier-selective passivating contacts based on poly-Si or wide bandgap poly-SiOx layers (J0 ~ 10 fA/cm2).","IBC c-Si solar cell; passivating contact; poly-crystalline silicon oxide alloys","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:c10ac75d-e445-4800-8ae4-0cf52cae0392","http://resolver.tudelft.nl/uuid:c10ac75d-e445-4800-8ae4-0cf52cae0392","Modified hydrotalcites as chloride scavengers and inhibitor release agents for improved corrosion protection of reinforced concrete","Yang, Z. (Fuzhou University); Polder, R.B. (TU Delft Materials and Environment; TNO); Mol, J.M.C. (TU Delft (OLD) MSE-6)","","2017","Owing to the unique molecular structure and high ion exchange capacity, hydrotalcites are believed to have a potential to be modified and tailor-made as an active corrosion protective component of reinforced concrete. In this paper, two types of modified hydrotalcites (MHT-pAB and MHT-NO2) were tested both in alkaline solution and mortar for their possibilities as chloride scavengers and inhibitor release agents for application in concrete. The test in alkaline solution showed that ion exchange occurred between free chloride ions in solution and the intercalated inhibitive anions in the MHTs. The results in mortar validated that MHTs could be promising alternatives for preventing chloride-induced corrosion when an appropriate dosage is adopted and applied in a proper way, in particular, either incorporation of a certain amount (MHT-pAB to replace 5% weight of cement) in the bulk mortar or as a coating of the reinforcing steel (MHT-pAB or MHT-NO2 at 20% weight of cement).","Chloride; Concrete; Corrosion; Inhibitors; Modified hydrotalcites","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:653b09f1-c5c5-4a7c-aeeb-d845dd650302","http://resolver.tudelft.nl/uuid:653b09f1-c5c5-4a7c-aeeb-d845dd650302","Mapping Atlas of Shenzhen - Urban Villages","van Oostrum, Matthijs; Liu, Jiayao; Li, Yishiqin; Hoek, Ruben; Yang, Yidong; He, Zhujun; Buysschaert, Axel; Xiao, Yazhi; Peng, Jiping; van Eijk, Saskia; Yang, Qiao","Qu, L. (editor)","2017","This publication is in response to the call of 2017 Bi-City Biennale of Urbanism\Architecture (Shenzhen) (UABB) “Cities, Grow in Difference”, from the perspective of urbanism: the synthesis of factors that collectively determine the spatial use of the city.
This atlas is based on master graduation theses of Delft University of Technology (TU Delft) from 2013 to 2017. Since 2012, TU Delft collaborated with INTI (International New Town Institute in the Netherlands) for research and education programmes on the development of Shenzhen. In the past 4 years, more than 10 students did their master theses on urban villages in Shenzhen. Materials shown in this atlas are chosen mapping work from these master theses, which visualize the socio-economic and spatial conditions of urban villages in Shenzhen, covering areas from the central urban districts (such as Baishizhou) to the peripheral districts (such as Dalang) and new towns (such as Guangming New Town).","Mapping Atlas; Shenzhen; Urban Village","mul","book","Delft University of Technology","978-94-6186-872-5","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:f074b540-3917-42f9-876a-d5a0fcf17a31","http://resolver.tudelft.nl/uuid:f074b540-3917-42f9-876a-d5a0fcf17a31","Sparse Bayesian classification and feature selection for biological expression data with high correlations","Yang, Xian (Imperial College London); Pan, W. (TU Delft Robot Dynamics); Guo, Yike (Imperial College London)","","2017","Classification models built on biological expression data are increasingly used to predict distinct disease subtypes. Selected features that separate sample groups can be the candidates of biomarkers, helping us to discover biological functions/pathways. However, three challenges are associated with building a robust classification and feature selection model: 1) the number of significant biomarkers is much smaller than that of measured features for which the search will be exhaustive; 2) current biological expression data are big in both sample size and feature size which will worsen the scalability of any search algorithms; and 3) expression profiles of certain features are typically highly correlated which may prevent to distinguish the predominant features. Unfortunately, most of the existing algorithms are partially addressing part of these challenges but not as a whole. In this paper, we propose a unified framework to address the above challenges. The classification and feature selection problem is first formulated as a nonconvex optimisation problem. Then the problem is relaxed and solved iteratively by a sequence of convex optimisation procedures which can be distributed computed and therefore allows the efficient implementation on advanced infrastructures. To illustrate the competence of our method over others, we first analyse a randomly generated simulation dataset under various conditions. We then analyse a real gene expression dataset on embryonal tumour. Further downstream analysis, such as functional annotation and pathway analysis, are performed on the selected features which elucidate several biological findings.","","en","journal article","","","","","","","","","","","Robot Dynamics","","",""
"uuid:aba11fba-837b-4a26-b73c-62764d54cdb9","http://resolver.tudelft.nl/uuid:aba11fba-837b-4a26-b73c-62764d54cdb9","Three-dimensional fluidized beds with rough spheres: Validation of a Two Fluid Model by Magnetic Particle Tracking and discrete particle simulations","Yang, L. (Eindhoven University of Technology); Padding, J.T. (TU Delft Intensified Reaction and Separation Systems); Buist, K. A. (Eindhoven University of Technology); Kuipers, J.R. (Eindhoven University of Technology)","","2017","Two fluid model simulations based on our recently introduced kinetic theory of granular flow (KTGF) for rough spheres and rough walls, are validated for the first time for full three-dimensional (3D) bubbling fluidized beds. The validation is performed by comparing with experimental data from Magnetic Particle Tracking and more detailed Discrete Particle Model simulations. The effect of adding a third dimension is investigated by comparing pseudo-2D and full 3D bubbling fluidized beds containing inelastic rough particles. Spatial distributions of key hydrodynamic data as well as energy balances in the fluidized bed are compared. In the pseudo-2D bed, on comparison with the KTGF derived by Jenkins and Zhang, we find that the present KTGF improves the prediction of bed hydrodynamics. In the full 3D bed, particles are more homogeneously distributed in comparison with the pseudo-2D bed due to a decrease of the frictional effect from the front and back walls. The new model results are in good agreement with experimental data and discrete particle simulations for the time-averaged bed hydrodynamics.","Discrete Particle Model; Fluidization; Frictional collision; Magnetic Particle Tracking; Rough particles; Two-Fluid Model","en","journal article","","","","","","","","","","","Intensified Reaction and Separation Systems","","",""
"uuid:f6f81700-3eec-4fec-b835-f95d15f4c3b9","http://resolver.tudelft.nl/uuid:f6f81700-3eec-4fec-b835-f95d15f4c3b9","Silicon CMOS architecture for a spin-based quantum computer","Veldhorst, M. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; University of New South Wales; Kavli institute of nanoscience Delft); Eenink, H.G.J. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; University of New South Wales; Kavli institute of nanoscience Delft); Yang, C.H. (University of New South Wales); Dzurak, A. S. (University of New South Wales)","","2017","Recent advances in quantum error correction codes for fault-Tolerant quantum computing and physical realizations of high-fidelity qubits in multiple platforms give promise for the construction of a quantum computer based on millions of interacting qubits. However, the classical-quantum interface remains a nascent field of exploration. Here, we propose an architecture for a silicon-based quantum computer processor based on complementary metal-oxide-semiconductor (CMOS) technology. We show how a transistor-based control circuit together with charge-storage electrodes can be used to operate a dense and scalable two-dimensional qubit system. The qubits are defined by the spin state of a single electron confined in quantum dots, coupled via exchange interactions, controlled using a microwave cavity, and measured via gate-based dispersive readout. We implement a spin qubit surface code, showing the prospects for universal quantum computation. We discuss the challenges and focus areas that need to be addressed, providing a path for large-scale quantum computing.","","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:f23d3a76-9962-4f16-be8c-dab3bdfdb884","http://resolver.tudelft.nl/uuid:f23d3a76-9962-4f16-be8c-dab3bdfdb884","Security Evaluation of the Cyber Networks under Advanced Persistent Threats","Yang, L. (TU Delft Network Architectures and Services); Li, Pengdeng (Chongqing University); Yang, Xiaofan (Chongqing University); Tang, Yuan Yan (University of Macau)","","2017","Advanced persistent threats (APTs) pose a grave threat to cyberspace, because they deactivate all the conventional cyber defense mechanisms. This paper addresses the issue of evaluating the security of the cyber networks under APTs. For this purpose, a dynamic model capturing the APT-based cyber-attack-defense processes is proposed. Theoretical analysis shows that this model admits a globally stable equilibrium. On this basis, a new security metric known as the equilibrium security is suggested. The impact of several factors on the equilibrium security is revealed through theoretical analysis or computer simulation. These findings contribute to the development of feasible security solutions against APTs.","Cyberspace; measurement; nonlinear dynamical systems; security; stability","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:117ba5a3-2ac1-4fc0-966f-9783e6d42ab7","http://resolver.tudelft.nl/uuid:117ba5a3-2ac1-4fc0-966f-9783e6d42ab7","Mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals","Qin, Hongbo (Guilin University of Electronic Technology); Luan, Xinghe (Guilin University of Electronic Technology); Feng, Chuang (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Guilin University of Electronic Technology)","","2017","For the limitation of experimental methods in crystal characterization, in this study, the mechanical, thermodynamic and electronic properties of wurtzite and zinc-blende GaN crystals were investigated by first-principles calculations based on density functional theory. Firstly, bulk moduli, shear moduli, elastic moduli and Poisson's ratios of the two GaN polycrystals were calculated using Voigt and Hill approximations, and the results show wurtzite GaN has larger shear and elastic moduli and exhibits more obvious brittleness. Moreover, both wurtzite and zinc-blende GaN monocrystals present obvious mechanical anisotropic behavior. For wurtzite GaN monocrystal, the maximum and minimum elastic moduli are located at orientations [001] and < 111 >, respectively, while they are in the orientations < 111 > and < 100 > for zinc-blende GaN monocrystal, respectively. Compared to the elastic modulus, the shear moduli of the two GaN monocrystals have completely opposite direction dependences. However, different from elastic and shear moduli, the bulk moduli of the two monocrystals are nearly isotropic, especially for the zinc-blende GaN. Besides, in the wurtzite GaN, Poisson's ratios at the planes containing [001] axis are anisotropic, and the maximum value is 0.31 which is located at the directions vertical to [001] axis. For zinc-blende GaN, Poisson's ratios at planes (100) and (111) are isotropic, while the Poisson's ratio at plane (110) exhibits dramatically anisotropic phenomenon. Additionally, the calculated Debye temperatures of wurtzite and zinc-blende GaN are 641.8 and 620.2 K, respectively. At 300 K, the calculated heat capacities of wurtzite and zinc-blende are 33.6 and 33.5 J mol-1 K-1, respectively. Finally, the band gap is located at the G point for the two crystals, and the band gaps of wurtzite and zinc-blende GaN are 3.62 eV and 3.06 eV, respectively. At the G point, the lowest energy of conduction band in the wurtzite GaN is larger, resulting in a wider band gap. Densities of states in the orbital hybridization between Ga and N atoms of wurtzite GaN are much higher, indicating more electrons participate in forming Ga-N ionic bonds in the wurtzite GaN.","Anisotropy; Electronic property; First principle; GaN; Mechanical property; Thermodynamic property","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:6fc49203-b2da-46f3-b11b-362d1ee4330c","http://resolver.tudelft.nl/uuid:6fc49203-b2da-46f3-b11b-362d1ee4330c","Spherical polyelectrolyte nanogels as templates to prepare hollow silica nanocarriers: observation by small angle X-ray scattering and TEM","Han, Haoya (East China University of Science and Technology); Li, Li (East China University of Science and Technology); Tian, Yuchuan (East China University of Science and Technology); Wang, Yunwei (East China University of Science and Technology); Ye, Zhishuang (East China University of Science and Technology); Yang, Qingsong (East China University of Science and Technology); Wang, Y. (TU Delft ChemE/Advanced Soft Matter; East China University of Science and Technology); Von Klitzing, Regine (Technische Universität Darmstadt); Guo, Xuhong (East China University of Science and Technology; Shihezi University)","","2017","Hollow silica nanoparticles were prepared through generating a silica layer in spherical polyelectrolyte nanogels (SPN), which consisted of a solid core of polystyrene (PS) and a shell of crosslinked poly(acrylic acid) (PAA), followed by removing the PS core via solvent dissolution. Small angle X-ray scattering (SAXS) in combination with TEM were employed to observe SPN, silica-polymer composite, and hollow silica nanoparticles. It was confirmed that SAXS is a powerful method to monitor the generation of silica layer in SPN. The density and thickness of generated silica layer in SPN were found to be tunable by controlling the crosslinking density of the templates. The porous structure and pH sensitivity of silica layer allowed the obtained hollow silica to be ideal carriers for controlled drug delivery.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:072df74b-efaf-43a7-b86d-c0dee7ddc395","http://resolver.tudelft.nl/uuid:072df74b-efaf-43a7-b86d-c0dee7ddc395","The Declining and the Thriving Neighborhoods: Urban Regeneration in the Chinese Context of Migration and Economic Transition","Qu, L. (TU Delft Spatial Planning and Strategy); Huang, Xin; Yang, Qiao","Tieben, Hendrik (editor); Geng, Yan (editor); Rossini, Francesco (editor)","2017","In the past few years, one of the major changes in urban development strategies of the first-tier cities in China is the increasing focus on urban regeneration. To cope with the magnitude of migration and challenges of economic transition, these cities are forced to find new models of urban redevelopment. This has brought up a few challenging questions: How to deal with the ‘old’ typologies of urban neighborhoods, including not only their ‘outdated’ physical environment, but also new lives embedded in the dynamics of emerging social structure and productivity? How to balance interests related to big redevelopment plans of city re-branding and the thriving small businesses bound to the low cost living and working environment in the old neighborhoods?
This paper will use Guangzhou as the study case, focusing on two neighborhoods: Kecun, an area with old danwei housing and factories and Lijiao village, an urban village with historical heritages, both of which are accommodating migrants and various types of small businesses. These two neighborhoods are adjacent to the southern section of the new central axis of Guangzhou, which, from the planning perspective, represents the future of the city. As planned, the southern section of the new axis will be extended in the coming years, focusing on an administrative center and multi-functional community for cultural, leisure and public activities. It is bringing large-scale urban regeneration into the adjacent areas, where migrants and small businesses are finding their ways to thrive at the moment. This paper intends to unfold the current socio-economic and spatial transformation happening in the two chosen neighborhoods, especially the role of low-cost living and working environment in enhancing social resilience and economic transition in the local scale. By doing so, the paper will indicate possible ways of creating synergies between the ‘big plans’ and neighborhood-based development.
This paper presents and studies a framework for reliable modeling of diffusion MRI using a data-acquisition adaptive prior.
Methods
Automated relevance determination estimates the mean of the posterior distribution of a rank-2 dual tensor model exploiting Jeffreys prior (JARD). This data-acquisition prior is based on the Fisher information matrix and enables the assessment whether two tensors are mandatory to describe the data. The method is compared to Maximum Likelihood Estimation (MLE) of the dual tensor model and to FSL’s ball-and-stick approach.
Results
Monte Carlo experiments demonstrated that JARD’s volume fractions correlated well with the ground truth for single and crossing fiber configurations. In single fiber configurations JARD automatically reduced the volume fraction of one compartment to (almost) zero. The variance in fractional anisotropy (FA) of the main tensor component was thereby reduced compared to MLE. JARD and MLE gave a comparable outcome in data simulating crossing fibers. On brain data, JARD yielded a smaller spread in FA along the corpus callosum compared to MLE. Tract-based spatial statistics demonstrated a higher sensitivity in detecting age-related white matter atrophy using JARD compared to both MLE and the ball-and-stick approach.
Conclusions
The proposed framework offers accurate and precise estimation of diffusion properties in single and dual fiber regions.
highly depend on the shape, construction and ventilation openings, which are mostly decided in the early design stages. Computational optimization can support these early stages of design, but needs to be performed in efficient ways. In this respect, the project proposes rapid assessment of temperature and airflow patterns using customized Grasshopper components, which would be able to evaluate a given model using CONTAM and EnergyPlus software as
simulation engine. The proposed method integrates these simulations within an environment, which is familiar to architects and is largely used for parameterization of design in its early stages. A case study (Jiangmen Sports Center, Jiangmen, China) is used to test the developed process for a large indoor sports hall.","natural ventilation; early design stage; large volumes; sports buildings; rapid assessment; CONTAM; EnergyPlus; passive cooling; building envelope; building performance and simulation; thermal comfort","en","conference paper","simAUD","","","","","","","","","","Design Informatics","","",""
"uuid:1a2062d5-f14c-4ba2-a409-f6160e1d53a4","http://resolver.tudelft.nl/uuid:1a2062d5-f14c-4ba2-a409-f6160e1d53a4","Computational Design for Sport Building","Turrin, M. (TU Delft Design Informatics; South China University of Technology); Yang, D. (TU Delft Design Informatics; South China University of Technology); D'Aquilio, A. (TU Delft Design Informatics); Sileryte, R. (TU Delft Design Informatics; TU Delft Environmental Technology and Design); Sun, Y (South China University of Technology)","","2016","The design of sport buildings has great impact on top-sport as well as on recreational sport-activities. It implies challenging tasks in meeting the performance-requirements. This includes the control of factors like daylight/lighting, air flow, thermal conditions, just to name a few. Such factors impact the performance of athletes and are hard to control in large sport halls; their control is even harder when the public/audience is located within the halls and require different climate conditions. While mechanical installations are often needed during competitions in order to guarantee constant conditions, relaying on mechanical installations during the daily and recreational use of the venues challenges their medium/long term sustainability. Computational form finding approaches can favour the achievement of high-performing and sustainable sport buildings. In this light, the paper tackles the use of Multi-objective and Multidisciplinary design optimization. The paper presents the concept of Multi-objective Multidisciplinary design optimization techniques to support trade-off decisions between multiple conflicting design objectives and interdisciplinary design methodology, during the conceptual design of sport buildings. The proposed method is based on parametric modelling, performance simulation tools and algorithms for computational optimization, for which the paper tackles three specific aspects. First of all, due to the complexity of large sport buildings, the formulation of the optimization and the screening of the related design variables is crucial in order to obtain a meaningful design space, which helps reducing unnecessary computational burden. Secondly, assessing performance based on measurements and analyses is crucial and can be supported by performance simulations tools; however effectively integrating performance simulations tools in the early phase of the design requires new tools. In this light, a customized computational process for the rapid assessment of temperature and airflow patterns is presented. Thirdly, the process requires the combination of design optimization and design exploration, while searching for well-performing solutions. The importance of design exploration is emphasized also for sub-optimal solutions. In order to facilitate the design exploration, the combination of optimization algorithms, multi-variate analysis algorithms and options for exploring design solutions via an interactive dashboard connected to a database are presented. To exemplify the method, specific case studies are developed as collaboration between Delft university of Technology and South China university of Technology.","sport buildings; design optimization; parametric design; multidisciplinary design","en","journal article","","","","","","","","","","","Design Informatics","","",""
"uuid:445f3832-f105-42fb-8562-5200fb1b1b4b","http://resolver.tudelft.nl/uuid:445f3832-f105-42fb-8562-5200fb1b1b4b","Supporting Exploration of Design Alternatives using Multivariate Analysis Algorithms","Sileryte, R. (TU Delft Design Informatics); D'Aquilio, A. (TU Delft Design Informatics); Di Stefano, D. (ESTECO SpA); Yang, D. (TU Delft Design Informatics; South China University of Technology); Turrin, M. (TU Delft Design Informatics; South China University of Technology)","Attar, Ramtin (editor); Chronis, Angelos (editor); Hanna, Sean (editor); Turrin, Michela (editor)","2016","Parametric modelling allows quick generation of a large number of design alternatives. Ultimately, it can be combined with optimization algorithms for obtaining optimal performance-driven design. However, setup of design space for optimization is a very complex task requiring designer’s a priori knowledge and experience. Therefore, this paper focuses on the process that happens before the optimization. It proposes to use multivariate analysis algorithms for exploring and understanding the relations between various design parameters, after sampling the design space. Additionally, portrayal of geometry is
introduced as an extension of conventional visualization methods, which accounts for evaluation of ill-defined design criteria by using designer’s expertise. The proposed method is computationally efficient and integrated into an environment familiar to architects. It relies on multivariate analysis algorithms together with database querying capabilities and an interactive dashboard developed for geometry portrayal.","Design & Optimization; Design Computation; Multiobjective Optimization; Visual Analytics; Multivariate analysis; Design Exploration; Parametric Modelling","en","conference paper","simAUD","","","","","","","","","","Design Informatics","","",""
"uuid:a3fe57bc-a054-4960-88f5-0eb2f36d327b","http://resolver.tudelft.nl/uuid:a3fe57bc-a054-4960-88f5-0eb2f36d327b","Application of Surrogate Models for Building Envelope Design Exploration and Optimization","Yang, D. (TU Delft Design Informatics; South China University of Technology); Sileryte, R. (TU Delft Design Informatics); D'Aquilio, A. (TU Delft Design Informatics); Turrin, M. (TU Delft Design Informatics; South China University of Technology)","Attar, Ramtin (editor); Chronis, Angelos (editor); Hanna, Sean (editor); Turrin, Michela (editor)","2016","Building performance simulations are usually timeconsuming. They may account for the major portion of time spent in Computational Design Optimization (CDO), for instance, annual hourly daylight and energy simulations. In this case, the optimization may become less efficient or even infeasible within a limited time frame of real-world projects, due to the computationally expensive simulations. To handle the problem, this research aims to investigate the potentials of surrogate models (i.e. Response Surface
Methodology - RSM) to be used in the building envelope design exploration and optimization that consider visual and energy performance. Specifically, the work investigates how, and to what extent, 1) problem scales may affect the application of RSM, and 2) different ways of using RSM may affect the quality of Pareto Front approximations. Thus, a series of multi-objective optimization tests are carried out; preliminary discussion is made based on the current results.","multi-objective optimization; building envelope; urrogate models; design of experiments (DoE); response surface methodology (RSM)","en","conference paper","simAUD","","","","","","","","","","Design Informatics","","",""
"uuid:391303cb-1e71-41cb-98e1-0d077668a492","http://resolver.tudelft.nl/uuid:391303cb-1e71-41cb-98e1-0d077668a492","A generalized coherence framework for detecting and characterizing nonlinear interactions in the nervous system","Yang, Y. (TU Delft Biomechatronics & Human-Machine Control); Solis Escalante, T. (TU Delft Biomechatronics & Human-Machine Control); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control); Schouten, A.C. (TU Delft Biomechatronics & Human-Machine Control)","","2016","Objective: This paper introduces a generalized coherence framework for detecting and characterizing nonlinear interactions in the nervous system, namely cross-spectral coherence (CSC). CSC can detect different types of nonlinear interactions including harmonic and intermodulation coupling as present in static nonlinearities and also subharmonic coupling, which only occurs with dynamic nonlinearities. Methods: We verified the performance of CSC in model simulations with both static and dynamic nonlinear systems. We applied CSC to investigate nonlinear stimulus–response interactions in the human proprioceptive system. A periodic movement perturbation was imposed to the wrist when the subjects performed an isotonic wrist flexion. CSC analysis was performed between the perturbation and brain responses (electroencephalogram, EEG). Results: Both the simulation and the application demonstrated that CSC successfully detected different types of nonlinear interactions. High-order nonlinearities were revealed in the proprioceptive system, shown in harmonic and intermodulation coupling between the perturbation and EEG for all subjects. Subharmonic coupling was found in some subjects but not all. Conclusion: This paper provides a general tool to detect and characterize nonlinear nature and dynamics of the nervous system. The application of CSC on the experimental dataset indicates a complex nonlinear dynamics in the proprioceptive system. Significance: This novel framework 1) unveils the nonlinear neural dynamics in a more complete way than the existing coherence measures, and 2) is more suitable for estimating the input–output relation regarding both phase and amplitude compared to phase synchrony measures (which only consider phase coupling). Subharmonic coupling is reported in human proprioceptive system for the first time.","nonlinear dynamics; coherence; cross-frequency coupling; electroencephalogram (EEG); Frequency-domain analysis; Nervous system; Biomedical measurement","en","journal article","","","","","","Accepted Author Manuscript","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:146873eb-8579-4df7-b3f8-6d550973b83b","http://resolver.tudelft.nl/uuid:146873eb-8579-4df7-b3f8-6d550973b83b","A CMOS-Compatible Hybrid Plasmonic Slot Waveguide With Enhanced Field Confinement","Xiao, Jing (Guilin University of Electronic Technology); Wei, Qi-Qin (Guilin University of Electronic Technology); Yang, Daoguo (Guilin University of Electronic Technology); Zhang, Ping (Guilin University of Electronic Technology); He, Ning (Guilin University of Electronic Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Ren, Tian-Ling (Tsinghua University); Chen, Xian-Ping (Guilin University of Electronic Technology)","","2016","The emerging field of nanophotonics requires plasmonic devices to be fully compatible with semiconductor fabrication techniques. However, very few feasible practical structures exist at present. Here, we propose a CMOS-compatible hybrid plasmonic slot waveguide (HPSW) with enhanced field confinement. Our simulation results show that the HPSW exhibits significantly enhanced field confinement as compared with the traditional low-index slot waveguides and the hybrid metal dielectric slot waveguides. By controlling the thicknesses of different layers, an optimized HPSW structure with a better tradeoff between field confinement and propagation length has been simultaneously achieved.","multi-layer structure; Hybrid plasmonic slot waveguide; field confinement","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:37498245-e243-4d13-9334-e691628f86ea","http://resolver.tudelft.nl/uuid:37498245-e243-4d13-9334-e691628f86ea","Nonlinear coupling between cortical oscillations and muscle activity during isotonic wrist flexion","Yang, Y. (TU Delft Biomechatronics & Human-Machine Control); Solis Escalante, T. (TU Delft Biomechatronics & Human-Machine Control); van de Ruit, M.L. (TU Delft Biomechatronics & Human-Machine Control); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control); Schouten, A.C. (TU Delft Biomechatronics & Human-Machine Control)","","2016","Coupling between cortical oscillations and muscle activity facilitates neuronal communication during motor control. The linear part of this coupling, known as corticomuscular coherence, has received substantial attention, even though neuronal communication underlying motor control has been demonstrated to be highly nonlinear. A full assessment of corticomuscular coupling, including the nonlinear part, is essential to understand the neuronal communication within the sensorimotor system. In this study, we applied the recently developed n:m coherence method to assess nonlinear corticomuscular coupling during isotonic wrist flexion. The n:m coherence is a generalized metric for quantifying nonlinear cross-frequency coupling as well as linear iso-frequency coupling. By using independent component analysis (ICA) and equivalent current dipole source localization, we identify four sensorimotor related brain areas based on the locations of the dipoles, i.e., the contralateral primary sensorimotor areas, supplementary motor area (SMA), prefrontal area (PFA) and posterior parietal cortex (PPC). For all these areas, linear coupling between electroencephalogram (EEG) and electromyogram (EMG) is present with peaks in the beta band (15–35 Hz), while nonlinear coupling is detected with both integer (1:2, 1:3, 1:4) and non-integer (2:3) harmonics. Significant differences between brain areas is shown in linear coupling with stronger coherence for the primary sensorimotor areas and motor association cortices (SMA, PFA) compared to the sensory association area (PPC); but not for the nonlinear coupling. Moreover, the detected nonlinear coupling is similar to previously reported nonlinear coupling of cortical activity to somatosensory stimuli. We suggest that the descending motor pathways mainly contribute to linear corticomuscular coupling, while nonlinear coupling likely originates from sensory feedback.","corticomuscular coupling; nonlinear coherence; sensorimotor system; EEG; EMG; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:4f527300-5152-4a28-ad39-148947b064c8","http://resolver.tudelft.nl/uuid:4f527300-5152-4a28-ad39-148947b064c8","On the Improvement of Quality and Reliability of Trust Cues in Micro-task Crowdsourcing","Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Web Information Systems)","","2016","Micro-task crowdsourcing has become a successful mean to obtain high-quality data from a large crowd of diverse people. In this context, trust between all the involved actors (i.e. requesters, workers, and platform owners) is a critical factor for acceptance and long-term success. As actors have no expectation for “real life” meetings, thus trust can only be attributed through computer-mediated trust cues like workers qualifications and requester ratings. Such cues are often the result of technical or social assessments that are performed in isolation, considering only a subset of relevant properties, and with asynchronous and asymmetrical interactions. In this paper, we advocate for a new generation of micro-task crowdsourcing systems that pursue an holistic understanding of trust, by offering an open, transparent, privacy-friendly, and socially-aware view on the all the actors of a micro-task crowdsourcing environment.","","en","conference paper","TRUSTINCW","","","","","Best Paper Award","","","","","Web Information Systems","","",""
"uuid:f07bb10b-9027-4f99-841e-1a710b9dd799","http://resolver.tudelft.nl/uuid:f07bb10b-9027-4f99-841e-1a710b9dd799","Propositional team logics","Yang, F. (TU Delft Ethics & Philosophy of Technology); Väänänen, Jouko (Vrije Universiteit Amsterdam)","","2016","We consider team semantics for propositional logic, continuing In team semantics the truth of a propositional formula is considered in a set of valuations, called a team, rather than in an individual valuation. This offers the possibility to give meaning to concepts such as dependence, independence and inclusion. We associate with every formula ϕ(symbol) based on finitely many propositional variables the set (left open bracket)ϕ(symbol)(right open bracket) of teams that satisfy ϕ(symbol). We define a maximal propositional team logic in which every set of teams is definable as (left open bracket)ϕ(symbol)(right open bracket) for suitable ϕ(symbol). This requires going beyond the logical operations of classical propositional logic. We exhibit a hierarchy of logics between the smallest, viz. classical propositional logic, and the maximal propositional team logic. We characterize these different logics in several ways: first syntactically by their logical operations, and then semantically by the kind of sets of teams they are capable of defining. In several important cases we are able to find complete axiomatizations for these logics.","Dependence logic; Non-classical logic; Propositional team logics; Team semantics","en","journal article","","","","","","","","2019-01-20","","","Ethics & Philosophy of Technology","","",""
"uuid:bc319492-0e12-41cf-a30c-fcfb2c79f6b4","http://resolver.tudelft.nl/uuid:bc319492-0e12-41cf-a30c-fcfb2c79f6b4","Defining loading criteria for proof loading of existing reinforced concrete bridges","Koekkoek, R.T. (TU Delft Steel & Composite Structures); Lantsoght, E.O.L. (TU Delft Steel & Composite Structures); Yang, Y. (TU Delft Steel & Composite Structures); Boer, A. de (Ministry of Infrastructure and the Environment); Hordijk, D.A. (TU Delft Steel & Composite Structures)","Beushausen, H. (editor)","2016","As the bridge stock in The Netherlands and Europe is ageing, various methods to analyse existing bridges are bemg studied. Proof loading of bridges is an option to study the capacity when crucial information about the structure is lacking. This information could be related to the material (for example, the effect of alkali-silica reaction on the structural capacity) as well as to the structiu-al system (for example, the effect of restraints at the supports or transverse redistribution capacity). When it is decided to proof load a bridge, the question arises which maximum load should be attained diuing the experiment to approve the capacity of tiie bridge, and which criteria, based on the measiurements during the test, would indicate that the proof loading needs to be aborted before reaching the maximum desired load (the so-called stop criteria). To defme the requked loading criteria, a review of the literature has been made, finite element models of existing viaducts have been made, and on tiiese viaducts, proof loading tests have been carried out. These bridges were heavily instrumented, with a goal of leaming as much as possible about the structural behaviour during proof loading. As a result of the analysis and experiments, recommendations are given for proof loading of bridges with respect to the required maximum load and the stop criteria. These recommendations are important, since they form the basis of a guideline for proof loading of existing concrete bridges that is under development in The Netherlands.","assessment; concrete; proof loadmg; viaduct","en","conference paper","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:eca89775-6781-49ed-8c69-03ba4248e012","http://resolver.tudelft.nl/uuid:eca89775-6781-49ed-8c69-03ba4248e012","Spatial-temporal and modal analysis of propeller induced ground vortices by particle image velocimetry","Yang, Y. (TU Delft Flight Performance and Propulsion); Sciacchitano, A. (TU Delft Aerodynamics); Veldhuis, L.L.M. (TU Delft Flight Performance and Propulsion); Eitelberg, G. (TU Delft Flight Performance and Propulsion)","","2016","During the ground operation of aircraft, there is potentially a system of vortices generated from the ground toward the propulsor, commonly denoted as ground vortices. Although extensive research has been conducted on ground vortices induced by turbofans which were simplified by suction tubes, these studies cannot well capture the properties of ground vortices induced by propellers, e.g. the flow phenomena due to intermittent characteristics of blade passing and the presence of slipstream of the propeller. Therefore, the investigation of ground vortices induced by a propeller is performed to improve understanding to these phenomena. The distributions of velocities in two different planes containing the vortices were measured by high frequency Particle Image Velocimetry (PIV). These planes are a wall-parallel plane in close proximity to the ground and a wall-normal plane upstream of the propeller. The instantaneous flow fields feature highly unsteady flow in both of these two planes. The spectral analysis is conducted in these two flow fields and the energetic frequencies are quantified. The flow fields are further evaluated by applying the Proper Orthogonal Decomposition (POD) analysis to capture the coherent flow structures. Consistent flow structures with strong contributions to the turbulent kinetic energy are noticed in the two planes.","","en","journal article","","","","","","","","2017-12-01","","","Flight Performance and Propulsion","","",""
"uuid:1f805245-b5be-4c0d-a4c9-e2d3278787e8","http://resolver.tudelft.nl/uuid:1f805245-b5be-4c0d-a4c9-e2d3278787e8","Propeller and inflow vortex interaction: vortex response and impact on the propeller performance","Yang, Y. (TU Delft Flight Performance and Propulsion); Zhou, T; Sciacchitano, A. (TU Delft Aerodynamics); Veldhuis, L.L.M. (TU Delft Flight Performance and Propulsion); Eitelberg, G. (TU Delft Flight Performance and Propulsion)","","2016","The aerodynamic operating conditions of a propeller can include complex situations where vorticity from sources upstream can enter the propeller plane. In general, when the vorticity enters in a concentrated form of a vortex, the interaction between the vortex and blade is referred to as blade–vortex interaction or BVI. The interaction may affect the propeller performance as well as its noise production. In the present paper, investigations of the interaction of a wing tip vortex generated by a lifting surface upstream of the rotor plane and an eight-bladed propeller are reported. Utilizing two ends of an upstream wing with non-symmetrical airfoil, the rotation of the incoming vortex could be made to co-rotate or to contra-rotate with the propeller. The ensuing velocity fields were quantified with the help of particle image velocimetry (PIV), and the propeller performance was evaluated with the help of a rotating shaft balance (RSB) mounted on the propeller shaft. The results describe the displacement of the vortex core, as it moves through the rotor plane as well as the positive effect on the thrust and torque of the contra-rotating vortex and the opposite of it in the case of the co-rotating vortex. The current research could be applied to analyse the influence of the incoming vortex on the propeller, e.g., ground vortex, tip vortex shed from a control surface, etc.","Vortex flow; Blade vortex interaction","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:0f84f7c9-092a-4daa-90a4-34a9de04f629","http://resolver.tudelft.nl/uuid:0f84f7c9-092a-4daa-90a4-34a9de04f629","The shear capacity of reinforced concrete members with plain bars","Yang, Y. (TU Delft Steel & Composite Structures); van der Veen, C. (TU Delft Steel & Composite Structures); Hordijk, D.A. (TU Delft Steel & Composite Structures); de Boer, A. (Ministry of Infrastructure and the Environment)","","2016","Assessment of the capacity of the existing infrastructures has become more relevant in the recent years. With regard to the concrete bridges, the shear capacity of a large amount of existing concrete slab bridges reinforced with plain (smooth) bars are of concern. However, it was argued that the shear formula that is currently adapted in the design codes are not fully calibrated by experiments on members with plain bars. The arguments are based on several experimental results found in literature from the 60s. At that time, the size effect on shear capacity of members without shear reinforcement was not widely recognized yet. Thus, the specimens applied in these experiments were mostly of small depth (d = 300 mm). In those experiments a significant improvement of the shear capacity was obtained in members reinforced by plain bars. The conclusions derived in those tests have to be further evaluated with specimens that represent realistic dimensions and other configurations of the slab bridge of concern. To serve that purpose, a series of shear tests have been carried out at the Stevin Lab in Delft University of Technology recently. A number of shear tests have been carried out on specimens reinforced by plain bars with low yielding strength. The heights of the specimens are 300 mm, 500 mm and 800 mm. The test results are compared with results of peer specimens with normal deformed bars with the same configurations (dimensions, concrete strength and reinforcement ratio). The experimental results are reported in this paper.","Assessment of existing bridges; shear capacity; RC members without shear reinforcement; plain bars","en","conference paper","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:789839f4-78ad-415c-b0bc-c76f8ac9ff07","http://resolver.tudelft.nl/uuid:789839f4-78ad-415c-b0bc-c76f8ac9ff07","Acoustic emission measurement in the proof loading of an existing bridge affected by ASR","Yang, Y. (TU Delft Steel & Composite Structures); Hordijk, D.A. (TU Delft Steel & Composite Structures); de Boer, A. (Ministry of Infrastructure and the Environment)","Bakker, J. (editor); Frangopol, D.M. (editor); van Breugel, K. (editor)","2016","Proof loading has been considered as an effective approach in the assessment of existing concrete bridges. This paper presents a study of acoustic emission measurement in a proof loading of an ASR affected concrete slab bridge (Zijlweg bridge). Because of the uncertainty on the mechanical properties of the ASR affected concrete. The attenuation contours, the wave speed and the geometry effect were studied before the proof loading. During the proof loading, zonal location based on signal strength was applied to track the cracking active area. In addition, the combination of load ratio and calm ratio was used to assess the damage level caused by proof loading. The study showed that the additional damage caused by proof loading was limited. Besides, the presented approach appears to be a promising tool for proof loading.","","en","conference paper","Taylor and Francis","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:021d648a-2c9e-44c6-b4c8-1233a22c7ecf","http://resolver.tudelft.nl/uuid:021d648a-2c9e-44c6-b4c8-1233a22c7ecf","Investigation of v min based on experimental research","Yang, Y. (TU Delft Steel & Composite Structures); van der Veen, C. (TU Delft Steel & Composite Structures); Hordijk, D.A. (TU Delft Steel & Composite Structures); de Boer, A. (Ministry of Infrastructure and the Environment)","Beushausen, H. (editor)","2016","This paper presents an experimental research program aiming at assessing the current code provisions of vmin. A large test series was carried out, by varying the reinforcement ratio and loading conditions, the minimum average shear stress that can cause shear failure of a specimen with different depth, concrete strength is determined. The three test series cover two types of concrete mixtures and a moderate structure depth range. In addition, the Eurocode and ModelCode 2010 provisions on vmin are discussed. They are compared with the test results. Recommendations on the present code expression are given.","shear capacity; boundary of failure modes; vmin; without shear reinforcement","en","conference paper","Internation Federation for Structural Concrete (fib)","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:39823469-57ac-4236-a697-942a191801ab","http://resolver.tudelft.nl/uuid:39823469-57ac-4236-a697-942a191801ab","Acoustic emission study on 50 years old reinforced concrete beams under bending and shear tests","Yang, Y. (TU Delft Steel & Composite Structures); Hordijk, D.A. (TU Delft Steel & Composite Structures); de Boer, A. (Ministry of Infrastructure and the Environment)","","2016","This paper presents the Acoustic Emission (AE) measurement of several tests carried out on reinforced concrete beams sawn from a 50 years old concrete bridge – Ruytenschildt bridge. The purpose of these tests is to provide additional information to the already executed in-situ load testing on the bridge. In addition to the AE measurement thorough crack width measurement was carried out during
the tests. A strong correlation were obtained between the crack width and the AE measurements.","","en","conference paper","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:871b44ba-9509-4d01-98a9-135c8d31d6c1","http://resolver.tudelft.nl/uuid:871b44ba-9509-4d01-98a9-135c8d31d6c1","Development of an online men’s suits customizing system using heuristic procedure for wheelchair users","Jeong, Minseok (Catholic University Daegu); Yang, Chuneun (Catholic University Daegu); You, Heecheon (Pohang University of Science and Technology); Park, Kwangae (Pohang University of Science and Technology); Lee, W. (TU Delft Applied Ergonomics and Design)","","2016","An online suit-customizing system for the special accessibility needs of wheelchair users should be developed because the demand for business suits by wheelchair users involved in economic activities has increased. This study
develops a user interface an online customizing system for men's suits specialized for wheelchair users. This study used a five-step approach: (1) search for online men's suits customizing system in web porter sites, (2) select three sites based on three terms, (3) heuristic testing with five web specialists, (4) development of a system user interface based on suggestions
for improvement from the heuristic test, (5) usability testing of the user interface prototype by 10 disabled men in wheelchairs. The interface of Company S had high ratings on interactivity, accessibility, informativeness, and consistency in the heuristic test results; subsequently, a user interface was developed based on suggestions for improvement from the heuristic test. This online user interface for customizing men's suits provides better usability to wheelchair users than existing online interfaces aimed at the non-disabled and disabled; consequently, this study contributes to the
commercialization of an online customizing system for men's suits specializing in serving wheelchair users.","wheelchair user; men's custom suits; online customizing system; heuristic procedure","","journal article","","","","","","Written in Korean","","","","","Applied Ergonomics and Design","","",""
"uuid:86219547-c57a-4ab0-bb03-914f0b955798","http://resolver.tudelft.nl/uuid:86219547-c57a-4ab0-bb03-914f0b955798","Micromechanical properties of a new polymeric microcapsule for self-healing cementitious materials","Lv, Leyang (Shenzhen University); Schlangen, E. (TU Delft Materials and Environment); Yang, Z. (TU Delft Materials and Environment; Washington State University Pullman); Xing, Feng (Shenzhen University)","","2016","Self-healing cementitious materials containing a microencapsulated healing agent are appealing due to their great application potential in improving the serviceability and durability of concrete structures. In this study, poly(phenol-formaldehyde) (PF) microcapsules that aim to provide a self-healing function for cementitious materials were prepared by an in situ polymerization reaction. Size gradation of the synthesized microcapsules was achieved through a series of sieving processes. The shell thickness and the diameter of single microcapsules was accurately measured under environmental scanning electron microscopy (ESEM). The relationship between the physical properties of the synthesized microcapsules and their micromechanical properties were investigated using nanoindentation. The results of the mechanical tests show that, with the increase of the mean size of microcapsules and the decrease of shell thickness, the mechanical force required to trigger the self-healing function of microcapsules increased correspondingly from 68.5 ± 41.6 mN to 198.5 ± 31.6 mN, featuring a multi-sensitive trigger function. Finally, the rupture behavior and crack surface of cement paste with embedded microcapsules were observed and analyzed using X-ray computed tomography (XCT). The synthesized PF microcapsules may find potential application in self-healing cementitious materials.","Microcapsule; Micromehcanical properties; Nanoindentation; Phenol-formaldehyde; Self-healing; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:8bf2dd2b-484b-406a-be90-08a8f3afda5d","http://resolver.tudelft.nl/uuid:8bf2dd2b-484b-406a-be90-08a8f3afda5d","Social media data analytics for tourism: A preliminary study","Dhiratara, Arkka (Student TU Delft); Yang, J. (TU Delft Web Information Systems); Bozzon, A. (TU Delft Web Information Systems); Houben, G.J.P.M. (TU Delft Web Information Systems)","","2016","Social media data are increasingly used as the source of research in a variety of domains. A typical example is urban analytics, which aims at solving urban problems by analyzing data from different sources including social media. The potential value of social media data in tourism studies, which is one of the key topics in urban research, however has been much less investigated. This paper seeks to understand the relationship between social media dynamics and the visiting patterns of visitors to touristic locations in real-world cases. By conducting a comparative study, we demonstrate how social media characterizes touristic locations differently from other data sources. Our study further shows that social media data can provide real-time insights of tourists' visiting patterns in big events, thus contributing to the understanding of social media data utility in tourism studies.","","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:8b2a36ef-1f84-46dc-ad40-7c8c5b3829da","http://resolver.tudelft.nl/uuid:8b2a36ef-1f84-46dc-ad40-7c8c5b3829da","The Rationality of Four Metrics of Network Robustness: A Viewpoint of Robust Growth of Generalized Meshes","Yang, Xiaofan (Chongqing University); Zhu, Yuanrui (Chongqing University); Hong, Jing (Georgia Institute of Technology); Yang, L. (TU Delft Network Architectures and Services; Chongqing University); Wu, Yingbo (Chongqing University); Tang, Yuan Yan (University of Macau)","","2016","There are quite a number of different metrics of network robustness. This paper addresses the rationality of four metrics of network robustness (the algebraic connectivity, the effective resistance, the average edge betweenness, and the efficiency) by investigating the robust growth of generalized meshes (GMs). First, a heuristic growth algorithm (the Proximity- Growth algorithm) is proposed. The resulting proximity-optimal GMs are intuitively robust and hence are adopted as the benchmark. Then, a generalized mesh (GM) is grown up by stepwise optimizing a given measure of network robustness. The following findings are presented: (1) The algebraic connectivity-optimal GMs deviate quickly from the proximity-optimal GMs, yielding a number of less robust GMs. This hints that the rationality of the algebraic connectivity as a measure of network robustness is still in doubt. (2) The effective resistace-optimal GMs and the average edge betweenness-optimal GMs are in line with the proximity-optimal GMs. This partly justifies the two quantities as metrics of network robustness. (3) The efficiency-optimal GMs deviate gradually from the proximity-optimal GMs, yielding some less robust GMs. This suggests the limited utility of the efficiency as a measure of network robustness.","","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:9641039c-21cc-4f21-8605-11c68d5cc5e9","http://resolver.tudelft.nl/uuid:9641039c-21cc-4f21-8605-11c68d5cc5e9","Dynamic functional brain connectivity for face perception","Yang, Y.; Qiu, Y.; Schouten, A.C.","","2015","","face perception; dynamic functional connectivity; high-density EEG; phase lag index; ERP","en","journal article","Frontiers Media","","","","","","","","Mechanical, Maritime and Materials Engineering","BioMechanical Engineering (BME)","","","",""
"uuid:af452dbd-92fa-4136-ac0a-9ad1c20bdbf9","http://resolver.tudelft.nl/uuid:af452dbd-92fa-4136-ac0a-9ad1c20bdbf9","First-principles study of the effect of functional groups on polyaniline backbone","Chen, X.P.; Jiang, J.K.; Liang, Q.H.; Yang, N.; Ye, H.Y.; Cai, M.; Shen, L.; Yang, D.G.; Ren, T.L.","","2015","We present a first-principles density functional theory study focused on how the chemical and electronic properties of polyaniline are adjusted by introducing suitable substituents on a polymer backbone. Analyses of the obtained energy barriers, reaction energies and minimum energy paths indicate that the chemical reactivity of the polyaniline derivatives is significantly enhanced by protonic acid doping of the substituted materials. Further study of the density of states at the Fermi level, band gap, HOMO and LUMO shows that both the unprotonated and protonated states of these polyanilines are altered to different degrees depending on the functional group. We also note that changes in both the chemical and electronic properties are very sensitive to the polarity and size of the functional group. It is worth noting that these changes do not substantially alter the inherent chemical and electronic properties of polyaniline. Our results demonstrate that introducing different functional groups on a polymer backbone is an effective approach to obtain tailored conductive polymers with desirable properties while retaining their intrinsic properties, such as conductivity.","","en","journal article","Nature Publishing Group","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:2da9ba68-723c-4741-bdea-8208445e24be","http://resolver.tudelft.nl/uuid:2da9ba68-723c-4741-bdea-8208445e24be","Simulation of Groundwater-Surface Water Interactions under Different Land Use Scenarios in the Bulang Catchment, Northwest China","Yang, Z.; Zhou, Y.; Wenninger, J.; Uhlenbrook, S.; Wan, L.","","2015","Groundwater is the most important resource for local society and the ecosystem in the semi-arid Hailiutu River catchment. The catchment water balance was analyzed by considering vegetation types with the Normalized Difference Vegetation Index (NDVI), determining evapotranspiration rates by combining sap flow measurements and NDVI values, recorded precipitation, measured river discharge and groundwater levels from November 2010 to October 2011. A simple water balance computation, a steady state groundwater flow model, and a transient groundwater flow model were used to assess water balance changes under different land use scenarios. It was shown that 91% of the precipitation is consumed by the crops, bushes and trees; only 9% of the annual precipitation becomes net groundwater recharge which maintains a stable stream discharge in observed year. Four land use scenarios were formulated for assessing the impacts of land use changes on the catchment water balance, the river discharge, and groundwater storage in the Bulang catchment. The scenarios are: (1) the quasi natural state of the vegetation covered by desert grasses; (2) the current land use/vegetation types; (3) the change of crop types to dry resistant crops; and (4) the ideal land use covered by dry resistant crops and desert grasses, These four scenarios were simulated and compared with measured data from 2011, which was a dry year. Furthermore, the scenarios (2) and (4) were evaluated under normal and wet conditions for years in 2009 and 2014, respectively. The simulation results show that replacing current vegetation and crop types with dry resistant types can significantly increase net groundwater recharge which leads to the increase of groundwater storage and river discharges. The depleted groundwater storage during the dry year could be restored during the normal and wet years so that groundwater provides a reliable resource to sustain river discharge and the dependent vegetations in the area.","groundwater-surface water interactions; remote sensing; land use scenarios; simulation model; catchment water balance","en","journal article","MDPI","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:65547e75-ebe6-4cec-9453-22933b33b33a","http://resolver.tudelft.nl/uuid:65547e75-ebe6-4cec-9453-22933b33b33a","A High-Precision Capacitive Sensor System for Displacement Measurements","Yang, R.","Meijer, G.C.M. (promotor)","2015","This thesis presents the theory, design and qualification of a precision capacitive sensor system for displacement measurement that require high resolution, high precision and simultaneously, low power consumption. The challenge to achieve the required performance has been taken care at both mechanical domain (sensor head) and electronic domain (interface circuit). To overcome the design challenges, several precision techniques and new concepts have been proposed both at system level and circuit level. Finally, the performance of the proposed system has been qualified. The implemented prototype achieves a medium Figure-of-merit (FoM) related to power efficiency, compared to the state-of-the-art capacitive sensor interface design, while demonstrating a superior thermal stability as well as absolute accuracy.","Capacitive Sensor System","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:3460b1dc-e69f-4406-8837-0112c2ec301a","http://resolver.tudelft.nl/uuid:3460b1dc-e69f-4406-8837-0112c2ec301a","Whole failure process analysis for jointed rock masses based on coupling method of DDA and FEM","Su, H.Z.; Wen, Z.; Yang, M.","","2015","The elastic-plastic mechanical behaviour is a typical characteristic of rock mass. The load action will bring on the local destruction, large deformation, even whole failure of rock mass with the discontinuous mediums (e.g. joint, crack and fault). It is a coupling process of the continuous deformation and the discontinuous deformation. The discontinuous deformation analysis (DDA) and finite element method (FEM) are combined to build the elastic-plastic mechanical model. The rock block is divided into the finite element meshes. FEM is used to solve the displacement field and the stress field inside the block. The contacts between the deformable blocks are simulated DDA method. The parametric variational principle is derived to analyze the elastic-plastic problem with above coupling model. The theoretical calculating formulae are obtained from the variational principle. The governing equations of mechanical model are established. The proposed method coupling DDA and FEM is used to implement the simulation and analysis for the deformation process of jointed rock masses around one underground cavern. It is easy to simulate the whole process from plastic to elastic yielding failure, and to the large deformation under the condition of plastic flow or instability.","coupling method; jointed rock masse; failure process; discontinuous deformation analysis; finite element method","en","conference paper","","","","","","","","","","","","","",""
"uuid:50a4a249-3373-48b4-90b9-5ed2b7098579","http://resolver.tudelft.nl/uuid:50a4a249-3373-48b4-90b9-5ed2b7098579","High-efficient n-i-p thin-film silicon solar cells","Yang, G.","Zeman, M. (promotor); Van Swaaij, R.A.C.M.M. (promotor)","2015","In this thesis we present results of the development of n-i-p thin-film silicon solar cells on randomly textured substrates, aiming for highly efficient micromorph solar cells (i.e., solar cells based on a ?c-Si:H bottom cell and a-Si:H top cell). For the efficiency of n-i-p thin-film silicon solar cells the interfaces between different layers are very important. In this thesis the influence of some important interfaces in the n-i-p configuration solar cells on the solar-cell performance has been studied. The results are presented in a structure that follows the sequence of making an n-i-p solar cell, starting with the textured substrate (Chapter 3), then the development of the ?c-Si:H bottom cell (Chapter 4), the optimization of a-Si:H top cell (Chapter 5), and finally the characterization of the i-p interface of the top cell (Chapter 6). In Chapter 3 the development of textured ZnO:Al (AZO) is presented. Obtaining textured transparent conductive oxide (TCO) using sputtering of AZO layers and subsequent wet etching has already been published in literature and is used as a reference in this thesis. The relation between the etched AZO surface morphology, and the sputtering and wet etching conditions are discussed. The surface of wet-etched AZO films is V-shaped. A high root mean square roughness (?RMS) is achieved with this process and the textured AZO presents high haze in reflection with most of the reflected light located in high angles. Therefore, the n-i-p ?c-Si:H solar cells deposited on this type of substrate have a very high short-circuit current density (Jsc). However, a low open-circuit voltage (Voc) is obtained, because of the relatively high density of defective regions within the ?c-Si:H layers. These defective regions primarily appear in the valleys of the rough V-shaped textured surface. In Chapter 3 we also present a novel way of glass texturing using AZO as sacrificial layer, which we have called the AZO induced texturing process (ZIT process). For this process a AZO layer is deposited onto the glass substrate by sputtering. Then this AZO covered glass is exposed to an etching solution, which contains HF and HNO3. Due to the anisotropic structure of the AZO film the etching of this layer is not homogeneous. Where the AZO etches faster, the glass underneath is exposed to HF sooner. Once some parts of the glass are exposed to the etching solution, the HF starts to etch the glass. When the AZO layer is etched away, the glass texturing process finishes and a rough glass surface is obtained. Therefore, the crucial parameters for this process are: AZO layer thickness and its sputtering temperature, and the composition of the etching solution (in particular the HNO3 to HF concentration ratio). With this process, the ?RMS of the textured glass surface can be tuned between 20 nm and 500 nm. The textured glass surface is U-shaped, on top of which a layer of ?c-Si:H can be deposited with a low density of defective regions as is shown in Chapter 4. In Chapter 3 we also present the development of modulated surface textures (MST) consisting of both large (>10 ?m) and smaller features. The purpose of MST is to obtain a high Jsc, whilst maintaining a high Voc and FF for the ?c-Si:H solar cells. For the MST substrates the large features (> 10 ?m) on the glass were obtained using the so-called ITO induced texturing (IIT) process. Smaller features on top of this IIT structure were obtained by aluminium induced texturing (AIT) process, the ZIT process, or by wet-etching of sputtered AZO. Compared to single textures all the MST substrates have even higher haze in reflection, with a larger fraction of light reflected to higher angles. In Chapter 4 we show results of ?c-Si:H solar cells deposited on the textured substrates developed in Chapter 3. For a 1.2-?m thick ?c-Si:H n-i-p solar cell deposited on the ‘reference’ back reflector (BR) of wet-etched AZO covered with Ag a high short-circuit current density of 24.4 mA/cm2 was obtained. When varying the morphology of the substrates, the Voc and FF of solar cells were not affected for thinner cells, but a rapid drop in Voc and FF was found for thicker intrinsic layers. Using textured glass as substrate obtained with the ZIT process and covered with a Ag layer, high efficiency n-i-p ?c-Si:H solar cells were obtained. We studied the dependence of the n-i-p ?c-Si:H solar-cell performance on the Rs value (defined as Rs = ?RMS/lc, with lc the correlation length) of the textured glass substrates. We found that with increasing Rs the Jsc of the cells increases. The Voc for thin cells decreases, whereas for 3-?m thick solar cells the effect of Rs on the Voc is less obvious. The best-performing solar cell deposited on ZIT textured glass showed high Voc and FF in comparison to cells reported in literature and deposited on state-of-the-art textures, but this gain in electrical performance was counterbalanced by a slightly lower Jsc. This resulted in a conversion efficiency of 10.64% (active area, one best cell, 3.0 ?m thick i-layer) with Voc = 0.533 V, FF = 0.727 and Jsc = 27.47 mA/cm2. Finally, ?c-Si:H n-i-p solar cells were deposited on MST substrates. For these solar cells we found a higher red response in the external quantum efficiency than the reference cell that was fabricated on IIT glass. However, compared to the best ZIT cell this MST cell shows a relatively low red response leading to a Jsc of 26.36 mA/cm2. We think that this lower red response is due to the flattening of the rough surface of the glass when preparing the MST substrate with ZIT process (MST-ZIT). On the other hand, we found from the scanning electron microscopy images that the solar cell deposited on a MST-ZIT process has a very low density of defective regions in the bulk ?c-Si:H layer and gives the highest FF of 0.748 for a 3-?m thick cell. In addition, the Voc remains nearly the same as for the best ZIT cell. These lead to a cell efficiency of 10.49%, which is slightly lower than the efficiency of the best ZIT cell. For a-Si:H solar cells, the recombination rate is highest in the i-p interface region due to the high defect density in this region. As a result the solar-cell performance is suppressed. In Chapter 5 we show results of the effect of H2-plasma treatment before p-layer deposition on the solar-cell performance of n-i-p a-Si:H solar cells. Following this treatment all external solar-cell parameters (i.e., the Voc, FF and Jsc) increase significantly. Dark current-voltage (J-V) characteristics of the solar cells suggest that the current recombination at the i-p interface is lower for H2-plasma treated cells than for a cell without treatment. The FTIR measurement shows that the a-Si:H layer contains a higher hydrogen content after H2-plasma treatment. This a-Si:H layer with higher hydrogen content is around 20 nm thick. Compared to the bulk a-Si:H material this layer has a higher activation energy and lower conductivity. In order to understand the mechanism of the solar-cell performance improvement by the H2-plasma treatment of the i-p interface, we carried out capacitance-frequency (C-f) measurements on n-i-p a-Si:H solar cells. These measurements are presented and discussed in Chapter 6. By applying and further developing the theory of Walter et al.1 on C-f measurements, we have been able to study the energy defect distribution of the i-p interface region. We found that during the capacitance measurement, virtually only the defects near the n-i and i-p interface region contribute to the measured capacitance when a forward bias is applied. When extracting the defect density of states in the i-p interface region from C-f measurements, we find that after a H2-plasma treatment this defect density is decreased and peaks at a deeper energy position. Combining the dark J-V results of these solar cells, the C-f measurements indicate that the lower recombination rate in the i-p region after the H2-plasma treatment is mainly due to the decrease of the defect density in the i-p interface region. Finally, in Chapter 7 of this thesis we present the results of an n-i-p micromorph solar cell made using the results of the development presented in the earlier chapters. This micromorph solar cell has an efficiency of 12.2%. The open-circuit voltage is 1.382 V, the fill factor is 0.72, and the short-circuit current density for the top a-Si:H cell is 12.2 mA/cm2 and 13.1 mA/cm2 for the bottom ?c-Si:H cell. The main conclusions of this thesis and a general outlook for further enhance the efficiency of thin-film silicon solar cell are also presented in Chapter 7.","thin-film silicon solar cells; n-i-p configuration; high-efifcient; glass texturing; light-managment","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","ESE","","","",""
"uuid:d31f969f-b76c-4736-944e-96f3ec444c34","http://resolver.tudelft.nl/uuid:d31f969f-b76c-4736-944e-96f3ec444c34","Analysis of Diffusion MRI: Disentangling the Entangled Brain","Yang, J.","Van Vliet, L.J. (promotor)","2015","The white matter of the brain contains all the connections between different parts of the grey matter. Many diseases especially affect the brain’s white matter. For instance, the white matter tracts are destroyed in neurodegenerative diseases, such as Alzheimer’s disease. Accordingly, there is a large interest in features of the white matter to understand the pathophysiological mechanisms underlying these diseases. Diffusion MRI enables non-invasive characterization of the white matter architecture by measuring features of local diffusion processes. Importantly, the diffusion is larger along white matter tracts rather than perpendicular to them due to structural hindrance of the myelin sheets that surround nerve cells. Diffusion MRI measures the diffusion in a large number of directions to yield so-called diffusion weighted images (DWI’s). Conventionally, the diffusion is modelled from the DWI’s by a single ellipsoidal shape, mathematically termed a tensor. Such a tensor reflects the principal directions of diffusion and the associated diffusion lengths. Typically, measures such as the imbalance in diffusion, i.e. the so-called fractional anisotropy, and the mean diffusivity, are calculated from the diffusion tensor to characterize the white matter. However, a single tensor is not appropriate for two-way and three-way crossings of tracts. The goal of this thesis was to improve the modelling of more complex diffusion shapes. Therefore, a multi-tensor is used. However, a pitfall with such a complicated model is that it is prone to ‘overfitting’, for instance as a dual tensor model is fit to single tract data. In that case, the estimated diffusion features will be inaccurate and/or imprecise. The methods in this thesis aim to adapt the model to the underlying structures: a single tensor for single fiber data and dual tensors for fiber crossings. While doing so, the techniques must cope with different measurement circumstances, for instance a varying signal to noise ratio. Initially, two different frameworks are proposed to structure adaptively determine diffusion parameters. The first framework (Chapter 2) involves a so-called automated relevance determination (ARD) approach to estimate the parameters of a dual tensor model. The dual-tensor model automatically adapts to single fibers by reducing one of the volume fractions to a near zero value in case there is no support for a second tensor in the data. It is demonstrated that the ARD approach gives a higher sensitivity in detecting age-related white matter atrophy than standard techniques. A limitation of the ARD framework is that the employed dual-tensor model primarily aims to characterize two-way crossings and simpler configurations. Recent studies reported evidence for the existence of a three-way crossing of fiber bundles. The second framework (Chapter 3) relies on a maximum a-posteriori (MAP) estimator to characterize the diffusion in three-way crossings based on a triple-tensor model. A new model selection technique quantifies the extent to which candidate models are appropriate, i.e. single-, dual- or triple-tensor model. The MAP estimator combined with the model selector is shown to enhance the precision of the parameter estimation, without decreasing the accuracy. The proposed framework improved the sensitivity of statistical analysis of differences between left and right-handed subjects from a large a publically accessible dataset. Unfortunately, the estimation of complex diffusion models is often hampered by a low signal to noise ratio (SNR) of the underlying DWI data. Therefore, two methods are studied to filter the data in order to improve the SNR and in turn enhance the estimation of the diffusion properties. At first (Chapter 4), we propose a structure-adaptive technique to suppress the noise in the underlying DWI data. Initially, the DWI data is decomposed into compartment-specific contributions. Subsequently, these contributions are filtered, after which noise suppressed data is reconstructed from the filtered contributions. Finally, the noise-suppressed DWI data is used to estimate the parameters of a complicated dual tensor model. The results demonstrate that noise limits the regions with significant differences between two age groups. Instead, subtle differences are identified after filtering. Secondly, a method is introduced to estimate a multi-tensor model from the unfiltered diffusion data after which denoising is performed by filtering the tensors (Chapter 5). The method restricts the denoising to tensors of the same population, even in complex fiber structures, such as crossings and touching fiber bundles. An analysis on the correlation between white matter atrophy and age demonstrates that this enables more sensitive detection of changes in white matter properties. In conclusion, this thesis improves the accuracy and the precision of the estimation of diffusion properties by carefully modelling complex fiber structures based on multi-tensor representations. What is more, it enhances the estimation by filtering the data to suppress the noise on the data. We anticipate that many diffusion MRI studies can benefit from this work.","Diffusion Tensor Imaging; Diffusion weighted MRI; Diffusion Tensor Model Selection; Diffusion MRI filtering","en","doctoral thesis","","","","","","","","","Applied Sciences","Imaging Science & Technology","","","",""
"uuid:d6e0fd65-47ad-4342-80b7-7f04709b00f6","http://resolver.tudelft.nl/uuid:d6e0fd65-47ad-4342-80b7-7f04709b00f6","Double diffusive convection between two parallel plates with different boundary conditions","Yang, Y.; Van der Poel, E.P.; Ostilla-Monico, R.; Sun, C.; Verzicco, R.; Grossmann, S.; Lohse, D.","Yang, Y. (author); Van der Poel, E.P. (author); Ostilla-Monico, R. (author); Sun, C. (author); Verzicco, R. (author); Grossmann, S. (author); Lohse, D. (author)","2015","We investigate the double diffusive convection between two parallel plates with either no-slip or free-slip boundary conditions. Direct numerical simulations have been conducted systematically for a series of control parameters. Salt fingers can be observed for both boundary conditions and all parameters explored. Compared to the no-slip case, salt fingers are stronger in the free-slip case, which is accompanied by larger salinity flux and flow velocity. For both boundary conditions, thin boundary regions develop adjacent to two plates. The salinity flux and the Reynolds number show similar dependences on the control parameter, namely, the Rayleigh number of the salinity field.","","en","conference paper","","","","","","","","","","","","","",""
"uuid:e9979c31-9270-40bd-bb0f-cd4699ffa03d","http://resolver.tudelft.nl/uuid:e9979c31-9270-40bd-bb0f-cd4699ffa03d","Turbulent skin-friction drag reduction by travelling waves induced by spanwise Lorentz force","Yang, Q.; Chung, Y.M.","Yang, Q. (author); Chung, Y.M. (author)","2015","The streamwise and spanwise travelling waves induced by spanwise Lorentz force are studied for skin-friction drag reduction in a turbulent channel. The streamwise travelling wave by spanwise Lorentz force on drag reduction is compared to the with the spanwise wall motion. The drag reduction map shows a drag reduction region and a drag increase region, depending on a time scale $\mathscr{T}=\lambda/(\mathscr{U}_c-\omega/\kappa)$. For spanwise travelling wave, a large drag reduction appears at large oscillation frequencies and small spanwise wave numbers, while all stationary wave cases give a drag increase. When the wave travels at an oblique angle to the streamwise mean flow, the optimal drag reduction appears in backward travelling wave case. Generally, the backward streamwise travelling wave is found to be most efficient in drag reduction among all oblique travelling waves. Spanwise oscillation, forward streamwise travelling, spanwise travelling and backward streamwise travelling wave cases share a similar drag reduction mechanism: first, the spanwise motion directly breaks the near wall quasi-streamwise vortices structure array \cite{Jeong_etal1997}, which results in the shortening of streamwise streaks; second, the spanwise velocity layer maintains the asymmetry of the positive and negative quasi-streamwise vortices, which leads to a sustained drag reduction.","","","conference paper","","","","","","","","","","","","","",""
"uuid:86cfb715-8f7a-46a0-8e29-1a216a0441b1","http://resolver.tudelft.nl/uuid:86cfb715-8f7a-46a0-8e29-1a216a0441b1","Multi-objective and multidisciplinary design optimization of large sports building envelopes: A case study","Yang, D.; Sun, Y.; Turrin, M.; von Buelow, P.; Paul, J.C.","","2015","Currently, in the conceptual envelope design of sports facilities, multiple engineering performance feedbacks (e.g. daylight, energy and structural performance) are expected to assist architectural design decision-making. In general, it is known as Building Performance Optimization in the conceptual architectural design phase. Essentially, it tends to be a Multi-objective and Multidisciplinary Design Optimization problem. Although the potential of Multi-objective Optimization and Multidisciplinary Design Optimization in handling this problem has been demonstrated in different industrial fields, there are still some significant gaps in their current application to the field of building design. The ultimate goal of our research is to find out an effective and efficient Computational Design Optimization approach, for architects, which is suitable for the conceptual design of sports building envelopes. As parts of the final goal, this paper aims to: (1) set up a meaningful benchmark case and method for the comparison of different Multidisciplinary Design Optimization approaches in future research; (2) propose an integrated Computational Design Optimization process to deal with the benchmark case using the benchmark method; and (3) test the overall process through a hypothetical and simplified case study (i.e. a sports hall with a spherical roof). Important aspects of each objective above are highlighted respectively, and thereby bridging the current gaps. Finally, discussion and future research are given.","computational design optimization; multi-objective optimization; multidisciplinary design optimization; sports building envelopes; building performance optimization; conceptual architectural design; daylight performance; energy performance; structural performance","en","conference paper","","","","","","","","","Architecture and The Built Environment","Architectural Engineering +Technology","","","",""
"uuid:ee1e27b9-6ba5-4ef2-8023-96e7f6fb89a6","http://resolver.tudelft.nl/uuid:ee1e27b9-6ba5-4ef2-8023-96e7f6fb89a6","A method for texturing a glass surface","Yang, G.; Van Swaaij, R.A.C.M.M.; Isabella, O.","","2015","The present invention relates to a new method of texturing a glass surface. In further aspects, the present invention relates to the resulting textured glass surface; to a photovoltaic device comprising the textured glass surface; and, to use of the textured glass surface for scattering light.","","en","patent","European Patent Office","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","","","",""
"uuid:81fcd5f2-3622-413e-aa70-98a8083b6ace","http://resolver.tudelft.nl/uuid:81fcd5f2-3622-413e-aa70-98a8083b6ace","Modified Hydrotalcites as Smart Additives for Improved Corrosion Protection of Reinforced Concrete","Yang, Z.","Polder, R.B. (promotor)","2015","Corrosion of reinforcing steel is a major culprit to durability and serviceability of concrete structures. This problem is highly relevant for civil engineering structures in the transport sector, such as bridges, tunnels, harbour quays and parking structures. The dominant aggressive external influence is the chloride load from de-icing salts or sea water, penetrating the concrete and destroying the natural (high pH) passivation of the steel. The direct and indirect costs of reinforcement corrosion are substantial, as it entails additional repair, rehabilitation, and monitoring activities to ensure the safety, functionality and aesthetics of concrete structures and components. In addition, many repairs have a short working life, necessitating repeated repairs within the use life. Consequently, the construction industry is in need of improving the corrosion protection of reinforcing steel, preferably by low-cost measures. Presently available corrosion preventive measures are either too costly or technically too complicated to be applied on a wide scale. Stainless steel reinforcement is 5 or 10 times more expensive than reinforcing (carbon) steel. Cathodic prevention and protection may be effective but both are a special niche expertise and are thus not applied on a wide scale. Coatings on the concrete surface normally do not last long enough (10-20 years), which causes a maintenance cycle of its own. Corrosion inhibitors seem to be attractive owing to their low cost and the ease and flexibility of application. However, there are conflicting opinions about the reliability of the inhibitors for corrosion protection in concrete in terms of long-term efficiency; some are toxic, such as nitrites. A possible promising solution to overcome this problem is the encapsulation/immobilization of desired inhibitors within the molecular structure of a host compound. The immobilized inhibitor then can be slowly released in a controllable way by an external stimulus (e.g. chloride ions) and therefore provide a relatively long-term corrosion protection. Owing to the unique fine tunable molecular structure and high anionic exchange capacity, modified hydrotalcites (MHTs) have the potential to be used for the immobilization of a desired inhibitor. Hydrotalcite is one representative of large mineral group of Layered Double Hydroxides (LDHs), in general formula [MII1-x MIIIx (OH)2]x+ [(An-x/n)]x-·mH2O, where MII and MIII are di- and trivalent metals respectively, and An- is an interlayer charge-balancing anion with valence n. The x value is in the range 0.20-0.33. Although the most common anion found in naturally occurring hydrotalcites is carbonate, in practice however, there is no significant restriction to the nature of the interlayer charge-balancing anions. The MHT structure can accommodate various cations in the hydroxide layers with varying MII/MIII ratios as well as a wide range of anionic species in the interlayer regions. Within the MHT family, a class of materials with emerging importance is that constituted by MHTs intercalated with organic species. In addition, increasing awareness of the health and ecological risks has drawn much attention to amino acid-based inhibitors because they are nontoxic, environmentally friendly, relatively cheap and easy to produce with higher purity. Therefore, the marriage of the two kinds of materials is expected to not only offer an improved inhibiting effect than using the inhibitor alone but also to impose less impact on environment. Recently a study on the application of amino acid modified hydrotalcites in cementitious materials has formed the basis of a patent (WIPO Patent, WO 2011/065825 A1). However, its scale was relatively small and further work was considered necessary by the applicants and their organisations. In this research, four different types of sodium salts of amino acids (i.e., Glycine, 6-aminocaproic acid, 11-aminoundecanoic acid, and p-aminobenzoic acid) were proposed as potential candidates for the modification of hydrotalcite. Sodium nitrite was also chosen as a modification candidate for comparison purposes due to its well-recognized inhibition performance in concrete. Based on the anti-corrosion performance evaluation in chloride contaminated simulated concrete pore solution (Chapter 3), sodium nitrite, sodium salt of p-aminobenzoic acid (pAB) and sodium salt of 11-aminoundecanoic acid (11AUA) were selected as the most promising candidate modifiers for synthesis of MHT. Subsequently, six MHTs (two Mg/Al atomic ratios of 2.2 and 2.7, which were denoted as 2 and 3 respectively) were synthesized through the modification of two commercially available carbonate Mg-Al hydrotalcites PURAL® MG 63 HT (Mg/Al atomic ratio 2.2) and PURAL® MG 70 HT (Mg/Al atomic ratio is 2.7) by NaNO2, pAB and 11AUA (Chapter 4). They were characterized by means of X-ray powder diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), Thermogravimetry (TG), Differential scanning calorimetry (DSC) and relevant elemental analysis. The ion exchange characteristics of the six synthesized MHTs and their anti-corrosion performance were investigated in chloride-rich simulated concrete pore solution (Chapter 5). The results showed that ion exchange occurred between free chloride ions in the simulated concrete pore solution and the inhibitive anions intercalated in MHT, thereby reducing the free chloride concentration which is equivalent to increased binding of chloride in mortar/concrete. Moreover, the simultaneously released anions, in particular -pAB, were found to exhibit a notable inhibiting effect and caused shifting of the corrosion initiation of steel to a higher chloride concentration level. This evidence manifested the dual role protecting function that MHT (in particular, Mg(2)Al-pAB) offers to the steel: capturing chlorides as a chloride scavenger and providing of a beneficial release of corrosion inhibitors in parallel as an inhibitor reservoir further protecting reinforcing steel from corrosion. The effects of two MHTs, i.e., Mg(2)Al-NO2 and Mg(2)Al-pAB, were investigated in both plain and reinforced mortar specimens with a focus on their interaction with chloride ions in plain mortar (Chapter 6) and in reinforced mortar, mainly focusing on their inhibition influence on corrosion of the reinforcing steel (Chapter 7). In plain mortar, the two MHTs were incorporated at two dosage levels replacing 5% and 10% mass of cement. A testing programme including workability test, strength test, porosity test, and rapid chloride migration and diffusion test was employed to investigate the effect of the two MHTs on chloride penetration in mortar. The results indicated that the incorporation of Mg(2)Al-pAB at 5% dosage in mortar produced a notably improved chloride diffusion resistance with no remarkably negative influence on the development of mechanical strength and workability of fresh mortar, which therefore validated that the Mg(2)Al-pAB could be a promising alternative in hindering the chloride transport in mortar when an appropriate mixing dosage is adopted. In reinforced mortar, the two MHTs were applied in two different ways: (1) as one of the mixing components in bulk mortar at two dosage levels replacing 5% and 10% mass of cement; (2) as a surface coating on the reinforcing steel in a cement paste replacing 20% of the cement mass. Three test methods including electrically accelerated chloride migration, cyclic wetting-drying and natural chloride diffusion test based on chloride exposure were adopted to custom designed reinforced mortar specimen. Although no corrosion was detected after 30 weeks natural diffusion testing, the results obtained from accelerated chloride migration and cyclic wetting-drying test revealed that when an appropriate mixing dosage is adopted and applied in a proper way, the application of MHT either incorporation of a small amount (in particular, Mg(2)Al-pAB to replace 5% weight of cement) in mortar or as a surface coating of the reinforcing steel (Mg(2)Al-pAB or Mg(2)Al-NO2 to replace 20% weight of cement in paste) resulted in delayed corrosion initiation and increased chloride threshold responsible for initiating corrosion. The effects on service life of structures in chloride contaminated environment is estimated, which shows a significant improvement. In general, the research work presented in this thesis met the expectations and goals formulated at the start of the project. As the first exploration on a wider scale into the application of MHT in cementitious materials for corrosion protection purposes, a new type of smart concrete additive based on amino acid modified hydrotalcites (in particular Mg(2)Al-pAB) aiming to combat chloride-induced corrosion has been developed and documented. The results demonstrated that by using such a material, a longer service life of reinforced mortar/concrete structures can be expected. While realizing that more research is still needed for maximizing the beneficial effect of MHT as a functional additive of cementitious materials, some recommendations for further research are given in the last chapter of this thesis (Chapter 8). MHT has a very rich interlayer chemistry and can participate in anion exchange reactions with great facility. Therefore, the scope of application for MHT with combination of different kinds of host metal hydroxides and various interlayer anions with desired specific function in cement-based materials could be significantly expanded. For example, a controlled release formulation based on MHT can be made by encapsulation/immobilization of a desired functional compound within the layered molecular structure of hydrotalcites. This functional compound could be a superplasticizer, a shrinkage reducer, an ASR inhibiting compound, an air-entraining agent, a pore solution viscosity adjuster, a setting accelerator/retarder and probably other any concrete property adjusters. In this respect, we are confident that future work on applications of new types of smart functional concrete additives based on MHTs will expand rapidly and contribute greatly to the effort of searching for effective measures to improve the durability or other properties of reinforced concrete.","Modified hydrotalcites; Layered double hydroxides; Smart additives; Reinforced concrete; Durability; Service life; Corrosion; Chloride; Corrosion inhibitors; Amino acids","en","doctoral thesis","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:e31a01c0-f549-48c2-bc5b-b03dcc0f2bf2","http://resolver.tudelft.nl/uuid:e31a01c0-f549-48c2-bc5b-b03dcc0f2bf2","Routing in Optical and Stochastic Networks","Yang, S.","Van Mieghem, P.F.A. (promotor); Kuipers, F.A. (promotor)","2015","In most types of networks (e.g., optical or transportation networks), finding one or more best paths from a source to a destination, is one of the biggest concerns of network users and providers. This process is known as routing. The routing problems differ accordingly depending on different application scenarios with their respective routing goals. For example, in the field of data communication networks, with many communication applications emerging (such as Cloud Computing, Internet Protocol Television (IPTV), Video-On-Demand), finding optimum routing paths has been considered in the bandwidth-limited network or when it is expensive to increase the capacity of the existing hardware. Moreover, for network providers, the Service Level Agreements (SLA) determine the level of service that is promised to the network user. The routing problems should also incorporate these SLAs (e.g., connection availability). The energy consumption of the data communication networks is another example, due to its impact on the environment (e.g., green house effect). Energy-aware routings have been considered as one approach to efficiently deal with this issue. Apart from that, the network itself is likely to behave in a stochastic manner. For instance, especially in large networks, it is difficult to obtain an accurate view on the link characteristics like bandwidth utilization or latency, because their dynamics are usually of the same order as the time it would take to distribute information on the link state throughout the network. The routing problems become more difficult to solve if the network dynamics have to be considered. This thesis deals with routing problems in two kinds of networks, namely, (1) (deterministic) optical networks and (2) stochastic networks. Optical networks have been widely deployed because of their high capacity, low bit rate, etc. Wavelength Division Multiplexing (WDM) technology enabled optical networks divides the capacity of a fiber into several non-overlapping wavelength channels that can transport data independently. These wavelength channels make up lightpaths, which are used to establish optical connections that may span several fiber links. Chapters 2 and 3 study the energyaware path selection problem in IP-over-WDM optical networks and the energy-efficient network design problem, respectively. In Chapter 4, a more flexible optical network enabled by OFDM technology is introduced, which is here called spectrum-sliced elastic optical path (SLICE) network. In this kind of network, the capacity of a fiber link is divided into a fixed number of (overlapping) low data rate transporting units, which are called subcarriers. Subsequently, Chapter 4 studies the routing problem in SLICE networks. Connection availability, which is defined as the probability that the corresponding connection is available, is a key element of many SLAs. Establishing a path over a connection should obey the availability agreements to avoid the loss of revenue. Chapter 5 studies the availability-based path selection problem. The energy-aware path selection problem in Chapter 2 is polynomial-time solvable, but all the other problems in Chapters 3, 4 and 5 are proved NP-hard. To solve them, exact algorithms or efficient heuristics are proposed. In deterministic optical networks, the link weights (e.g., energy) are usually assumed to be known, while in the so-called stochastic networks they are uncertain. Although in some cases optical networks may behave in a stochastic manner, most of the routing problems in deterministic optical networks are already NP-hard as mentioned above, which suggests that similar routing problems in stochastic optical networks are even harder to solve. We therefore consider more general stochastic networks, which are not confined to any specified type of network. Chapter 6 studies the maximum-flow problem without and with a delay constraint under the assumption that the bandwidth and delay follow a general log-concave probability distribution. A convex optimization formulation is proposed to solve the maximum-flow problem in stochastic networks. When a delay constraint is imposed on each path, the problem becomes NP-hard. To solve it, an approximation algorithm and a heuristic are devised. So far, all the studies do not thoroughly account for the correlations between link weights, but the link weights (such as failure probability) are correlated in some real-life networks. For example, in interdependent networks, where for instance the electricity network and Internet network are coupled and inter-connected, and one node or link failure in one network may cause failures of nodes or links in the other network. Chapter 7 addresses the shortest path problem and the min-cut problem (the dual problem of the maximum-flow problem in conventional networks) in more general correlated networks.Correlated network can also be regarded as a special kind of stochastic network, since its link weight is uncertain instead of fixed. Two correlated link weight models are studied, namely (1) deterministic correlated model and (2) (log-concave) stochastic correlated model. These two problems are proved NP-hard under the deterministic correlated model, and cannot be approximated to arbitrary degree, unless P=NP. But they are shown polynomial time solvable under a (constrained) nodal deterministic correlated model. Under the (log-concave) stochastic correlated model, two convex optimization formulations are proposed to solve the shortest path problem and the min-cut problem, respectively. Finally, Chapter 8 concludes with the main findings and contributions of this thesis, and also points out possible future work.","Routing; Optical Networks; Energy-efficiency; Availability; Survivability; Stochastic Networks; Convex Optimization; Maximum Flow; Min-Cut","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:f837f2d9-1011-4395-88bc-552c864f446f","http://resolver.tudelft.nl/uuid:f837f2d9-1011-4395-88bc-552c864f446f","Predicting Object Size from Hand Kinematics: A Temporal Perspective","Ansuini, C.; Cavallo, A.; Koul, A.; Jacono, M.; Yang, Y.; Becchio, C.","","2015","Research on reach-to-grasp movements generally concentrates on kinematics values that are expression of maxima, in particular the maximum aperture of the hand and the peak of wrist velocity. These parameters provide a snapshot description of movement kinematics at a specific time point during reach, i.e., the maximum within a set of value, but do not allow to investigate how hand kinematics gradually conform to target properties. The present study was designed to extend the characterization of object size effects to the temporal domain. Thus, we computed the wrist velocity and the grip aperture throughout reach-to-grasp movements aimed at large versus small objects. To provide a deeper understanding of how joint movements varied over time, we also considered the time course of finger motion relative to hand motion. Results revealed that movement parameters evolved in parallel but at different rates in relation to object size. Furthermore, a classification analysis performed using a Support Vector Machine (SVM) approach showed that kinematic features taken as a group predicted the correct target size well before contact with the object. Interestingly, some kinematics features exhibited a higher ability to discriminate the target size than others did. These findings reinforce our knowledge about the relationship between kinematics and object properties and shed new light on the quantity and quality of information available in the kinematics of a reach-to-grasp movement over time. This might have important implications for our understanding of the action-perception coupling mechanism.","","en","journal article","Public Library of Science","","","","","","","","Mechanical, Maritime and Materials Engineering","Biomechanical Engineering","","","",""
"uuid:f5687ca3-6bc3-4421-a129-18fddac73622","http://resolver.tudelft.nl/uuid:f5687ca3-6bc3-4421-a129-18fddac73622","Gas Jets in Granular Matter: Observed by a High Speed X-ray Tomography System","Yang, X.","Mudde, R.F. (promotor); Van Ommen, J.R. (promotor)","2015","High speed X-ray tomography is a promising tool to visualize the time-resolved gas distribution for fluidized beds. The tomography unit at Delft University of Technology is composed of three X-ray tubes and three double-layer detector arrays. The X-ray tubes are places at 120? around the fluidized bed. The detector arrays are placed opposite to the X-ray tubes. The full angle scanning can be done simultaneously, without mechanically rotating the X-ray tube as in traditional medical CT. The temporal resolution can be up to 2500 fps. By using this high speed X-ray tomographic system in my dissertation, we study tomographic reconstruction algorithms and measure different gas jets in the fluidized beds. To evaluate the performance of the novel reconstruction algorithms for high speed X-ray tomography, Simultaneous Algebraic Reconstruction Technique (SART) and Adaptive Genetic Algorithm (AGA) are compared in Chapter 3. The reconstruction quality of AGA is better than SART at low resolutions, but SART performs better at high resolution in finding the right shape. The system noise influences AGA less than SART. SART is much faster and does not have reproducibility problems; poor reproducibility influences the reliability of AGA. The features of the reconstruction quality for SART and AGA are further discussed in Chapter 4. AGA is better than SART in distinguishing small phantoms and small distance between phantoms. SART, on the other hand, is better at reconstructing the shapes than AGA. We developed a hybrid approach to combine the advantages of AGA and SART. The result of AGA is used as initial guess for SART in this hybrid algorithm, by which the reconstruction accuracy is indeed improved. A flat-base spouted bed is studied with the high speed X-ray tomography system in Chapter 5. We focus on the spout diameter and position. The hybrid algorithm is used to visualize the spout in the cross sectional area of the spouted bed. For a more accurate quantitative study, we developed a method to process the raw data directly. The time-averaged results of the spout diameters are validated with the literature. A simple model for the particle circulation is developed based on the time-averaged spout diameter. The model is also validated by measurement of the fountain height. The time-resolved results of the spout diameter are analyzed by the Power Spectral Density (PSD). The stability of the spout diameter is discussed for different measurement heights and gas flowrates by comparing the average PSD. We find that the most stable spout diameter happens at U/Ums ? 1.3 in the middle part of the spout. The time-resolved spout position is plotted by polar coordinates. We found that the spout position is more stable when the diameter is less stable, and vice versa. Another gas jet, i.e. the gas distribution below a downward facing micro-nozzle in a fluidized bed, is also measured using the high speed X-ray tomography in Chapter 6. The improved SART method is used for the reconstruction because we need to consider the transition area between the gas voids and bulk phase. Time-averaged 3-D images of the gas distribution below the nozzle are obtained. The results are compared with direct analysis of the raw data. A bubbling area, a diluted area, and a compacted area are found. We analyzed the dynamics of the gas voids by employing a cross-correlation technique and inspecting the reconstructed pseudo 3-D image. The cross-correlation estimates the direction of bubble motion, and also helps to calculate the bubble velocity and bubble size. The pseudo 3-D image shows the pattern of the gas voids. We found that single bubbles are regularly formed by the nozzle, and move upwards. The expansion, splitting and coalescence of the bubbles were also observed. The gas injected from the nozzle mainly ends up in bubbles. We compared the fluidized bed results with those obtained for a similar nozzle in a gas-water system, and found a similar flow pattern and penetration depth. In summary, we improved the accuracy of high speed X-ray tomography by developing novel data processing approaches, such as the hybrid algorithm and raw data processing. We evaluated the dynamics of the spout in a flat-base spouted bed from the tomographic measurements, and developed a model for particle circulation based on these measurements. We also measured the time-resolved gas distribution below the downward facing micro-nozzle using the high speed X-ray tomography. The obtained results illustrate that X-ray tomography is a valuable tool to study gas-solids distribution – both time-averaged and time-resolved in fluidized beds.","fluidized bed; gas jets; high speed X-ray tomography; image reconstruction algorithms","en","doctoral thesis","","","","","","","","","Applied Sciences","Chemical Engineering","","","",""
"uuid:e7e1300e-6d34-4c6c-9c6f-7cd828a1a607","http://resolver.tudelft.nl/uuid:e7e1300e-6d34-4c6c-9c6f-7cd828a1a607","Shortest paths in networks with correlated link weights","Yang, S. (TU Delft Network Architectures and Services); Trajanovski, S. (TU Delft Network Architectures and Services); Kuipers, F.A. (TU Delft Network Architectures and Services)","Cao, J (editor)","2015","","","en","conference paper","IEEE Society","","","","","","","","","","Network Architectures and Services","","",""
"uuid:4e2b5423-1073-43c8-8e1a-7a3477802c33","http://resolver.tudelft.nl/uuid:4e2b5423-1073-43c8-8e1a-7a3477802c33","Numerical study on two-point contact by an explicit integration finite element method: A contribution to the modeling of flange squeal","Yang, Z. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","Tournay, H (editor); Grassie, S (editor)","2015","The precise mechanism which activates squeal, especially flange squeal has not been fully explained. The complex non-Hertzian contact and the broad-band high frequency feature bring great challenges to the modelling work of flange squeal. In this paper, an explicit integration finite element method is presented to simulate the dynamic curving behavior of the outer wheel, which is believed directly related to flange squeal generation. By fully considering the normal, tangential force and spin moment, the non-steady-state wheel-rail interaction from one-point to two-point contact is reproduced. The critical time step of the explicit integration scheme is determined by the Courant stability condition, which, together with the detailed modelling of the structural and continuum of the wheel/track system, effectively guarantees that the reproduced vibration frequency can reach up to 10 kHz with desired accuracy. The aim of the work is to contribute to the modelling and understanding of the generation mechanism of the flange squeal from the viewpoint of the wheel-rail interaction.","","en","conference paper","Transportation Technology Center - AAR","","","","","","","","","","Railway Engineering","","",""
"uuid:57b20a8a-746e-4bfb-a832-73a7cf599f11","http://resolver.tudelft.nl/uuid:57b20a8a-746e-4bfb-a832-73a7cf599f11","Availability-based path selection and network vulnerability assessment","Yang, S. (TU Delft Network Architectures and Services); Trajanovski, S. (TU Delft Network Architectures and Services); Kuipers, F.A. (TU Delft Network Architectures and Services)","","2015","","","en","journal article","","","","","","Harvest Published 29-9-2015 Special issue: Special issue on Optimization Issues in Resilient Network Design and Modeling","","","","","Network Architectures and Services","","",""
"uuid:5a93e58a-14da-4b13-98cd-83273d8a9749","http://resolver.tudelft.nl/uuid:5a93e58a-14da-4b13-98cd-83273d8a9749","The production effect on the performance of panels: Cast with self-compacting fibre reinforced concrete","Bartoli, L.; Grunewald, S.; Schipper, H.R.; Yang, Y.; Hordijk, D.A.","","2014","The strain-hardening behaviour of cementitious composites is the result of a synergistic behaviour of the cement matrix and fibres in a cracked cross-section. The distribution and orientation of the fibres are essential in order to obtain such favourable tensile behaviour. Strain-hardening composites can be applied and are especially useftil in structures, which are difficult to reinforce effectively with traditionally placed rebars. Examples of such structures are slender and thin elements, like double-curved precast panels, which also do not provide sufficient concrete cover. An experimental study was executed on the effect of the production method on the performance of panels produced with self-compacting fibre reinforced concrete. Different casting methods were applied such as free f l ow condition and controlling the flow by guides. Flexural tests were executed that indicate the influence o f the casting method. The distribution and orientation o f the steel fibres was studied by image analysis on pictures taken from cut cross-sections. This paper discusses results of a study that aimed at utilising the potential and improving the performance of self-compacting fibre reinforced concrete for the case of thin panels.","","en","conference paper","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:bf0babd8-2e6d-40f5-b805-a629a4160ae3","http://resolver.tudelft.nl/uuid:bf0babd8-2e6d-40f5-b805-a629a4160ae3","Chaotic Dynamics in Smart Grid and Suppression Scheme via Generalized Fuzzy Hyperbolic Model","Sun, Q.; Wang, Y.; Yang, J.; Qiu, Y.; Zhang, H.","","2014","This paper presents a method to control chaotic behavior of a typical Smart Grid based on generalized fuzzy hyperbolic model (GFHM). As more and more distributed generations (DG) are incorporated into the Smart Grid, the chaotic behavior occurs increasingly. To verify the behavior, a dynamic model which describes a power system with DG is presented firstly. Then, the simulation result shows that the power system can lead to chaos under certain initial conditions. Based on the universal approximation of GFHM, we confirm that the chaotic behavior could be suppressed by a new controller, which is designed by means of solving a linear matrix inequality (LMI). This approach could make a good application to suppress the chaos in Smart Grid. Finally, a numerical example is given to demonstrate the effectiveness of the proposed chaotic suppression strategy.","","en","journal article","Hindawi","","","","","","","","Mechanical, Maritime and Materials Engineering","Delft Center for Systems and Control","","","",""
"uuid:72a540b2-5390-4db4-b19b-00b0e8e99482","http://resolver.tudelft.nl/uuid:72a540b2-5390-4db4-b19b-00b0e8e99482","The Conversion from CityGML to 3D Property Units","Ying, Shen; Jin, Fengzan; Guo, Renzhong; Li, Lin; Yang, Jie; Zhou, Yujie","","2014","Due to the fast growth of building construction technology and increasing demands on the efficient use of land, human living space are expanded from on the surface to above and under the earth surface. It raises the need for three dimentional (3D) cadastral by realizing that the current cadastral system based on 2D is not appropriate to reflect the precise representation. 3D property unit is the basic unit of 3D cadastral similar to the parcel in 2D cadastral. Data source of 3D property units under the circumstance of 3D Cadastre is still a challenge. This paper provides the framework and the workflow of the conversion from CityGML to 3D cadastral. According to the requirement of the semantics and geometry of 3D cadastral objects, the mapping rule and correspondence between CityGML and 3D property unit will be calibrated. It is needed to extract the geometry data from CityGML for the construction of 3D volumetric units for 3D properties based on the mapping rules. Furthermore, test cases are implemented to validate the conversion method.","Data Conversion; 3D Property Unit; CityGML; 3D Cadastre","en","conference paper","","","","","","","","","","","","","",""
"uuid:0e17967d-ea56-40f4-aa72-b9c48b85bd25","http://resolver.tudelft.nl/uuid:0e17967d-ea56-40f4-aa72-b9c48b85bd25","Breakdown Phenomenon Study in the Bidirectional Pedestrian Flow","Yang, X.; Daamen, W.; Hoogendoorn, S.P.; Chen, Y.; Dong, H.","","2014","Pedestrian dynamics has been being a popular research area. In this paper, breakdown probability in the bidirectional flow that includes pedestrians with heterogeneities is investigated. Reaction time appears to have the most pronounced breakdown proba- bility, followed by desired velocity and then body size. The relationship between the start time of breakdown and inflow reflects the decreasing trend of the start time of breakdown with increasing inflow. Furthermore, the smaller the value of the start time of breakdown for the same inflow, the larger the effect of the heterogeneity in a parameter of the social force model on breakdown by cross-comparisons.","bidirectional pedestrian flow; heterogeneity; the social force model; breakdown phenomenon; pedestrian dynamics","en","journal article","Elsevier","","","","","","","","Civil Engineering and Geosciences","Transport & Planning","","","",""
"uuid:990faf5b-601e-4fec-880c-ae25dbef4593","http://resolver.tudelft.nl/uuid:990faf5b-601e-4fec-880c-ae25dbef4593","Asking the right question in collaborative O&A systems","Yang, J.; Hauff, C.; Bozzon, A.; Houben, G.J.P.M.","","2014","Collaborative Question Answering (cQA) platforms are a very popular repository of crowd-generated knowledge. By formulating questions, users express needs that other members of the cQA community try to collaboratively satisfy. Poorly formulated questions are less likely to receive useful responses, thus hindering the overall knowledge generation process. Users are often asked to reformulate their needs, adding specific details, providing examples, or simply clarifying the context of their requests. Formulating a good question is a task that might require several interactions between the asker and other community members, thus delaying the actual answering and, possibly, decreasing the interest of the community in the issue. This paper contributes new insights to the study of cQA platforms by investigating the editing behaviour of users. We identify a number of editing actions, and provide a two-step approach for the automatic suggestion of the most likely editing actions to be performed for a newly created question. We evaluated our approach in the context of the Stack Overflow cQA system, demonstrating how, for given types of editing actions, it is possible to provide accurate reformulation suggestions.","Collaborative Question Answering; Classiffcation; Stack Overflow","en","conference paper","ACM","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Software Computer Technology","","","",""
"uuid:cfc8bc06-bbc1-4415-a293-109699a704c9","http://resolver.tudelft.nl/uuid:cfc8bc06-bbc1-4415-a293-109699a704c9","System Dynamics Project: The case of Electricity in Shanghai","Yang, Q.; Zhenpeng, Z.","","2014","The increasing energy demand in China due to urbanization has made the study of different energy policies in big cities a crucial topic of discussion. This is a complex problem since the electricity market is a multi-actor system where various actors may have a great influence on the main issue we are focusing on. In order to reduce the energy shortage and CO2 emissions problems existed in electricity market, a case study on Shanghai was conducted. The model built in this paper was used to forecast the electricity demand and supply under different energy policy scenarios and also to analyze the associated reductions in emissions of CO2. Three different policies were implemented in the model: regulating the electricity price, changing the structure of primary energy consumption of the electricity generating system, building up an inter-regional integrated electricity market. Simulation results show that the problems can be mitigated to some extent under these policies.","electricity market; energy shortage; CO2 emission; Shanghai","en","conference paper","System Dynamics Society","","","","","","","","Delft University of Technology","","","","",""
"uuid:ac776cf0-4412-4079-968f-9eacb67e8846","http://resolver.tudelft.nl/uuid:ac776cf0-4412-4079-968f-9eacb67e8846","Shear Behaviour of Reinforced Concrete Members without Shear Reinforcement: A New Look at an Old Problem","Yang, Y.","Walraven, J.C. (promotor); Den Uijl, J.A. (promotor)","2014","Shear loading on structures has been recognized as one of the most relevant actions determining structural safety since the 19th century. In the case of reinforced concrete structures, despite the great efforts that have been made through experimental and theoretical research over many years, the nature of the shear failure process of a reinforced concrete beam without shear reinforcement has always, for a substantial part, remained a riddle. The present research work takes a new look at this old problem. The mechanism of flexural shear failure for a reinforced concrete beam without shear reinforcement is explained fundamentally, based on which a new failure criterion is proposed. The study leds to a shear evaluation that is in excellent agreement with test results.","shear; reinforced concrete; beams; one-way slabs; withour shear reinforcement","en","doctoral thesis","","","","","","","","2014-06-30","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:64ebd20d-3633-4b00-8ee2-7cca4631c254","http://resolver.tudelft.nl/uuid:64ebd20d-3633-4b00-8ee2-7cca4631c254","Observations and analysis of phase scintillation of spacecraft signal on the interplanetary plasma","Molera Calvés, G.; Pogrebenko, S.V.; Cimò, G.; Duev, D.A.; Bocanegra-Bahamón, T.M.; Wagner, J.F.; Kallunki, J.; De Vicente, P.; Kronschnabl, G.; Haas, R.; Quick, J.; Maccaferri, G.; Colucci, G.; Wang, W.H.; Yang, W.J.; Hao, L.F.","","2014","Aims. The phase scintillation of the European Space Agency’s Venus Express (VEX) spacecraft telemetry signal was observed at X-band (? = 3.6 cm) with a number of radio telescopes of the European Very Long Baseline Interferometry (VLBI) Network in the period 2009–2013. Methods. We found a phase fluctuation spectrum along the Venus orbit with a nearly constant spectral index of ?2.42 ± 0.25 over the full range of solar elongation angles from 0° to 45°, which is consistent with Kolmogorov turbulence. Radio astronomical observations of spacecraft signals within the solar system give a unique opportunity to study the temporal behaviour of the signal’s phase fluctuations caused by its propagation through the interplanetary plasma and the Earth’s ionosphere. This gives complementary data to the classical interplanetary scintillation (IPS) study based on observations of the flux variability of distant natural radio sources. Results. We present here our technique and the results on IPS. We compare these with the total electron content for the line of sight through the solar wind. Finally, we evaluate the applicability of the presented technique to phase-referencing VLBI and Doppler observations of currently operational and prospective space missions.","scattering; plasmas; interplanetary medium; Sun: heliosphere; techniques: interferometric; astrometry","en","journal article","EDP Sciences","","","","","","","","Aerospace Engineering","Space Engineering","","","",""
"uuid:b77321fd-ddd2-467b-aad2-0512913764a7","http://resolver.tudelft.nl/uuid:b77321fd-ddd2-467b-aad2-0512913764a7","Copper-based coordination polymers from thiophene and furan dicarboxylates with high isosteric heats of hydrogen adsorption","Yang, J.; Lutz, M.; Grzech, A.; Mulder, F.M.; Dingemans, T.J.","","2014","Self-assembled Cu-based coordination polymers derived from thiophene-2,5-dicarboxylic acid (Cu-TDC) and furan-2,5-dicarboxylic acid (Cu-FDC) were synthesized via a solvothermal method and their H2 adsorption behaviour was investigated and contrasted with isophthalic acid (Cu-m-BDC) and terephthalic acid (Cu-BDC) derivatives. Both heterocyclic-based coordination polymers exhibit low surface areas (<300 m2 g?1) upon activation but unusually high isosteric heats of hydrogen adsorption (7.5–9.2 kJ mol?1). Hydrogen uptake values of 0.64–0.75 wt% (77 K and 1 bar) were recorded and these high uptake values are attributed to the optimal pore size (5.4–8 Å) and the polarizability of the 5-membered heterocycles.","Gold for Gold; Open Access","en","journal article","RSC Publishing","","","","","","","","Applied Sciences","ChemE/Catalysis Engineering","","","",""
"uuid:79a31333-da66-4ada-ad40-d6713ae3fe17","http://resolver.tudelft.nl/uuid:79a31333-da66-4ada-ad40-d6713ae3fe17","VLBI observations of the radio quasar J2228+0110 at z=5.95 and other field sources in multiple-phase-centre mode","Cao, H.M.; Frey, S.; Gurvits, L.; Yang, J.; Hong, X.Y.; Paragi, Z.; Deller, A.T.; Ivezic, Z.","","2014","A patch of sky in the SDSS Stripe 82 was observed at 1.6 GHz with Very Long Baseline Interferometry (VLBI) using the European VLBI Network (EVN). The data were correlated at the EVN software correlator at JIVE (SFXC). There are fifteen known mJy/sub-mJy radio sources in the target field defined by the primary beam size of a typical 30-m class EVN radio telescope. The source of particular interest is a recently identified high-redshift radio quasar: J222843.54+011032.2 (J2228+0110) at redshift z = 5.95. Our aim was to investigate the milli-arcsecond (mas) scale properties of all the VLBI-detectable sources within this primary beam area with a diameter of 20?. The source J2228+0110 was detected with VLBI with a brightness temperature Tb > 108 K, supporting the active galactic nucleus (AGN) origin of its radio emission, which is conclusive evidence that the source is a radio quasar. In addition, two other target sources were also detected, one of them with no redshift information. Their brightness temperature values (Tb > 107 K) measured with VLBI suggest a non-thermal synchrotron radiation origin for their radio emission. The detection rate of 20% is broadly consistent with other wide-field VLBI experiments carried out recently. We also derived the accurate equatorial coordinates of the three detected sources using the phase-referencing technique. This experiment is an early attempt of a wide-field science project with SFXC, paving the way for the EVN to conduct a large-scale VLBI survey in the multiple-phase-centre mode.","techniques: interferometric; radio continuum: galaxies; galaxies: active","en","journal article","EDP Sciences","","","","","","","","Aerospace Engineering","Space Engineering","","","",""
"uuid:06faecb4-6ed4-4a0f-ae9b-e7ba3dc9b5e0","http://resolver.tudelft.nl/uuid:06faecb4-6ed4-4a0f-ae9b-e7ba3dc9b5e0","Evaluation of a Linear Mixing Model to Retrieve Soil and Vegetation Temperatures of Land Targets","Yang, J.; Jia, L.; Cui, Y.; Zhou, J.; Menenti, M.","","2014","A simple linear mixing model of heterogeneous soil-vegetation system and retrieval of component temperatures from directional remote sensing measurements by inverting this model is evaluated in this paper using observations by a thermal camera. The thermal camera was used to obtain multi-angular TIR (Thermal Infra-Red) images over vegetable and orchard canopies. A whole thermal camera image was treated as a pixel of a satellite image to evaluate the model with the two-component system, i.e. soil and vegetation. The evaluation included two parts: evaluation of the linear mixing model and evaluation of the inversion of the model to retrieve component temperatures. For evaluation of the linear mixing model, the RMSE is 0.2 K between the observed and modelled brightness temperatures, which indicates that the linear mixing model works well under most conditions. For evaluation of the model inversion, the RMSE between the model retrieved and the observed vegetation temperatures is 1.6K, correspondingly, the RMSE between the observed and retrieved soil temperatures is 2.0K. According to the evaluation of the sensitivity of retrieved component temperatures on fractional cover, the linear mixing model gives more accurate retrieval accuracies for both soil and vegetation temperatures under intermediate fractional cover conditions.","","en","conference paper","IOP Press","","","","","","","","Civil Engineering and Geosciences","Geoscience & Remote Sensing","","","",""
"uuid:0f114826-35e9-4a2a-87eb-ffe948a55aaa","http://resolver.tudelft.nl/uuid:0f114826-35e9-4a2a-87eb-ffe948a55aaa","Coupled analysis of nonlinear sloshing and ship motions","Zhao, W.; Yang, J.; Hu, Z.; Tao, L.","","2014","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:efc4ff76-4a8f-4056-a8ed-63078b7d7a9c","http://resolver.tudelft.nl/uuid:efc4ff76-4a8f-4056-a8ed-63078b7d7a9c","Hydrodynamics of a 2D vessel including internal sloshing flows","Zhao, Wenhua; Yang, J.; Hu, Z.; Xiao, L.; Tao, L.","","2014","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:1b9463b0-25d4-4654-a09a-a9646d916d69","http://resolver.tudelft.nl/uuid:1b9463b0-25d4-4654-a09a-a9646d916d69","An experimental investigation on wave runup along the broadside of a single point moored FPSO exposed to oblique waves","Xiao, Longfei; Tao, Longbin; Yang, Jianmin; Li, Xin","","2014","","offshore","","journal article","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","","","",""
"uuid:5aacf100-5f6e-4b8c-aafd-14b58b5a7a6f","http://resolver.tudelft.nl/uuid:5aacf100-5f6e-4b8c-aafd-14b58b5a7a6f","Numerical analysis of added resistance on ships in short waves","Seo, Min-Guk; Yang, Kyung-Kyu; Park, Dong-Min; Kim, Yonghwan","","2014","","hydrodynamics; Added; resistance","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:d168a0e7-aa62-4d05-a615-a5a18dc1ec57","http://resolver.tudelft.nl/uuid:d168a0e7-aa62-4d05-a615-a5a18dc1ec57","LES-based numerical analysis of surface-pressure fluctuations and unsteady thrust of a marine propeller","Tian, J.; Yang, H.; Zhang, Z.; Yuan, G.; Rao, Z.; Hua, H.","","2014","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:3b76fff1-234a-4bb1-b31f-415fdd9a866d","http://resolver.tudelft.nl/uuid:3b76fff1-234a-4bb1-b31f-415fdd9a866d","Instability analyses of a top-tensioned riser under combined vortex and multi-frequency parametric excitations","Yang, Hezhen; Xiao, Fei","","2014","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:b89329b6-1d91-4a36-a45b-2ab803d3ca86","http://resolver.tudelft.nl/uuid:b89329b6-1d91-4a36-a45b-2ab803d3ca86","Constrained maximum flow in stochastic networks","Kuipers, F.A. (TU Delft Network Architectures and Services); Yang, S. (TU Delft Network Architectures and Services); Trajanovski, S. (TU Delft Network Architectures and Services); Orda, A (TU Delft Network Architectures and Services)","Kaur, J (editor)","2014","","","en","conference paper","IEEE Society","","","","","","","","","","Network Architectures and Services","","",""
"uuid:9afb526b-cd59-4e95-9e0b-7fb8d75da53b","http://resolver.tudelft.nl/uuid:9afb526b-cd59-4e95-9e0b-7fb8d75da53b","Numerical simulation of impact noise generated at the railway insulated joint","Yang, Z. (TU Delft Railway Engineering); Rahimi, S. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","Sas, P (editor); Denayer, H (editor); Moens, D (editor)","2014","This paper presents a full finite element (FE) model of wheel-track interaction to study the wheel-rail impact noise excited by an insulated joint (IJ). The integration is performed in the time domain with an explicit central difference scheme. The vibratory behaviour of the track and wheel model are respectively validated with hammer test and Axle Box Acceleration (ABA) measurement. By making use of the calculated velocities and pressures on the vibrating surfaces, the boundary element method (BEM) based on Helmholtz equation is adopted to transform the vibrations of the wheel-track into acoustic signals. The decay rate of impact noise at different frequency bands during propagation are analysed. The predictions of total impact noise radiation and the noise contributions of different track components are in good agreement with results reported in the literature, while the effective frequency range is successfully extended from 5 kHz to 10 kHz.","Conf.proc. > 3 pag","en","conference paper","Katholieke Universiteit Leuven Department of Mechanical Engineering","","","","","Accepted Author Manuscript","","","","","Railway Engineering","","",""
"uuid:59490c1a-0f82-4570-856f-4bc6ec094c32","http://resolver.tudelft.nl/uuid:59490c1a-0f82-4570-856f-4bc6ec094c32","Traffic uncertainty models in network planning","Yang, S. (TU Delft Network Architectures and Services); Kuipers, F.A. (TU Delft Network Architectures and Services)","","2014","","","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:5f715e73-7daf-44ba-a84d-dfafcf83333a","http://resolver.tudelft.nl/uuid:5f715e73-7daf-44ba-a84d-dfafcf83333a","Availability-based path selection","Yang, S. (TU Delft Network Architectures and Services); Trajanovski, S. (TU Delft Network Architectures and Services); Kuipers, F.A. (TU Delft Network Architectures and Services)","Rak, J (editor)","2014","","","en","conference paper","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:d8d04c29-0f56-4a50-914d-63daad06d115","http://resolver.tudelft.nl/uuid:d8d04c29-0f56-4a50-914d-63daad06d115","Uncertainties in different level assessments of domestic ventilation systems","Bokel, R.M.J.; Yang, Z.; Cauberg, J.J.M.","","2013","In order to improve the quality of ventilation systems, assessments are widely used. In this paper, 3 main assessment levels are distinguished based on the number of ventilation systems to be assessed and the assessment objective. The main assessment levels distinguished in this paper are global level (the assessment concerns a set of ventilation systems within a country or region), project level (the assessment concerns a set of ventilation projects within a housing project) and design level (the assessment concerns one ventilation system in a housing project for different environments and different types of occupants). Uncertainties should be considered and dealt with in assessments of domestic ventilation systems. The uncertainties that determine the in use performance of a domestic ventilation system are present in four aspects, ventilation components, building properties, outdoor environment and occupants. The structure of the uncertainties in an assessment is further studied starting from two types of uncertainties (reducible and irreducible) to two levels of uncertainty data (basic level and mixed level data). Two different methods how to deal with different assessment levels and their uncertainties are subsequently discussed as well as how to choose a method for a specific assessment level. The methods that are developed are the accurate method and the prototype method. Finally, a brief demonstration of the described concepts and methods is given.","assessment; uncertainties; ventilation","en","conference paper","AIVC","","","","","","","","Architecture and The Built Environment","Architecture Engineering and Technology","","","",""
"uuid:17530165-6501-4dbd-82a0-64a12f1f5f64","http://resolver.tudelft.nl/uuid:17530165-6501-4dbd-82a0-64a12f1f5f64","An explicit integration finite element method for impact noise generation at squat","Yang, Z.; Li, Z.; Dollevoet, R.P.B.J.","","2013","This paper presents a full finite element (FE) interaction model of wheel-track to study the wheel-rail impact noise caused by squat. The wheel, the rail and some other track components are modeled with finite elements in three dimensions, where necessary and appropriate. Realistic contact geometry, including geometric irregularity (squat) in the contact surfaces is considered. The integration is performed in the time domain with an explicit central difference scheme. For convergence, the Courant time step condition is enforced, which, together with the detailed modeling of the structural and continuum of the wheel-track system, effectively guarantees that vibration frequency of 10 kHz or higher is reproduced. By making use of the calculated velocities and pressures on the vibrating surfaces, the boundary element method (BEM) based on Helmholtz equation is adopted to transform the vibration of the track into acoustic signal.","","en","conference paper","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:4590b1fc-6f44-4297-9e0f-04bac4c29334","http://resolver.tudelft.nl/uuid:4590b1fc-6f44-4297-9e0f-04bac4c29334","Advanced Retrieval Models for Web Image Search","Yang, L.","Hanjalic, A. (promotor); Lagendijk, R.L. (promotor)","2013","","image retrieval","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Department of Intelligent Systems","","","",""
"uuid:3e3d348c-fdc2-4646-8a59-954ad70f7dea","http://resolver.tudelft.nl/uuid:3e3d348c-fdc2-4646-8a59-954ad70f7dea","Groundwater–surface water interactions, vegetation dependencies and implications for water resources management in the semi-arid Hailiutu River catchment, China – a synthesis","Zhou, Y.; Wenninger, J.; Yang, Z.; Yin, L.; Huang, J.; Hou, L.; Wang, X.; Zhang, D.; Uhlenbrook, S.","","2013","During the last decades, large-scale land use changes took place in the Hailiutu River catchment, a semi-arid area in northwest China. These changes had significant impacts on the water resources in the area. Insights into groundwater and surface water interactions and vegetation-water dependencies help to understand these impacts and formulate sustainable water resources management policies. In this study, groundwater and surface water interactions were identified using the baseflow index at the catchment scale, and hydraulic and water temperature methods as well as event hydrograph separation techniques at the sub-catchment scale. The results show that almost 90% of the river discharge consists of groundwater. Vegetation dependencies on groundwater were analysed from the relationship between the Normalized Difference Vegetation Index (NDVI) and groundwater depth at the catchment scale and along an ecohydrogeological cross-section, and by measuring the sap flow of different plants, soil water contents and groundwater levels at different research sites. The results show that all vegetation types, i.e. trees (willow (Salix matsudana) and poplar (Populus simonii), bushes (salix – Salix psammophila), and agricultural crops (maize – Zea mays)), depend largely on groundwater as the source for transpiration. The comparative analysis indicates that maize crops use the largest amount of water, followed by poplar trees, salix bushes, and willow trees. For sustainable water use with the objective of satisfying the water demand for socio-economical development and to prevent desertification and ecological impacts on streams, more water-use-efficient crops such as sorghum, barley or millet should be promoted to reduce the consumptive water use. Willow trees should be used as wind-breaks in croplands and along roads, and drought-resistant and less water-use intensive plants (for instance native bushes) should be used to vegetate sand dunes.","","en","journal article","European Geosciences Union (EGU)","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:ebf781d1-2ab9-492c-b19d-d927466620df","http://resolver.tudelft.nl/uuid:ebf781d1-2ab9-492c-b19d-d927466620df","The effect of grain size on the mechanical properties and the oxidation induced crack healing efficiency of CR2ALC ceramic","Duan, X.; Jia, D.; Yang, Z.; Zhou, Y.; Ouyang, J.; Sloof, W.G.; Van der Zwaag, S.","","2013","Abstract only.","Cr2AlC ceramic; grain size; mechanical properties; self-healing","en","conference paper","","","","","","","","","","","","","",""
"uuid:8d013847-f09a-449d-8c7a-e818a0458ee5","http://resolver.tudelft.nl/uuid:8d013847-f09a-449d-8c7a-e818a0458ee5","Microstructure evolution of Cr2AlC ceramic before and after oxidation induced crack healing","Rao, J.; Jia, D.; Duan, X.; Yang, Z.; Zhou, Y.; Ouyang, J.; Sloof, W.G.; Van der Zwaag, S.","","2013","Abstract only.","Cr2AlC ceramic; self-healing; SEM; TEM","en","conference paper","","","","","","","","","","","","","",""
"uuid:41d8e001-12bb-4252-88f9-7152e7d6fb1b","http://resolver.tudelft.nl/uuid:41d8e001-12bb-4252-88f9-7152e7d6fb1b","Prospects for flux enhancement in anaerobic membrane bioreactors treating saline wastewater","Yang, J.","Van Lier, J.B. (promotor); Spanjers, H.L.M.F. (promotor)","2013","","wastewater treatment; membrane bioreactor (MBR); activated sludge; filterability; fouling; saline; coagulant; anaerobic","en","doctoral thesis","","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:5d007cd8-50ae-41d4-ac90-e36f6e9ccd54","http://resolver.tudelft.nl/uuid:5d007cd8-50ae-41d4-ac90-e36f6e9ccd54","Computational ship hydrodynamics: Nowadays and way forward","Stern, F.; Yang, J.; Wang, Z.; Sadat-Hosseini, H.","","2013","","hydrodynamics","","journal article","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:bae46a01-b6c2-4891-9c2c-82d19676f3ff","http://resolver.tudelft.nl/uuid:bae46a01-b6c2-4891-9c2c-82d19676f3ff","Performance of Counter Flow Heat Recovery Ventilation Systems in Dwellings Considering the Influence of Uncertainties","Yang, Z.; Cauberg, J.J.M.; Tenpierik, M.J.","","2012","Both critical and optimistic claims have been made regarding the performance of heat recovery ventilation systems (HRVS) in dwellings. Such arguments are raised partly because two key aspects are not fully clarified, i.e. the performance criteria and the influence of uncertainties. In the current paper, an assessment method for HRVS considering the influence of uncertainties is described. This includes adequate assessment criteria, the method of identifying the uncertainties, and the method of addressing the influence of such uncertainties. The performance criteria consider the airflow performance, supply air quality and energy performance. Uncertainties in four aspects, including ventilation component, building properties, outdoor environment and occupant behaviour, are defined and related to five uncertainty sources ranging from the design phase to usage phase, i.e. design alternative, specification uncertainty, production deviation, modelling uncertainty and stochastic process. The estimation methods are given for each type of uncertainty based on the sources. Then, the method of carrying out the uncertainty analysis is introduced. This includes the calculation steps under a given commissioning status of the ventilation system, the uncertainty quantification techniques and the calculation steps. Afterwards, the method is applied to a case study of a counter flow heat recovery ventilation system in a reference Dutch house with the aid of simulations. Generally speaking, the method proposed in this article can provide an adequate framework for analysing or assessing the performance of HRVS in houses. As such it may contribute to a better understanding and a better design of this type of ventilation system.","assessment criteria; heat recovery ventilation; uncertainties; MVHR","en","journal article","Veetech Ltd","","","","","","","","Architecture","Building Technology","","","",""
"uuid:af309b26-1ab4-47bd-aee6-f285145d15ab","http://resolver.tudelft.nl/uuid:af309b26-1ab4-47bd-aee6-f285145d15ab","Method to assess the performance of domestic ventilation systems considering the influence of uncertainties","Yang, Z.","Cauberg, J.J.M. (promotor); Bokel, R.M.J. (promotor)","2012","The ventilation system plays an important role in the indoor environment of a domestic building. A ventilation system is normally designed based on the national or regional regulations. Although ventilation systems are designed based on the same design rules, performance deviations between different ventilation systems in different houses come into existence in two ways: 1) performance differences between the same types of ventilation systems, and 2) deviations between the actual and the designed performances of a ventilation system. Performance deviations are partly caused by uncertainties that exist in the design, construction and performance measurement stages of the ventilation systems. In order to quantify the influence of these uncertainties on the performance of a ventilation system, we aimed to develop a generally applicable assessment method. The development of this assessment method was based on several points: 1.) we focused on the air flow rate required to meet the ventilation regulations to define the assessment criteria; 2) a transient rather than a steady-state perspective was used for the assessment method; 3) the uncertainties in the whole development process of a ventilation system were investigated; 4) it was aimed at exploring how the performance of the ventilation system will be with certain specified occupant behaviour rather than at predicting how the occupant will behave; 5) different levels, i.e. scales, of assessment exist when dealing with assessment of ventilation systems, and we developed different assessment approaches for these different levels. The main outcome of this research, i.e. the assessment method, consists of three main parts: 1) the methods used to define the assessment criteria for domestic ventilation systems; 2) the methods used to identify and estimate the uncertainties in the input parameters for calculation models used to assess domestic ventilation systems; and 3) the uncertainty quantification techniques used to analyse the uncertainties and the steps required to carry out the calculations. Assessment criteria Generally, it was suggested that the assessment criteria, i.e. the performance criteria of a domestic ventilation system, should be defined based on the required performance specified in the regulations, because these systems are normally designed according to these regulations. A review on ventilation standards in four countries was carried out. Based on this review, the generic performance parameters were defined for three main performance aspects: indoor air quality, energy consumption and comfort. Performance indicators were also given with the characteristics and appropriateness of each indicator. Identification and estimation of uncertainties Four aspects may influence the performance of a ventilation system: the ventilation components, building components, outdoor environment and occupants. The parameters that may contain uncertainties in each of the four aspect were first investigated, i.e. the design parameters used to describe the design and the input parameters used as input for the calculation model. Then, the methods to identify the design parameters and input parameters and the typical design parameters and input parameters for each aspect were discussed and defined. All three stages – the design stage, construction stage and performance measurement stage – can result in uncertainties in the input parameters. The uncertainty sources which may result in the uncertainties in these three stages were investigated and five sources were defined. Next, the structure of the uncertainties in an input parameter was discussed, i.e. how these five uncertainty sources constitute the uncertainties in an input parameter. Another issue is the scale of the assessment, i.e. the number of ventilation systems being assessed. Three levels of assessment were defined. Different levels of uncertainty data and estimation approaches for the uncertainty data should be used for different levels of assessment. Finally, the uncertainties in the typical input parameters were discussed and estimated based on the information we found. Methods to carry out the uncertainty quantification analysis Two issues had to be addressed to carry out the uncertainty quantification analysis: 1) the uncertainty quantification techniques; and 2) the steps to prepare and pre-treat the uncertainty dataset used for uncertainty quantification analysis. Several techniques for uncertainty propagation and sensitivity analysis were studied and compared. The Monte-Carlo simulation method coupled with Latin Hypercube Sampling was proposed to be used for conducting uncertainty propagation, while the One-Factor-at-A-Time method and the Morris Factorial Sampling method were proposed to be used for conducting the sensitivity analyses. Three main issues were discussed regarding preparation and pre-treatment of the uncertainty dataset: 1) how to prepare the calculation uncertainty datasheets ; 2) how to select the uncertainty techniques and set of uncertainties for different analysis purpose; 3) how to treat the uncertainties for different commissioning statuses. Demonstration of the application of the assessment method Finally the methods introduced in chapters 2, 3 and 4 were demonstrated with two case studies. These cases included two types of ventilation system: 1) a mechanical exhaust with natural supply system (MENSS); and 2) a balanced ventilation with heat recovery system (BVHRS). The case studies showed that the proposed methods can act as a framework for the assessment of the performance of a domestic ventilation system considering the influence of uncertainties.","Uncertainties; Ventilation; Assessment","en","doctoral thesis","TU Delft Library","","","","","","","","Architecture","Building Technology","","","",""
"uuid:5c406756-cf5c-417a-929e-bd503ea95381","http://resolver.tudelft.nl/uuid:5c406756-cf5c-417a-929e-bd503ea95381","Hydrogen storage in metal organic frameworks","Yang, J.","Dingemans, T.J. (promotor); Mulder, F.M. (promotor)","2012","","","en","doctoral thesis","","","","","","","","","Applied Sciences","Chemical Engineering","","","",""
"uuid:c0989ed5-a5dd-4220-a714-d3f1512c4b5a","http://resolver.tudelft.nl/uuid:c0989ed5-a5dd-4220-a714-d3f1512c4b5a","Hydrological Changes of the Irtysh River and the Possible Causes","Huang, F.; Xia, Z.; Li, F.; Guo, L.; Yang, F.","","2012","Hydrological changes of the Irtysh River were analyzed concerning the changes of annual runoff and its distribution features within a year measured by coefficient of variation and concentration degree. Abrupt changes were detected by the heuristic segmentation method. Possible causes of the hydrological changes were investigated considering climate changes and human activities (especially the reservoir operation). The Mann-Kendall method was applied to estimate whether the temperature and precipitation was changed. The increased precipitation in winter may increase the runoff of April. The increased temperature and the decreased precipitation in the flood season may decrease the runoff. At the middle reaches, the impact of the reservoirs at the upper reaches is significant and may be the main factor leading to the abrupt decreases in annual runoff and its intra-annual variability and concentration. The increased water surface area of the reservoirs aggravates the evaporation and leads to annual runoff reduction. The reservoirs regulate runoff by storing water in the flood season and releasing water in the dry season. While at the lower reaches, the annual runoff remained steady and its intra-annual variation and concentration were reduced gradually because the impact of the reservoirs is relative small and the climatic impact may be more relevant.","hydrological change; climate change; reservoir operation; The Irtysh River","en","journal article","Springer","","","","","","","","Civil Engineering and Geosciences","Hydraulic Engineering","","","",""
"uuid:ad63e5be-ae1e-4528-9354-e136c946e2fa","http://resolver.tudelft.nl/uuid:ad63e5be-ae1e-4528-9354-e136c946e2fa","Slag Treatment Followed by Acid Leaching as a Route to Solar-Grade Silicon","Meteleva-Fischer, Y.V.; Yang, Y.; Boom, R.; Kraaijveld, B.; Kuntzel, H.","","2012","Refining of metallurgical-grade silicon was studied using a process sequence of slag treatment, controlled cooling, and acid leaching. A slag of the Na2O-CaO-SiO2 system was used. The microstructure of grain boundaries in the treated silicon showed enhanced segregation of impurities, and the formation of CaSi2 and other Ca-rich phases. Boron and phosphorus were found in the grain boundary phases of silicon after the slag treatment and were successfully removed together with most of the metallic impurities by acid leaching. The interaction between silicon and slag and the distribution of impurities are discussed. A novel mechanism of the refining approach is proposed, based on the microstructure of silicon and the analysis of impurities at each refining step. Parallel processes of slag refining, segregation, and solvent refining were observed, which explains the relatively high efficiency of the proposed refining technology. The investigated combination of refining processes followed by acid leaching has great potential as an efficient and cost-saving route for upgrading metallurgical-grade to solar-grade silicon.","","en","journal article","Springer-Verlag","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Innovation Institute","","","",""
"uuid:40121461-6311-417c-935a-8766db68e7d3","http://resolver.tudelft.nl/uuid:40121461-6311-417c-935a-8766db68e7d3","Impairment-Aware Routing in Translucent Spectrum-Sliced Elastic Optical Path Networks","Yang, S.; Kuipers, F.","","2012","Spectrum-sliced elastic optical path (SLICE) technology offers a more flexible bandwidth allocation in optical networks than wavelength division multiplexing. It allows different connections to be served via different modulation formats. However, as with any optical network, the optical signal may be susceptible to signal impairments, especially when the signal traverses over long distances. The degree of impairment may differ per modulation type, but in any case must be taken into account. If impairment levels get too high, the signal needs to be regenerated by regenerators placed selectively (due to cost considerations) inside the network. In this paper we study the impairment-aware dynamic routing and subcarrier allocation problem in translucent SLICE networks. We propose an impairment-aware routing algorithm that tries to balance traffic flows evenly across the network to reduce the blocking probability. We consider two cases, namely (1) a modulation will be selected that is used by the entire connection, and (2) the modulation can be","","en","conference paper","Institute of Electrical and Electronics Engineers ( IEEE )","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Network Architectures and Services (NAS) Group","","","",""
"uuid:3d86ae9e-5822-4721-94ed-8ba02ca1e27b","http://resolver.tudelft.nl/uuid:3d86ae9e-5822-4721-94ed-8ba02ca1e27b","Measurements of Quasiparticle Tunneling Dynamics in a Band-Gap-Engineered Transmon Qubit","Sun, L.; Di Carlo, L.; Reed, M.D.; Catelani, G.; Bishop, L.S.; Schuster, D.I.; Johnson, B.R.; Yang, G.A.; Frunzio, L.; Glazman, L.; Devoret, M.H.; Schoelkopf, R.J.","","2012","We have engineered the band gap profile of transmon qubits by combining oxygen-doped Al for tunnel junction electrodes and clean Al as quasiparticle traps to investigate energy relaxation due to quasiparticle tunneling. The relaxation time T1 of the qubits is shown to be insensitive to this band gap engineering. Operating at relatively low-EJ/EC makes the transmon transition frequency distinctly dependent on the charge parity, allowing us to detect the quasiparticles tunneling across the qubit junction. Quasiparticle kinetics have been studied by monitoring the frequency switching due to even-odd parity change in real time. It shows the switching time is faster than 10???s, indicating quasiparticle-induced relaxation has to be reduced to achieve T1 much longer than 100???s.","","en","journal article","American Physical Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:97743381-042e-4495-ad7c-bee12068ff3d","http://resolver.tudelft.nl/uuid:97743381-042e-4495-ad7c-bee12068ff3d","Recycling of Aluminum from Fibre Metal Laminates","Zhu, G.; Xiao, Y.; Yang, Y.; Wang, J.; Sun, B.; Boom, R.","","2012","Recycling of aluminum alloy scrap obtained from delaminated fibre metal laminates (FMLs) was studied through high temperature refining in the presence of a salt flux. The aluminum alloy scrap contains approximately mass fraction w(Cu) = 4.4%, w(Mg) = 1.1% and w(Mn) = 0.6% (2024 aluminum alloy). The main objective of this research is to obtain a high metal yield, while maintaining its original alloy compositions. The work focuses on the metal yield and quality of recycled Al alloy under different refining conditions. The NaCl-KCl salt system was selected as the major components of flux in the Al alloy recycling. Two different flux compositions were employed at NaCl to KCl mass ratios of 44:56 and 70:30 respectively, based on either the eutectic composition, or the European preference. Different additives were introduced into the NaCl-KCl system to study the effect of flux component on recycling result. Although burning and oxidation loss of the alloying elements during re-melting and refining take place as the drawbacks of conventional refining process, the problems can be solved to a large extent by using an appropriate salt flux. Experimental results indicate that Mg in the alloy gets lost when adding cryolite in the NaCl-KCl salt system, though the metal yield can reach as high as 98%. However, by adding w(MgF2) = 5% into the NaCl-KCl salt system (instead of using cryolite) all alloying elements were well controlled to its original composition with a metal yield of almost 98%.","Al-Cu-Mg alloy, 2024 Al, recycling, cryolite, salt flux","en","journal article","Springer-Verlag","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:670a4d48-1736-409a-9847-8c077fa29bf8","http://resolver.tudelft.nl/uuid:670a4d48-1736-409a-9847-8c077fa29bf8","Degradation Behavior of Epoxy Resins in Fibre Metal Laminates Under Thermal Conditions","Zhu, G.; Xiao, Y.; Yang, Y.; Wang, J.; Sun, B.; Boom, R.","","2012","GLARE (glass fibre/epoxy reinforced aluminum laminate) is a member of the fiber metal laminate (FML) family, and is built up of alternating metal and fiber layers. About 500m2 GLARE is employed in each Airbus A380 because of the superior mechanical properties over the monolithic aluminum alloys, such as weight reduction, improved damage tolerance and higher ultimate tensile strength. Many tons of new GLARE scraps have been accumulated during the Airbus A380 manufacturing. Moreover, with the increasing plane orders of Airbus A380, more and more end-of-life (EOL) GLARE scrap will be generated after retire of planes within forty years. Thermal processing is a potential method for the material recycling and re-use from GLARE with the aim of environmental protection and economic benefits. The current study indicatdes that thermal delamination is a crucial pre-treatment step for the GLARE recycling. The decomposition behavior of the epoxy resins at elevated temperatures was investigated by using the simultaneous thermal analysis, thermogravimetry analysis (TGA) and differential scanning calorimetry (DSC). Based on the thermal analysis results, GLARE thermal delamination experiments at refined temperatures were carried out to optimize the treatment temperature and holding time.","fibre metal laminates, GLARE (glass fibre/epoxy reinforced aluminum laminate), recycling, decomposition kinetics, thermal degradation","en","journal article","Springer-Verlag","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:be4c363b-edb6-4693-b031-6988b9412496","http://resolver.tudelft.nl/uuid:be4c363b-edb6-4693-b031-6988b9412496","Method for determining a spring constant for a deformable scanning probe microscope element, and scanning probe microscope and calibration device arranged for determining a spring constant for a probe element","Sadeghian, H.; Yang, C.K.; Bossche, A.; French, P.J.; Goosen, J.F.L.; Van Keulen, A.","","2012","A method for determining a spring constant k for a deformable probe element (102) of a scanning probe microscope SPM (100). The probe (102) has an outer surface area consisting of a tip area (112) on a first probe side (108) and a tip-less area (113). The probe (102) also has a probe electrode (114) and a scanning probe tip (104) in the tip area (112). The method comprises: providing an actuation electrode (116) that is spatially separated from the probe (102); adjusting a potential difference V applied between the probe electrode (114) and the actuation electrode (116); deflecting the probe (102) into a contacted state of the actuation electrode (116) with only a contact portion of the tip-less probe area (113); measuring an EPI-potential difference Vpi between probe electrode (114) and actuation electrode (116), and deriving the spring constant k, based on the EPI-potential difference Vpi. Furthermore, an SPM (100) and calibration device with this method functionality are provided.","","en","patent","European Patent Office","","","","","","","","Delft University of Technology","","","","",""
"uuid:1cc90b24-6c35-4fe8-9c0f-6fdffc12c10d","http://resolver.tudelft.nl/uuid:1cc90b24-6c35-4fe8-9c0f-6fdffc12c10d","From MEMS to NEMS: Scaling Cantilever Sensors","Yang, C.K.","French, P.J. (promotor)","2012","This thesis studies the effects of scaling on the characterisation and readout of micro-electro mechanical systems (MEMS) to nano-electro mechanical systems (NEMS). In particular it focuses on cantilever, which is a basic device building block and an important transducer in many sensing applications. This thesis presents an overview of major scaling effects and discusses their scaling factors. It includes scaling analysis on physical property aspects such as quality factor, Young’s modulus, thermal noise and elastic non-linearity. It also includes scaling analysis on practical aspects such as sensitivity, mass-stiffness decoupling and surface contamination. Furthermore, it studies the scaling of different cantilever detection techniques, in particular the laser deflection, hard contact, field emission and piezoresistive sensing techniques. Down-scaling a cantilever sensor is an intuitive way of increasing its sensitivity and responsiveness. However the advantages gained must be weighed against other effects which also became significant due to the scaling. For this reason, this thesis provides the necessary information, analysis and methods that help assessing the advantages and disadvantages of scaling.","MEMS; NEMS; cantilever; scaling","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:b1819890-fdf3-417a-8b0e-d95f8432fcf7","http://resolver.tudelft.nl/uuid:b1819890-fdf3-417a-8b0e-d95f8432fcf7","A feasibility study of anticorrosion applications of modified hydrotalcites in reinforced concrete","Yang, Z.; Fischer, H.; Polder, R.B.","","2012","A carbonate form of Mg-Al-hydrotalcite with Mg/Al =2 and its p-aminobenzoate (pAB) modified derivative were synthesized and characterized by means of XRD, IR and TG/DSC. Mg(2)Al-CO3 was prepared by a coprecipitation method and was subsequently modified by pAB through the calcination-rehydration technique. The results from the relevant characterizations combined with total organic carbon (TOC) analysis further confirmed that pAB anions were successfully intercalated into the interlayer space of the hydrotalcite. The anticorrosion behavior of Mg(2)Al-pAB was evaluated on the basis of open circuit potential (OCP) monitoring of carbon steel in simulated concrete pore solution at pH 13 contaminated with chloride. The preliminary results from this study demonstrated that ion-exchange indeed occurred between free chloride ions in simulated concrete pore solution and the intercalated pAB anions in Mg(2)Al-pAB structure. The simultaneously released pAB anions were found to exhibit the envisaged inhibiting effect and cause a shift of corrosion initiation of the steel to higher chloride concentrations than without the modified hydrotalcite.","","en","conference paper","RILEM Publications SARL","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:40e1a8b5-539a-49ae-bc4a-ef40c82df0d0","http://resolver.tudelft.nl/uuid:40e1a8b5-539a-49ae-bc4a-ef40c82df0d0","Transport Properties of Carbon-Nanotube/Cement Composites","Han, B.; Yang, Z.; Shi, X.; Yu, X.","","2012","This paper preliminarily investigates the general transport properties (i.e., water sorptivity, water permeability, and gas permeability) of carbon-nanotube/cement composites. Carboxyl multi-walled carbon nanotubes (MWNTs) are dispersed into cement mortar to fabricate the carbon nanotubes (CNTs) reinforced cement-based composites by applying ultrasonic energy in combination with the use of surfactants (sodium dodecylbenzene sulfonate and sodium dodecyl sulfate). Experimental results indicate that even at a very small dosage the addition of MWNTs can help decrease water sorptivity coefficient, water permeability coefficient, and gas permeability coefficient of cement mortar, which suggests that CNTs can effectively improve the durability properties of cement-based composites.","carbon nanotubes; cement-based composites; durability; permeability; water sorptivity","en","journal article","ASM International","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:05027aa3-9536-4f05-a703-9fe0496333c6","http://resolver.tudelft.nl/uuid:05027aa3-9536-4f05-a703-9fe0496333c6","Air quality over the Canadian oil sands: A first assessment using satellite observations","McLinden, C.A.; Fioletov, V.; Boersma, K.F.; Krotkov, N.; Sioris, C.E.; Veefkind, J.P.; Yang, K.","","2012","Results from the first assessment of air quality over the Canadian oil sands–one of the largest industrial undertakings in human history–using satellite remote sensing observations of two pollutants, nitrogen dioxide (NO2) and sulfur dioxide (SO2), are presented. High-resolution maps were created that revealed distinct enhancements in both species over an area (roughly 30 km × 50 km) of intensive surface mining at scales of a few kilometers. The magnitude of these enhancements, quantified in terms of total mass, are comparable to the largest seen in Canada from individual sources. The rate of increase in NO2between 2005 and 2010 was assessed at 10.4 ± 3.5%/year and resulted from increases both in local values as well as the spatial extent of the enhancement. This is broadly consistent with both surface-measurement trends and increases in annual bitumen production. An increase in SO2 was also found, but given larger uncertainties, it is not statistically significant.","NO2; SO2; oil sands; remote sensing","en","journal article","American Geophysical Union","","","","","","","","Civil Engineering and Geosciences","Geoscience & Remote Sensing","","","",""
"uuid:4268a0bb-26c3-464c-a9fe-796ddd5b58ab","http://resolver.tudelft.nl/uuid:4268a0bb-26c3-464c-a9fe-796ddd5b58ab","The causes of flow regime shifts in the semi-arid Hailiutu River, Northwest China","Yang, Z.; Zhou, Y.; Wenninger, J.; Uhlenbrook, S.","","2012","Identifying the causes (climate vs. human Identifying the causes (climate vs. human activities) for hydrological variability is a major challenge in hydrology. This paper examines the flow regime shifts, changes in the climatic variables such as precipitation, evaporation, temperature, and crop area in the semi-arid Hailiutu catchment in the middle section of the Yellow River by performing several statistical analyses. The Pettitt test, cumulative sum charts (CUSUM), regime shift index (RSI) method, and harmonic analysis were carried out on annual, monthly, and daily discharges. Four major shifts in the flow regime have been detected in 1968, 1986, 1992 and 2001. Characteristics of the flow regime were analyzed in the five periods: 1957–1967, 1968–1985, 1986–1991, 1992–2000, and 2001–2007. From 1957 to 1967, the flow regime reflects quasi natural conditions of the high variability and larger amplitude of 6 months periodic fluctuations. The river peak flow was reduced by the construction of two reservoirs in the period 1968–1985. In the period of 1986–1991, the river discharge further decreased due to the combined influence of river diversions and increase of groundwater extractions for irrigation. In the fourth period of 1992–2000, the river discharge reached lowest flow and variation in corresponding to a large increase in crop area. The flow regime recovered, but not yet to natural status in the fifth period of 2001–2007. Climatic factors are found not likely responsible for the changes in the flow regime, but the changes in the flow regime are corresponding well to historical land use policy changes.","","en","journal article","Copernicus, GmbH","","","","","","","","Civil Engineering and Geosciences","Water Management","","","",""
"uuid:ea565073-02c4-42e9-ba9c-3f89fa819e57","http://resolver.tudelft.nl/uuid:ea565073-02c4-42e9-ba9c-3f89fa819e57","A simple verification test for nonlinear flow calculations around a ship hull steadily advancing in calm water","Noblesse, Francis; Wang, Lijue; Yang, Chi","","2012","","hydrodynamics","","journal article","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:aea00432-21f0-4606-ac01-bc18ca7f34e7","http://resolver.tudelft.nl/uuid:aea00432-21f0-4606-ac01-bc18ca7f34e7","A math-based design-for-produceability evaluation of titanium applications in ship hull structures","Dong, P.; Nie, C.; Yang, X.; Song, S.; DeCan, L.","","2012","","ship hydromechanics and structures","","report","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","","","",""
"uuid:2eed0aef-541c-4b21-8cd7-c3c5a56e4354","http://resolver.tudelft.nl/uuid:2eed0aef-541c-4b21-8cd7-c3c5a56e4354","Impairment-aware routing in translucent spectrum-sliced elastic optical path networks","Yang, S. (TU Delft Network Architectures and Services); Kuipers, F.A. (TU Delft Network Architectures and Services)","Masip-Bruin, X (editor); Marin-Tordera et al, E (editor)","2012","","","en","conference paper","IEEE Society","","","","","","","","","","Network Architectures and Services","","",""
"uuid:bf6a0554-aaa8-4e6d-bfe7-d1eba47f76e4","http://resolver.tudelft.nl/uuid:bf6a0554-aaa8-4e6d-bfe7-d1eba47f76e4","UWB Antennas and MIMO Antenna Arrays Development for Near-Field Imaging","Yang, Y.","Yarovoy, A.G. (promotor)","2011","UWB radar is the most promising radar system for the future. In addition, by combining the UWB and array signal processing, one can obtain 3-D images of the objects for classification and identification, which is very useful in many applications. To achieve high-resolution real-time 3-D imaging radar, two essential items are missing in the current technology: dedicated antenna systems for sparse C-scan acquisition and fast high-quality imaging algorithms. In this thesis we have focused on the development of dedicated sparse antenna systems, including the sparse array topology development and antenna element development, while imaging algorithm is out of scope of this research. The main conclusions and their novelties are presented as follows: 1. Novel microwave ASP antenna: In this thesis a novel ASP antenna working from 10 GHz to 18 GHz has been developed. This antenna is aimed to be used for the time-domain UWB imaging array. The analysis demonstrated that the antenna not only has sufficient -10 dB impedance bandwidth from 9.95 GHz to 20 GHz, but also has good radiation characteristic within the impedance bandwidth. The antenna has gain of 5 to 10 dBi. The FBR is larger than 10 dB, and the -3 dB beamwidth is about ±30° is both E- and H-plane. The antenna has about 100 ps group delay and the impulse response has 1/e pulse width of about 200 ps. The analysis of radiated pulse distortion with respect to angle demonstrated that the pulse is very similar within the -3 dB beamwidth. This demonstrated that the antenna has small distortion and short after-time ringing, which makes the antenna suitable for time-domain application. The antenna coupling behavior analysis showed that the coupling between elements is small. Therefore, no severe performance degradation was expected when this antenna operates in the sparse MIMO array environment. 2. Investigation of LTCC technology: LTCC technology has been selected to manufacture mm-wave antennas to be integrated with MMICs. The multilayer nature of the LTCC technology makes it suitable for system-in-package. However, LTCC technology is a relatively new and not-yet standardized technology and LTCC material normally possesses high dielectric constant, which makes the design of UWB antenna difficult. As a result, we have investigated the properties of LTCC material and its impact on UWB antenna design. We have also explored the manufacturing limitations of the LTCC technology, and proposed solutions to overcome these limitations. The LTCC processing variations have also been studied. It reveals that the variation of substrate height has significant influence to the antenna resonance frequency, while the variation of relative permittivity has very small impact on the antenna reflection coefficient. 3. K-band LTCC antenna: A novel K-band antenna using the LTCC technology has been developed. This antenna is a differentially-fed, multi-staircase shielded elliptical dipole UWB antenna. The antenna has a novel differential feeding which enables it to be directly integrated with differential MMICs. The multi-staircase shield reduces the antenna back radiation and improves the antenna forward radiation, while keeping the antenna impedance bandwidth large. The antenna has a -7.5 dB impedance bandwidth from 24 GHz to 30 GHz, with a gain of approximately 5 dBi to 7 dBi. Thanks to the presence of the shield, the antenna radiation patterns are stable within the operating bandwidth, and the 3 dB beamwidth at the E-plane is of about 60° and 30° at the H-plane. 4. M-band LTCC antenna: A novel differentially-fed UWB antenna working at M-band using LTCC technology has been developed. The antenna is based on ASP type of antenna with novel differential feeding structure. With this feeding structure the antenna can achieve a -10 dB impedance bandwidth from 50 GHz to 78 GHz. The high dielectric constant of LTCC material induces severe surface wave which substantially degrade the antenna radiation characteristics. Although the multi-staircase shield proposed for the K-band antenna can solve this problem, it is far too complex to realize in the M-band. A novel simplified rectangular shield has been proposed to solve this. This shield does not have complex structure but can successfully confine the surface wave, thus improving the antenna radiation characteristics. The gain of the M-band antenna is from 3.5 dBi to 8 dBi from 50 GHz to 62 GHz, and the -10 dB beamwidth is at least from -45° to 45° for both E- and H-planes. 5. Element coupling investigation for imaging array: The influence of element coupling to the quality of image has been investigated. The antenna cross-talk does not pose severe threat to the image quality, because it can be eliminated by time-gating technique. The most influential coupling is the scattering coupling, which acquires fewer attentions in the antenna community. This type of coupling will alter the antenna receive sensitivity function. If at certain frequency the coupling is stronger than others, then the sensitivity function will have a spike at that frequency. This spike will cause long after-time ringing, masking small objects behind a large object. Another profound influence of scattering coupling to the image is that the scattering coupling will cause increase of sidelobe level, which increases the clutters. 6. 2-D sparse MIMO antenna array topology: Investigation demonstrated that MIMO array concept can achieve 2-D sparse real-aperture array for fine cross-range resolution and low sidelobe imaging system. The design of the 2-D MIMO array has been break down to two steps. The first step is to design a 1-D MIMO array with desired PSF properties. The next step is expand this 1-D MIMO array into 2-D array by firstly lay the designed 1-D array on two orthogonal axes, and then use the rotational 1-D array analysis to obtain the 2-D array. Two 2-D arrays based on the same 1-D array have been developed using this approach and manufactured. The measured results of small objects demonstrated that both arrays were capable to image small objects. It was also found that the sidelobe level is one of crucial specifications of the array, which should be specified by system designers in order to achieve proper performance of the whole imaging system. The 3-D imaging results proved high potential of using microwave 2-D UWB sparse MIMO array in real-time short-range high-resolution imaging applications.","UWB; antenna; antenna array; near-field imaging","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Telecommunications","","","",""
"uuid:b026b1d5-e92b-445d-b94c-ebf86f2021b8","http://resolver.tudelft.nl/uuid:b026b1d5-e92b-445d-b94c-ebf86f2021b8","Method to assess the performance of ventilation systems in dwellings considering the influence of uncertainties","Yang, Z.; Cauberg, J.J.M.; Tenpierik, M.J.","","2011","Normally, the design of a ventilation system in a dwelling is based on national regulations, related design rules, building tradition and general knowledge about healthy indoor air quality, ventilation and air handling units. In practice, the actual performance of ventilation systems is determined by ventilation components, building properties, outdoor environment and occupant behavior. Unspecified items in the design rules and uncontrollable items in the design stage will bring uncertainties which may cause the actual performance deviating from the designed performance. In this research, an assessment method considering the influence of such uncertainties is proposed and developed. First, the method for defining criteria for assessing the performance of ventilation systems in houses is described. The basic idea is that the criteria should be defined based on the national ventilation regulations. Then, the process for estimating the uncertainties in four aspects (including ventilation components, building properties, outdoor environment and occupant behavior) is introduced based on the definition of 5 main uncertainty sources. The relevant parameters in each aspect and the main uncertainty sources for each parameter are figured out. A point which may be interesting is that we propose to explore the reaction of the system performance to certain occupant behavior rather than predicting the occupant behavior pattern. Later on, the uncertainty analysis techniques including uncertainty propagation technique and sensitivity analysis technique are introduced as Monte-Carlo simulation (with Latin-hypercube sampling) and Morris factorial sampling respectively. The uncertainties in ventilation components, building properties and outdoor environment are involved in both uncertainty propagation and sensitivity analysis while the occupant behavior is only analyzed via a sensitivity analysis. A pilot case study using the described method is given afterwards. The conclusions are that there is a necessity to integrate the influence of uncertainties in the assessment of ventilation systems in houses and that the introduced method could give a useful framework and approach for such assessment.","uncertainties; performance assessment; ventilation system","en","conference paper","","","","","","","","","Architecture","Building Technology","","","",""
"uuid:bfa41e82-9415-4c5b-983a-eade38f6acac","http://resolver.tudelft.nl/uuid:bfa41e82-9415-4c5b-983a-eade38f6acac","In situ study of hydrogen silsesquioxane dissolution rate in salty and electrochemical developers","Harry, K.J.; Strobel, S..; Yang, J.K.W.; Duan, H.; Berggren, K.K.","","2011","In order to better characterize the development of the electron-beam resist hydrogen silsesquioxane (HSQ), the authors used a quartz crystal microbalance (QCM) to study its rate of dissolution in situ. The authors determined the effect of both salt concentration and applied electric potential on the development rate of HSQ. The development rates were measured by spinning HSQ directly onto a quartz crystal resonator, and then developing in a QCM microfluidic module. In order to more directly observe the effect of electric potentials on the HSQ development rate, a film of HSQ was partially cross-linked in an O2 plasma asher and then developed in the QCM flow module with a salt-free NaOH solution. As the partially cross-linked HSQ slowly developed, electric potentials were applied and removed from the crystal allowing the observation of how the development rate increased upon the application of a positive electric potential. The increased development rate caused by both the addition of salt ions and a positive electric potential suggests that the rate may be limited by a build-up of negative charge on the HSQ.","dissolving; electrochemistry; electron resists,; microfluidics; nanolithography; organic compounds; sputter etching; thin films","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:72452cf7-a4d5-4dee-8701-b32ebc2fe90b","http://resolver.tudelft.nl/uuid:72452cf7-a4d5-4dee-8701-b32ebc2fe90b","A novel LTCC differentially Fed UWB antenna for the 60 GHz band","Yang, B.; Yarovoy, A.G.; Valavan, A.S.E.; Buisman, K.; Shoykhetbrod, O.","","2011","In this paper a novel differentially fed Ultra-Wide Band (UWB) antenna in low-temperature co-fired ceramics (LTCC) technology to be used in the 60 GHz band for integrated RF front-ends is presented. The antenna is based on the aperture stacked patch fed via H-shaped aperture to achieve more than 10 GHz operational bandwidth. The antenna is fed by a parallel-wire transmission line which enables the antenna to be directly integrated with differential Monolithic Microwave Integrated Circuits (MMICs). To alleviate influence of the surface waves (efficiently excited in LTCC material due to its high dielectric constant) on the antenna radiation and realize uni-directional radiation patterns, a dedicated shield is added to the antenna. The measured results of the shielded antenna showed that the antenna has an operational bandwidth from 51 GHz to over 65 GHz, the gain is about 3.5–8 dBi, and 25 dB beamwidth is about+308. The measurement results also demonstrated that the shield indeed improves the antenna impedance bandwidth, gain, and radiation patterns substantially.","UWB antenna; aperture stacked patch; LTCC technology","en","journal article","Cambridge University Press","","","","","","","2012-03-15","Electrical Engineering, Mathematics and Computer Science","Microelectronics & Computer Engineering","","","",""
"uuid:5ea69950-11d1-45f5-9cc6-e08c98fbba13","http://resolver.tudelft.nl/uuid:5ea69950-11d1-45f5-9cc6-e08c98fbba13","PECVD silicon carbide surface micromachining technology and selected MEMS applications","Rajaraman, V.; Pakula, L.S.; Yang, H.; French, P.J.; Sarro, P.M.","","2011","Attractive material properties of plasma enhanced chemical vapour deposited (PECVD) silicon carbide (SiC) when combined with CMOS-compatible low thermal budget processing provides an ideal technology platform for developing various microelectromechanical systems (MEMS) devices and merging them with integrated circuits. In this paper we present a generic surface micromachining technology developed using a stressoptimised PECVD SiC as the structural and encapsulation material for MEMS. An overview of selected MEMS applications realised, at DIMES Technology Center (DTC) of TU Delft, using the PECVD SiC surface micromachining technology is provided. Presented MEMS examples include—a pressure sensor, wafer-level thin-film packaging, RF switch and accelerometers. Potential applications for the presented technology include automotive, industrial and medical systems, where devices are often subjected to harsh environments.","silicon carbide (SiC); microelectromechanical systems (MEMS); micromachining; pressure sensor; accelerometer; RF switch; wafer-level packaging (WLP); thin film encapsulation (TFE)","en","journal article","Springer","","","","","","","2011-01-28","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:678d2905-4384-40d6-86e9-e270a526ed5f","http://resolver.tudelft.nl/uuid:678d2905-4384-40d6-86e9-e270a526ed5f","Hydrodynamic optimization of multihull ships","Kim, Hyunyul; Yang, Chi","","2011","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:1cac0ccd-9f95-458d-a639-3ee51a890fb4","http://resolver.tudelft.nl/uuid:1cac0ccd-9f95-458d-a639-3ee51a890fb4","Metamodel approach for reliability-based design optimization of a steel catenary riser","Yang, H.Z.","","2011","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:b424a765-b6bd-4cc3-924a-dcdf2a9d84a8","http://resolver.tudelft.nl/uuid:b424a765-b6bd-4cc3-924a-dcdf2a9d84a8","Damage detection in stiffened plates by wavelet transform","Yang, Joe-Ming","","2011","","construction","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:ed9195b0-e140-4857-867c-8f019016a060","http://resolver.tudelft.nl/uuid:ed9195b0-e140-4857-867c-8f019016a060","Investigation of Skirt Dynamics of Air Cushion Vehicles under Non-Linear Wave Impact Using a SPH-FEM Model","Yang, Qing; Jones, Van; McCue, Leigh","","2011","","hydrodynamics","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:cccdf6ed-2c65-4465-a11b-7d37d602b863","http://resolver.tudelft.nl/uuid:cccdf6ed-2c65-4465-a11b-7d37d602b863","Numerical Solutions of 2D and 3D Slamming Problems","Yang, Q; Qiu, W.","","2011","","hydrodynamics","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:504b569b-2171-45dd-908c-5fa19c5bfb55","http://resolver.tudelft.nl/uuid:504b569b-2171-45dd-908c-5fa19c5bfb55","Energy-aware path selection for scheduled lightpaths in IP-over-WDM networks","Yang, S. (TU Delft Network Architectures and Services); Kuipers, F.A. (TU Delft Network Architectures and Services)","Moerman, I (editor); Hoebeke, J (editor); Steendam, H (editor)","2011","","","en","conference paper","IEEE Society","","","","","","","","","","Network Architectures and Services","","",""
"uuid:4132e80e-7817-4393-8a64-80fe737ece77","http://resolver.tudelft.nl/uuid:4132e80e-7817-4393-8a64-80fe737ece77","Single Ion Implantation into Si-Based Devices","Johnson, B.C.; Tettamanzi, G.C.; Yang, C.; Alves, A.D.C.; Van Donkelaar, J.; Thompson, S.; Verduijn, J.; Mol, J.A.; Wacquez, R.; Vinet, M.; Dzurak, A.S.; Sanquer, M.; Rogge, S.; Jamieson, D.N.","","2010","Deterministic doping is crucial for overcoming dopant number variability in present nano-scale devices and for exploiting single atom degrees of freedom. The development of determinisitic doping schemes is required. Here, two approaches to the detection of single ion impact events in Si-based devices are reviewed. The first is via specialized PiN structures where ions are directed onto a target area around which a field effect transistor can be formed. The second approach involves monitoring the drain current modulation during ion irradiation. We investigate the detection of both high energy He+ and 14 keV P+ dopants. The stopping of these ions is dominated by ionization and nuclear collisions, respectively. The optimization of the implant energy for a particular device and post-implantation processing are also briefly considered.","","en","journal article","The Electrochemical Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:0419f542-95dc-454f-b546-d06c173dbe30","http://resolver.tudelft.nl/uuid:0419f542-95dc-454f-b546-d06c173dbe30","Single Dopant Implantation into a Nanoscale MOSFET Devices","Johnson, B.C.; Alves, A.; Van Donkelaar, J.; Thompson, S.; Yang, C.; Jamieson, D.; Verduijn, A.; Mol, J.; Tettamanzi, G.; Rogge, S.; Wacquez, R.; Vinet, M.; Dzurak, A.","","2010","","","en","journal article","The Electrochemical Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:c6120e36-e2dc-408a-bfd1-d72e159e18d2","http://resolver.tudelft.nl/uuid:c6120e36-e2dc-408a-bfd1-d72e159e18d2","Some considerations of effects-induced errors in resonant cantilevers with the laser deflection method","Sadeghian, H.; Yang, C.K.; Gavan, K.B.; Goosen, J.F.L.; Van der Drift, E.W.J.M.; Van der Zant, H.S.J.; Bossche, A.; French, P.J.; Van Keulen, F.","","2010","Micro/nano resonant cantilevers with a laser deflection readout have been very popular in sensing applications over the past years. Despite the popularity, however, most of the research has been devoted to increasing the sensitivity, and very little attention has been focused on effects-induced errors. Among these effects, the surface effects and the so-called readout back-action are the two most influential causes of errors. In this paper, we investigate (1) the influence of the surface effects such as water adsorption, gas adsorption, and generally surface contaminations, and (2) the effect of the laser deflection detection, including power and positions of the laser, on the resonance frequency of silicon cantilevers. Our results show that both the surface contaminations and the laser back-action effects can significantly change the resonant response of the cantilevers. We conclude that the effects have to be taken into account, particularly in the case of ultra high sensitivity cantilevers.","","en","journal article","Institute of Physics","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering","","","",""
"uuid:d7240a10-9361-4470-8714-f781335c9131","http://resolver.tudelft.nl/uuid:d7240a10-9361-4470-8714-f781335c9131","Process Modeling and Optimization of a Submerged Arc Furnace for Phosphorus Production","Scheepers, E.; Yang, Y.; Adema, A.T.; Boom, R.; Reuter, M.A.","","2010","This article presents a process model of a phosphorus-producing, submerged arc furnace. The model successfully incorporates accurate, multifield thermodynamic, kinetic, and industrial data with computational flow dynamic calculations and thus further unifies the sciences of kinetics and equilibrium thermodynamics. The model is structurally three-dimensional and uses boundary conditions, initial values, and material specifications provided by industrial measurements, laboratory experiments, and a combination of empirical and thermodynamic data. It accounts for fully developed gas flows of gaseous product generated from within the packed bed; the energy associated with chemical reactions, heating, and melting, as well as thermal conductivity and the particle–particle radiation within the burden. The model proves the existence of a narrow, gas–solid reduction zone where the bulk of phosphorus is produced. It shows that fast reaction rates in this narrow reaction zone in combination with long residence times diminish the influence changing reaction rates have on the process. It indicates that most heat exchanged between the new pellets entering the furnace and the gaseous product produced in the reduction zone takes place in the top 0.5 m of the furnace bed. The gaseous product and flow information shows low and recirculating gaseous flow velocity areas that cause dust accumulation.","","en","journal article","Springer","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:0a7f1ec4-ae9e-4190-ab93-261fca830a06","http://resolver.tudelft.nl/uuid:0a7f1ec4-ae9e-4190-ab93-261fca830a06","Drain current modulation in a nanoscale field-effect-transistor channel by single dopant implantation","Johnson, B.C.; Tettamanzi, G.C.; Alves, A.D.C.; Thompson, S.; Yang, C.; Verduijn, J.; Mol, J.A.; Wacquez, R.; Vinet, M.; Sanquer, M.; Rogge, S.; Jamieson, D.N.","","2010","We demonstrate single dopant implantation into the channel of a silicon nanoscale metal-oxide-semiconductor field-effect-transistor. This is achieved by monitoring the drain current modulation during ion irradiation. Deterministic doping is crucial for overcoming dopant number variability in present nanoscale devices and for exploiting single atom degrees of freedom. The two main ion stopping processes that induce drain current modulation are examined. We employ 500 keV He ions, in which electronic stopping is dominant, leading to discrete increases in drain current and 14 keV P dopants for which nuclear stopping is dominant leading to discrete decreases in drain current.","elemental semiconductors; ion beam effects; MOSFET; silicon","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:c5d06678-79ae-411e-ab7b-4107a658f794","http://resolver.tudelft.nl/uuid:c5d06678-79ae-411e-ab7b-4107a658f794","Comparison of 10–18 GHz SAR and MIMO-based short-range imaging radars","Savelyev, T.; Zhuge, X.; Yang, B.; Aubry, P.; Yarovoy, A.; Ligthart, L.; Levitas, B.","","2010","This paper presents an experimental investigation of two approaches to short-range radar imaging at microwaves by means of ultra-wideband (UWB) technology. The first approach represents a classical synthetic aperture radar (SAR) that employs a transmit–receive antenna pair on mechanical scanner. The second one makes use of a multiple input multiple output (MIMO) antenna array that scans electronically in the horizontal plane and mechanically, installed on the scanner, in the vertical plane. The mechanical scanning in only one direction reduces significantly the measurement time. Two respective prototypes have been built and compared. Both systems comprise the same 10–18 GHz antennas and multi-channel video impulse electronics while the same data processing and imaging method based on Kirchhoff migration is applied to acquired data for digital beamforming. The study has been done for an application of concealed weapon detection.","migration; multiple input multiple output; radar imaging; synthetic aperture radar; ultra-wideband array","en","journal article","Cambridge University Press","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Telecommunications","","","",""
"uuid:5af1610c-6992-462b-a82c-86e84c80e150","http://resolver.tudelft.nl/uuid:5af1610c-6992-462b-a82c-86e84c80e150","Effects of size and defects on the elasticity of silicon nanocantilevers","Sadeghian, H.; Yang, C.K.; Goosen, J.F.L.; Bossche, A.; Staufer, U.; French, P.J.; Van Keulen, F.","","2010","The size-dependent elastic behavior of silicon nanocantilevers and nanowires, specifically the effective Young’s modulus, has been determined by experimental measurements and theoretical investigations. The size dependence becomes more significant as the devices scale down from micro- to nano-dimensions, which has mainly been attributed to surface effects. However, discrepancies between experimental measurements and computational investigations show that there could be other influences besides surface effects. In this paper, we try to determine to what extent the surface effects, such as surface stress, surface elasticity, surface contamination and native oxide layers, influence the effective Young’s modulus of silicon nanocantilevers. For this purpose, silicon cantilevers were fabricated in the top device layer of silicon on insulator (SOI) wafers, which were thinned down to 14 nm. The effective Young’s modulus was extracted with the electrostatic pull-in instability method, recently developed by the authors (H Sadeghian et al 2009 Appl. Phys. Lett. 94 221903). In this work, the drop in the effective Young’s modulus was measured to be significant at around 150 nm thick cantilevers. The comparison between theoretical models and experimental measurements demonstrates that, although the surface effects influence the effective Young’s modulus of silicon to some extent, they alone are insufficient to explain why the effective Young’s modulus decreases prematurely. It was observed that the fabrication-induced defects abruptly increased when the device layer was thinned to below 100 nm. These defects became visible as pinholes during HF-etching. It is speculated that they could be the origin of the reduced effective Young’s modulus experimentally observed in ultra-thin silicon cantilevers.","","en","journal article","Institute of Physics","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering","","","",""
"uuid:dcbf783c-6191-495b-ae2a-0e6b51cd2dba","http://resolver.tudelft.nl/uuid:dcbf783c-6191-495b-ae2a-0e6b51cd2dba","On pixel detection threshold in the gigavision camera","Yang, F.; Sbaiz, L.; Charbon, E.; Susstrunk, S.; Vetterli, M.","","2010","","computational photography; high dynamic range imaging; low light level imaging; the gigavision camera","en","conference paper","SPIE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Circuits and Systems","","","",""
"uuid:d90e9a21-9239-43ab-b515-0596673e8482","http://resolver.tudelft.nl/uuid:d90e9a21-9239-43ab-b515-0596673e8482","Magnetic properties of Dy nanoparticles and Al2O3-coated Dy nanocapsules","Liu, X.G.; Or, S.W.; Li, B.; Ou, Z.Q.; Zhang, L.; Zhamg, Q.; Geng, D.Y.; Yang, F.; Li, D.; Brück, E.H.; Zhang, Z.D.","","2010","","nanoparticles; magnetic properties; size effect; rare-earth metals","en","journal article","Springer","","","","","","","","Applied Sciences","Radiation, Radionuclides and Reactors","","","",""
"uuid:6fae06d5-d45d-4b6a-8315-b1f8060f8d45","http://resolver.tudelft.nl/uuid:6fae06d5-d45d-4b6a-8315-b1f8060f8d45","Transient effects of tendon disconnection of a TLP by hull-tendon-riser coupled dynamic analysis","Yang, C.K.","","2010","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:b5379c2c-69d4-419e-afaf-cc759713e106","http://resolver.tudelft.nl/uuid:b5379c2c-69d4-419e-afaf-cc759713e106","Commercial SOI sensor technology","van Zeijl, E. (TNO); Schmits, R. (TNO); van den Berg, J. H. (TNO); Harmsma, P. J. (TNO); Westerveld, W.J. (TU Delft Dynamics of Micro and Nano Systems; TNO); Lagioia, M. (TNO); Bodis, P. (TNO); Ebeling, R. P. (TNO); Nieuwland, R. A. (TNO); Yang, S.","","2010","We present a platform for the deployment of SOI based sensors in commercial
applications. The platform is designed from a systems perspective and includes all
aspects of a SOI sensor system, including sensor head itself, the communications
infrastructure and a customized interrogation system.","","en","conference paper","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:36f881a5-d443-48a1-982d-8f277fd23504","http://resolver.tudelft.nl/uuid:36f881a5-d443-48a1-982d-8f277fd23504","Multi-modal aggression detection in trains","Yang, Z.","Koppelaar, H. (promotor); Rothkrantz, L.J.M. (promotor)","2009","In many public places multiple sensing devices, such as cameras, are installed to help prevent unwanted situations such as aggression and violence. At the moment, the best solution to reach a safe environment requires human operators to monitor the camera images and take appropriate actions when necessary. In the wake of the terrorist attacks of September 11 2001, there has been a rapid growth in the volume of security cameras and other sensing devices for anti-terrorism and other security purposes. The increased application of these, often multi-modal, sensors has caused a digital data explosion that human operators have difficulty to keep up with. The need for a fully or partially automated system becomes all the more prevailing. The main aim of this thesis is to report on our work to address the complex challenges that arise within the context of multi-modal automatic surveillance applications. In this thesis work, a multi-modal aggression detection system was built that fuses audio and video data from sensors located in a train compartment. Compared to previous work, we adopt a more human centered approach to the detection problem by extracting knowledge and rules from security experts. The aggression detection system is based on many hours of observing and studying professional operators at work as they analyze and respond on surveillance data. Our aggression detection approach is essentially divided into two models: (1) the observation model which describes how low level features from observations are combined into high level concepts and (2) the reasoning model in which high level concepts are reasoned with in order to infer the presence of aggression. In the observation model, feature extraction algorithms are used to transform audio and video signals into features, which are combined by classification algorithms into high level concepts. In the thesis, an analysis is made of the train compartment in particular, on the objects and situations that may be encountered in the train compartment. This analysis is formalized in a train aggression ontology. In addition an overview of relevant audio and video feature extraction and classification algorithms is given. Also the JDL model is introduced as a way to structure the wide range of available algorithms. In the reasoning model knowledge of the human expert and high level reasoning is used to infer the presence of aggression. In essence this boils down to combining the results of the observation model to a description of the current scenario, and comparing this to known scenarios. If the current scenario is similar to a known unwanted scenario or if the current scenario deviates too much from a known normal scenario, an alarm situation may be announced. There are a number of different approaches to accomplish the inference. In this thesis, three different inference methods are explored for their merits in aggression detection: expert system based reasoning, Bayesian reasoning and self organization/emergent reasoning. To test and verify the results, several experimentswere conducted in a real train. During the experiments, actors had to perform scenarios as described in storyboards. The storyboards where previously validated by security experts for their realism. As the actors performed the scenarios data was captured using multiple cameras and microphones. The acquired data was annotated using the vocabulary from the train aggression ontology and used as ground truths for the evaluation of the aggression detection system.","mult-modal; aggression detection; train; reasoning; video; audio; perception; data fusion; expert system; Bayesian network; self organisation","en","doctoral thesis","TU Delft Mediamatica","","","","","","","2009-10-29","Electrical Engineering, Mathematics and Computer Science","Mediamatics","","","",""
"uuid:454c172d-3fcb-42b0-99dc-294421180067","http://resolver.tudelft.nl/uuid:454c172d-3fcb-42b0-99dc-294421180067","Combined effect of fibers and steel rebars in high performance concrete","Yang, Y.; Walraven, J.C.; den Uijl, J.A.","","2009","In this paper a brief overview on the effect of tension stiffening in normal strength concrete and High Performance Fiber Concrete (HPFC) is given. On the basis of an existing model, several simplifications are proposed to describe the post-cracking performance of HPFC, and a simplified model for practical application is developed. This model is validated using the results of a number of concentric tensile tests on prismatic reinforced HPFC elements. The results clearly show that the simplifications proposed in this paper offer sufficient accuracy in predicting the behavior of HPFC elements reinforced with conventional reinforcement.","tension stiffening; crack width; reinforced concrete","en","journal article","","","","","","","","","Civil Engineering and Geosciences","Design and Construction","","","",""
"uuid:eb977a84-09ca-4265-ad5a-39c2fa507e43","http://resolver.tudelft.nl/uuid:eb977a84-09ca-4265-ad5a-39c2fa507e43","Characterizing size-dependent effective elastic modulus of silicon nanocantilevers using electrostatic pull-in instability","Sadeghian, H.; Yang, C.K.; Goosen, J.F.L.; Van der Drift, E.; Bossche, A.; French, P.J.; Van Keulen, F.","","2009","This letter presents the application of electrostatic pull-in instability to study the size-dependent effective Young’s Modulus ? ( ~170–70?GPa) of [110] silicon nanocantilevers (thickness ~1019–40?nm). The presented approach shows substantial advantages over the previous methods used for characterization of nanoelectromechanical systems behaviors. The ? is retrieved from the pull-in voltage of the structure via the electromechanical coupled equation, with a typical error of ? 12%, much less than previous work in the field. Measurement results show a strong size-dependence of ?. The approach is simple and reproducible for various dimensions and can be extended to the characterization of nanobeams and nanowires.","elemental semiconductors; nanostructured materials; silicon; Young's modulus","en","journal article","American Institute of Physics","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering","","","",""
"uuid:6ae3f179-7286-4263-9d54-77b3cc72d3e6","http://resolver.tudelft.nl/uuid:6ae3f179-7286-4263-9d54-77b3cc72d3e6","Crowd control by multiple cameras","Rothkrantz, L.J.M.; Yang, Z.","","2009","One of the goals of the crowd control project at Delft University of Technology is to detect and track people during a crisis event, classify their behavior and assess what is happening. The assumption is that the crisis area is observed by multiple cameras (fixed or mobile). The cameras sense the environment and extract features such as the amount of motion. These features are the input to a Bayesian network with nodes corresponding to situations such as terroristic attack, fire, and explosion. Given the probabilities of the observed features, by reasoning, the likelihood of the possible situations can be computed. A prototype was tested in a train compartment and its environment. Forty scenarios, performed by actors, were recorded. From the recordings the conditional probabilities have been computed. The scenarios are designed as scripts which proved to be a good methodology. The models, experiments and results will be presented in the paper.","Bayesian reasoning; computer vision; scenarios; scripts","en","conference paper","ISCRAM","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Intelligent Systems","","","",""
"uuid:6a1a6a62-735c-47e2-abd0-a387795f2ce4","http://resolver.tudelft.nl/uuid:6a1a6a62-735c-47e2-abd0-a387795f2ce4","Vitrified bottom ash slag from municipal solid waste incinerators - Phase relations of CaO-SiO2-Na20 oxide system","Zhang, Z.; Xiao, Y.; Yang, Y.; Boom, R.; Voncken, J.H.L.","","2009","Vitrification is considered to be an attractive technology for bottom ash treatment because it destroys the hazardous organics, contributes to immobilization of the heavy metals, and additionally it reduces drastically the volume. The main components of the vitrified bottom ash slag are SiO2 , CaO, Al2 O3 , Fe2 O3 , Na 2 O and MgO, and the compositions have direct effect on the glass formation during vitrification, and further on the physical and mechanical properties of the slag. To provide essential data for the utilization of bottom ash as vitrified slag, the phase relations and thermodynamic properties of the oxide system need to be systematically investigated. In the present study, the liquidus temperature of the typical vitrified bottom ash slag was determined by using Differential Scanning Calorimetry (DSC) measurement. High temperature equilibrium experiments were conducted to investigate the phase relations of the vitrified slag and sub-oxide system CaO-SiO2 - Na2 O by means of Scanning Electron Microscopy (SEM), Electron Microprobe Analysis (EMPA) and X-ray Diffraction (XRD). The results show that the melting temperature of the vitrified bottom ash is around 1120°C. In the CaO-SiO2 - Na 2 O system with less than 50 mol% SiO2 , the liquidus temperature increases with increasing amounts of Na2 O along the tie-line of Na 2 O- Ca 3 Si 2 O 7 . The ompound of Na 2 Ca 3 Si 2 O 8 is identified in the oxide system.","Bottom ash; MSW Incinerators; CaO-SiO2-Na2O OXIDE SYSTEM","en","conference paper","Gecamin","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:3e9fadfb-da96-4383-bd6f-c49db779fbd8","http://resolver.tudelft.nl/uuid:3e9fadfb-da96-4383-bd6f-c49db779fbd8","How Gold Deposition Affects Anatase Performance in the Photo-catalytic Oxidation of Cyclohexane","Carneiro, J.T.; Yang, C.C.; Moma, J.A.; Moulijn, J.A.; Mul, G.","","2009","Gold deposition on Hombikat UV100 was found to negatively affect the activity of this Anatase catalyst in selective photo-oxidation of cyclohexane. By ammonia TPD and DRIFT spectroscopy it was determined that the Au deposition procedure leads to a significant decrease in OH-group density (mol m?2 BET) on Hombikat, suggesting that the amount of surface OH-groups, rather than the presence or absence of Au, is determining the catalytic performance. The importance of surface OH-groups was demonstrated by comparing the performance of Hombikat (with and without Au deposition) to surface propoxylated TiO2, synthesized by a sol–gel method from titanium (IV) isopropoxide. The effect of the deposition recipe of noble metals on the surface composition of TiO2 should thus be taken into account in evaluating and explaining photocatalytic performance of TiO2 modified by noble metals (Au), in particular in non-aqueous phase reactions.","TiO2; Titanium (IV) isopropoxide; Au; OH-group density; Cyclohexane; Oxidation; Photocatalysis; Solgel; DRIFT; NH3","en","journal article","Springer","","","","","","","","Applied Sciences","DelftChemTech","","","",""
"uuid:23fd3798-6b7f-4221-b99f-09c323c589c1","http://resolver.tudelft.nl/uuid:23fd3798-6b7f-4221-b99f-09c323c589c1","Structural modulation and electronic structural features in the charge ordered state of La0.5Sr1.5MnO4","Zeng, L.J.; Ma, C.; Yang, H.X.; Xiao, R.J.; Li, J.Q.; Jansen, J.","","2008","","charge-ordered states; density functional theory; electron diffraction; electronic density of states; energy gap; Jahn-Teller effect; lanthanum compounds; least squares approximations; strontium compounds","en","journal article","American Physical Society","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:de18e4df-dbe3-482a-96ca-d6f7fd7d4aa9","http://resolver.tudelft.nl/uuid:de18e4df-dbe3-482a-96ca-d6f7fd7d4aa9","Cure-Dependent Viscoelastic Behaviour of Electronic Packaging Polymers: Modelling, Characterization, Implementation and Applications","Yang, D.","Ernst, L.J. (promotor); Zhang, G.Q. (promotor)","2007","Polymers are widely used in electronic packaging as encapsulants, underfills, adhesives, insulators, and dielectrics, etc. Generally these materials are thermosetting polymers that have to be cured during the fabrication process at elevated temperatures. The curing process will introduce residual stress and warpage in the microelectronic devices, due to the polymerization shrinkage and subsequent cooling down from the curing temperature. During cure these polymers gradually transform from the more or less liquid state into a viscoelastic solid with a relatively high glassy modulus, accompanied with chemical shrinkage. Due to the cross-linking reaction and thermal shrinkage under continuing stiffness increase, stresses will arise in areas where shrinkage is partly prevented by geometrical constraints. Furthermore, if the cross-linked product is cooled down to ambient temperature, the difference in thermal expansion between matrix and adjacent materials will cause additional stresses and deformation. In order to be able to conduct reliable prediction of the process-induced stress and warpage in electronic packaging, cure-dependent viscoelastic constitutive relations for thermosetting polymers are required. Furthermore, material characterization and numerical implementation of the constitutive models into commercial FEM programs are important for providing a base for large scale thermo-mechanical FEM modelling of electronic packaging. And then a simulation-based optimization on the design for package structure, material parameters, and process conditions can be carried out…","","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:b6eaf7be-e5b8-4c16-8ada-4d852069d5e3","http://resolver.tudelft.nl/uuid:b6eaf7be-e5b8-4c16-8ada-4d852069d5e3","MAC protocol design for impulse radio UWB based WPANs","Shi, N.; Yang, Y.; Niemegeers, I.G.","","2007","Ultra Wide Band (UWB) has recently gained great interest for high data rate short-range WPANs. Designing a MAC protocol for UWB WPANs must take into account the physical layer characteristics. Due to large bandwidth and spreading spectrum, a time hopping impulse radio UWB (IR-UWB) system can accomplish multiple access by using code division multiplexing. Unlike most existing MAC protocols relying on contention access to limit transmissions, the MAC design for impulse radio intends to accommodate simultaneous transmissions. In this paper, we newly propose a MAC scheme for IR-UWB WPANs. This scheme is a hybrid CDMA and TDMA approach based on time hopping spread spectrum and the timing format of IEEE 802.15.3 MAC. The simulation results show that the proposed approach outperforms the existing protocol under our specifications and WPAN scenarios.","","en","conference paper","IEEE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:7f83aa82-f13c-4000-9689-5ce00ce10447","http://resolver.tudelft.nl/uuid:7f83aa82-f13c-4000-9689-5ce00ce10447","Combined Virtual Prototyping and Reliability Testing Based Design Rules for Stacked Die System in Packages","Van Driel, W.D.; Real, R.A.; Yang, D.G.; Zhang, G.Q.; Pasion, J.","","2007","","","en","conference paper","IEEE","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:5942a8dc-7fe4-4379-947a-73a0643a800c","http://resolver.tudelft.nl/uuid:5942a8dc-7fe4-4379-947a-73a0643a800c","Thin Film Interface Fracture Properties at Scales Relevant to Microelectronics","Xiao, A.; Wang, L.G.; Van Driel, W.D.; Van Der Sluis, O.; Yang, D.G.; Ernst, L.J.; Zhang, G.Q.","","2007","","","en","conference paper","IEEE","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:3c930069-6427-4fb0-a113-88758172c003","http://resolver.tudelft.nl/uuid:3c930069-6427-4fb0-a113-88758172c003","Full characterization and analysis of a terahertz heterodyne receiver based on a NbN hot electron bolometer","Hajenius, M.; Baselmans, J.J.A.; Baryshev, A.; Gao, J.R.; Klapwijk, T.M.; Kooi, J.W.; Jellema, W.; Yang, Z.Q.","","2006","We present a complete experimental characterization of a quasioptical twin-slot antenna coupled small area (1.0×0.15??m2) NbN hot electron bolometer (HEB) mixer compatible with currently available solid state tunable local oscillator (LO) sources. The required LO power absorbed in the HEB is analyzed in detail and equals only 25?nW. Due to the small HEB volume and wide antenna bandwidth, an unwanted direct detection effect is observed which decreases the apparent sensitivity. Correcting for this effect results in a receiver noise temperature of 700?K at 1.46?THz. The intermediate frequency (IF) gain bandwidth is 2.3?GHz and the IF noise bandwidth is 4?GHz. The single channel receiver stability is limited to 0.2–0.3?s in a 50?MHz bandwidth.","niobium compounds; submillimetre wave receivers; submillimetre wave antennas; bolometers; submillimetre wave mixers; slot antennas; oscillators; broadband antennas; superconducting microwave devices","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:b418f197-c092-4cb1-9280-3a171dd5fe91","http://resolver.tudelft.nl/uuid:b418f197-c092-4cb1-9280-3a171dd5fe91","Enhancing the charge ordering temperature in thin films of Pr0.5Ca0.5MnO3 by strain","Yang, Z.Q.; Zhang, Y.Q.; Aarts, J.; Wu, M.Y.; Zandbergen, H.W.","","2006","We report the effects of biaxial strain on the charge ordering temperature Tco of the mixed-valent manganite perovskite oxide Pr0.5Ca0.5MnO3. Thin films were grown on SrTiO3, which has a 1.3% larger in-plane lattice parameter. Other substrates were used for comparison. Transport measurements combined with data from electron microscopy show that Tco is considerably enhanced. At thicknesses of the order of 10?nm, where the films are fully strained, Tco is above 320?K, more than 70?K above the bulk value of 250?K, while around 50?nm, where relaxation has set in, the enhancement is around 40?K. The bulk value is only reached at a thickness of about 150?nm.","praseodymium compounds; calcium compounds; magnetic thin films; internal stresses; lattice constants; electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:f6aa5022-7974-48c5-be0e-3ce407e67d7a","http://resolver.tudelft.nl/uuid:f6aa5022-7974-48c5-be0e-3ce407e67d7a","SLA-calculus for TCP-based applications in a multi-domain environment","Kooij, R.E.; Van Der Mei, R.D.; Van Den Berg, J.L.; Yang, R.","","2006","","SLA's; TCP; Response Time; Download Time; QoS","en","conference paper","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:37967737-d4ce-4536-8d33-351180998d7d","http://resolver.tudelft.nl/uuid:37967737-d4ce-4536-8d33-351180998d7d","The Neumann-Kelvin and Neumann-Michell linear flow models","Yang, C.","","2006","","resistance & propulsion","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:5ef145de-4b14-4b67-b21b-9b141b5c1b68","http://resolver.tudelft.nl/uuid:5ef145de-4b14-4b67-b21b-9b141b5c1b68","Reduced noise in NbN hot-electron bolometer mixers by annealing","Yang, Z.Q.; Hajenius, M.; Baselmans, J.J.A.; Gao, J.R.; Voronov, B.; Gol'tsman, G.N.","","2006","","","en","journal article","IOP","","","","","","","","Applied Sciences","","","","",""
"uuid:2e1545dd-1d59-4cc7-bfe6-2e1374cb4993","http://resolver.tudelft.nl/uuid:2e1545dd-1d59-4cc7-bfe6-2e1374cb4993","Design of an integrated fermentation crystallization process for the production of L-phenylalanine","Nie, J.; Solarte Vasquez, J.F.; Ucisik, M.H.; Yang, H.","","2005","","ISPR; L-phenylalanine; Product Inhibition; Crystallization; Polymorphism; E.coli; Fermentation; Fed-Batch; Integrated Approach; Aspartame","en","report","Delft University of technology","","","","","","","2015-10-20","Applied Sciences","DelftChemTech","","","",""
"uuid:2b75fa2c-a482-4684-ba09-00c4d7edf73d","http://resolver.tudelft.nl/uuid:2b75fa2c-a482-4684-ba09-00c4d7edf73d","Analysing mental geography of residential environment in Singapore using GIS-based 3D visibility analysis","Putra, S.Y.; Yang, P.P.-J.","","2005","Workshop 2. Session 2.3: Mapping home Abstract. Residences mental perception, especially spatial perceptions of their built-environment is crucial in shaping their overall quality of life and environmental perception. The increasing intangibility of mental geography may be caused by lack of empirical and quantitative approach. We will introduce an empirical and quantitative approach of analysing mental geography, based on James Gibsons direct perception theory. Gibson argued that spatial perceptions of the visible environment were constructed by ambient optic arrays, or photonic arrays reflected by environmental geometries and received by perceivers eyes. Weve developed a GIS (geographic information system)-based 3D visibility analysis, Viewsphere, capable of computing the spatial properties of ambient optic arrays, based on the volumetric amount of space occupied by the photonic arrays. Using this analysis, the perceptual quality of residential or urban open space can be measured. We argue that the spatial properties expressed by quantitative perceptual indices may represent the residences spatial perception of their residential environment. Comprehensive understanding of a residential settings mental geography may be achieved by mapping of spatial perceptions through interpolating perceptual indices from a grid of sample points. Two test cases were conducted on an environmental setting of typical Singapores public housing estate. Singapores public housing programme is well-known for its success of housing most of the nations population in its high-density, high-rise environment. Using this analytical methodology, the impact of high-density, high-rise residential environment on residences mental geography can be empirically understood.","spatial perception, ambient optic array, 3D visibility analysis, public housing","en","conference paper","","","","","","","","","","","","","",""
"uuid:67b4db37-f870-40aa-80e0-11e51fed44cf","http://resolver.tudelft.nl/uuid:67b4db37-f870-40aa-80e0-11e51fed44cf","Terahertz heterodyne receiver based on a quantum cascade laser and a superconducting bolometer","Gao, J.R.; Hovenier, J.N.; Yang, Z.Q.; Baselmans, J.J.A.; Baryshev, A.; Hajenius, M.; Klapwijk, T.M.; Adam, A.J.L.; Klaassen, T.O.; Williams, B.S.; Kumar, S.; Hu, Q.; Reno, J.L.","","2005","We report the first demonstration of an all solid-state heterodyne receiver that can be used for high-resolution spectroscopy above 2?THz suitable for space-based observatories. The receiver uses a NbN superconducting hot-electron bolometer as mixer and a quantum cascade laser operating at 2.8?THz as local oscillator. We measure a double sideband receiver noise temperature of 1400?K at 2.8?THz and 4.2?K, and find that the free-running QCL has sufficient power stability for a practical receiver, demonstrating an unprecedented combination of sensitivity and stability.","heterodyne detection; bolometers; submillimetre wave receivers; superconducting mixers; niobium compounds; quantum cascade lasers; hot carriers; submillimetre wave mixers; submillimetre wave oscillators","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:c00cc6e2-9aea-4fe4-92c1-9d925c7f59d6","http://resolver.tudelft.nl/uuid:c00cc6e2-9aea-4fe4-92c1-9d925c7f59d6","Development of load-out design methodology and numerical strength evaluation for on-ground-build floating storage and offloading system","Yang, Y.T.","","2005","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:9a95134b-4ada-48c7-9f06-5b156c030a8b","http://resolver.tudelft.nl/uuid:9a95134b-4ada-48c7-9f06-5b156c030a8b","Properties and microstructure of ultrathin (La,Ca)MnO3 films under different conditions of strain","Yang, Z.Q.; Hendrikx, R.; Aarts, J.; Qin, Y.L.; Zandbergen, H.W.","","2004","","","en","journal article","American Physical Society","","","","","","","","Applied Sciences","","","","",""
"uuid:99943327-4858-44b2-9cbc-045c2f154c46","http://resolver.tudelft.nl/uuid:99943327-4858-44b2-9cbc-045c2f154c46","Investigation on flip chip solder joint fatigue with cure-dependent underfill properties","Yang, D.G.; Zhang, G.Q.; Ernst, L.J.; van 't Hof, C.; Caers, J.F.J.M.; Bressers, H.J.L.; Janssen, J.H.J.","","2003","","","en","journal article","IEEE","","","","","","","","","","","","",""
"uuid:d2e4b346-a8b5-4bb4-b079-f8546a486367","http://resolver.tudelft.nl/uuid:d2e4b346-a8b5-4bb4-b079-f8546a486367","Practical hydrodynamic optimization of a trimaran","Yang, Chi; Noblesse, Francis; Lohner, Rainald","","2001","","hydrodynamics","","conference paper","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:ed4a8c0c-ac8e-4bab-afcc-549283cbe5fc","http://resolver.tudelft.nl/uuid:ed4a8c0c-ac8e-4bab-afcc-549283cbe5fc","Practical CFD applications to design of a wave cancellation multihull ship","Yang, C.","","2000","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:61d7e256-07b6-47ed-880b-306bebe70a3b","http://resolver.tudelft.nl/uuid:61d7e256-07b6-47ed-880b-306bebe70a3b","Imaging Spectrometry for Hydrocarbon Microseepage","Yang, H.","Kroonenberg, S.B. (promotor)","1999","","","en","doctoral thesis","","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:45ba1fc1-b96e-4566-9230-7e2a0265e602","http://resolver.tudelft.nl/uuid:45ba1fc1-b96e-4566-9230-7e2a0265e602","On design of a 50 knot, payload 1500 ton hybrid ship","Yang, S.I.","","1999","","ship design production and operation","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:8d31ab18-6360-46d7-ad7e-5d4d0dc3f55a","http://resolver.tudelft.nl/uuid:8d31ab18-6360-46d7-ad7e-5d4d0dc3f55a","Productie van para-xyleen uit tolueen door middel van selectieve disproportionering met behulp van een (gemodificeerde) ZSM-5","De Graaf, W.; Van den Haak, E.M.; Vermeulen, S.G.; Yang, H.C.","","1996","","para-xyleen; xylenen; tolueen; disproportionering; ZSM-5; benzeen; Parex; ruwe benzine","nl","report","Delft University of Technology","","","","","","","","Applied Sciences","DelftChemTech","","","",""
"uuid:b1a322a5-744c-4b2d-bec8-bc5fc84348be","http://resolver.tudelft.nl/uuid:b1a322a5-744c-4b2d-bec8-bc5fc84348be","Processing and recognition of handwriting in multimedia environments","Yang, L.","Arnbak, J.C. (promotor)","1995","","handwriting; processing; online handwriting recognition; multimedia","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:ecffb4c4-bdb0-4fa8-9c76-df13f1356306","http://resolver.tudelft.nl/uuid:ecffb4c4-bdb0-4fa8-9c76-df13f1356306","Dynamic analysis of railway wheelsets and complete vehicle systems","Yang, G.","Meijers, P. (promotor)","1993","","","en","doctoral thesis","","","","","","","","","Mechanical Maritime and Materials Engineering","","","","",""
"uuid:d99d179f-b193-4a0d-a100-0fd8d77429fc","http://resolver.tudelft.nl/uuid:d99d179f-b193-4a0d-a100-0fd8d77429fc","Ein beitrag zur beurteilung der stabilitat schneller schiffe bei gekoppelter gier-, quer, und roll-bewegung","Yang, Bao-an","","1984","","hydrodynamics","","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:b5666b9a-c440-4366-ac4e-d1fe8c65aaee","http://resolver.tudelft.nl/uuid:b5666b9a-c440-4366-ac4e-d1fe8c65aaee","Motions of moored ships in six degrees of freedom","Yang, I-Min","","1972","","hydrodynamics","","conference paper","","","","","","","","","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""
"uuid:53f3a855-efc4-41dc-bc65-1d4da81d6b40","http://resolver.tudelft.nl/uuid:53f3a855-efc4-41dc-bc65-1d4da81d6b40","Static and fatigue strength of FM-123-2 adhasive in double strap joints of various lengths of overlap","Niranjan, V.; Hamel, D.R.; Yang, C.A.","","1971","","","en","report","University of Toronto","","","","","","","","","","","","",""
"uuid:e197ab2e-58d9-4fb9-85a2-6a8ae126e740","http://resolver.tudelft.nl/uuid:e197ab2e-58d9-4fb9-85a2-6a8ae126e740","Advances in chloride diffusion of concrete exposed to marine field environment","Zhu, Haiwei (Nanjing University of Aeronautics and Astronautics, China); Yu, Hongfa (Nanjing University of Aeronautics and Astronautics, China); Ma, Haiyan (Nanjing University of Aeronautics and Astronautics, China); Da, Bo (Nanjing University of Aeronautics and Astronautics, China); Mei, Qiquan (Nanjing University of Aeronautics and Astronautics, China); Yang, Liming (Guangxi Communications Design Group Co., China)","","","For elaborating the research significance, goal, content and representative results of the concrete marine field exposure experiments all over the world, we have collected a large number of research literature since 1905. The longest exposure test for concrete has reached 62 years and, most importantly, concrete exposure experiments have made great contributions to the establishment of three classical chloride diffusion models such as DuraCrete model, Life- 365 model and ClinConc model. And the comparison with foreign countries shows that concrete marine field exposure sites in China should adhere to long-term research with continuous tracking tests, for purpose of improving the durability evaluation method and life design theory of concrete structures in the harsh marine environment. Moreover, by analyzing the chloride content in the RC components after 42 months of exposure in the submerged zone in South China Sea, the diffusion depth of the chloride exceeds 40 mm, and the distribution of chloride content with diffusion depth is quite consistent with the chloride diffusion model established by Yu.","chloride diffusion models; concrete; marine environment; field exposure; durability","en","conference paper","","","","","","","","","","","","","",""
"uuid:9c28a5d5-941a-406d-b29b-9343f540b98b","http://resolver.tudelft.nl/uuid:9c28a5d5-941a-406d-b29b-9343f540b98b","The effect of radial pitch variation on the performance of a marine propeller","Burrill, L.C.; Yang, C.S.","","1953","","resistance & propulsion","","report","","","","","","","","indefinite","Mechanical, Maritime and Materials Engineering","Marine and Transport Technology","Ship Hydromechanics and Structures","","",""